@relation 'x' @attribute 'instance_id' string @attribute 'repetition' numeric @attribute 'EXIST_VARS' numeric @attribute 'FORALL_VARS' numeric @attribute 'TOTAL_VARS' numeric @attribute 'CLAUSES' numeric @attribute 'LITERALS' numeric @attribute 'EXIST_SET' numeric @attribute 'FORALL_SET' numeric @attribute 'TOTAL_SET' numeric @attribute 'UNARY_CLAUSES' numeric @attribute 'BINARY_CLAUSES' numeric @attribute 'TERNARY_MORE_CLAUSES' numeric @attribute 'POS_HORN' numeric @attribute 'NEG_HORN' numeric @attribute 'EXIST_LIT_PER_CLAUSE' numeric @attribute 'FORALL_LIT_PER_CLAUSE' numeric @attribute 'EXIST_VARS_PER_SET' numeric @attribute 'FORALL_POS_LITS_PER_CLAUSE' numeric @attribute 'FORALL_NEG_LITS_PER_CLAUSE' numeric @attribute 'OCCS_POS_NO_PER_VAR' numeric @attribute 'OCCS_FORALL_NO_PER_VAR' numeric @attribute 'OCCS_FORALL_POS_NO_PER_VAR' numeric @attribute 'W_OCCS_POS_NO_PER_VAR' numeric @attribute 'W_OCCS_FORALL_NO_PER_VAR' numeric @attribute 'W_OCCS_FORALL_POS_NO_PER_VAR' numeric @attribute 'W_PRODUCTS' numeric @attribute 'LITN_LIT' numeric @attribute 'LITEP_LIT' numeric @attribute 'LITEN_LITE' numeric @attribute 'LITEN_LITN' numeric @attribute 'LITFN_LIT' numeric @attribute 'LITFP_LITFN' numeric @attribute 'OCCP_OCCN' numeric @attribute 'OCCE_OCC' numeric @attribute 'OCCEN_OCC' numeric @attribute 'OCCFP_OCCF' numeric @attribute 'OCCEN_OCCE' numeric @attribute 'OCCEN_OCCN' numeric @attribute 'OCCFP_OCCFN' numeric @attribute 'TERMORE_CLAUSE' numeric @attribute 'NEG_HORN_CLAUSE' numeric @attribute 'WOCCN_WOCC' numeric @attribute 'WOCCEP_WOCC' numeric @attribute 'WOCCFN_WOCC' numeric @attribute 'WOCCEP_WOCCE' numeric @attribute 'WOCCEP_WOCCP' numeric @attribute 'WOCCFN_WOCCN' numeric @attribute 'algorithm' {'quantor','QuBE','sKizzo','sSolve','X2clsQ'} @attribute 'runtime' numeric @attribute 'runstatus' {'ok','timeout','memout','not_applicable','crash','other'} @data "adder-10-sat-shuffled",1,1185,655,1840,3641,26333,2,2,4,0,20,3621,1630,40,5.24938203790168,1.98297171106839,592.5,1.05328206536666,0.92968964570173,3.15489130434783,11.0229007633588,5.85496183206107,6.64836956521739,18.6198473282443,10.0656488549618,67.0869198312236,0.779554171571792,0.0748110735578931,0.896928791921729,0.835103273577553,0.128545930961151,1.13293943870015,0.282784489477786,1.12701058064988,1.0108482385853,0.531163434903047,0.896928791921729,1.29670044167316,1.13293943870015,0.994507003570448,0.0109859928591046,0.85403541428026,0.104494420036636,0.187806953880771,0.078757750097749,0.715888854281892,0.219905346710998,"X2clsQ",3600,"memout" "adder-10-sat-shuffled",1,1185,655,1840,3641,26333,2,2,4,0,20,3621,1630,40,5.24938203790168,1.98297171106839,592.5,1.05328206536666,0.92968964570173,3.15489130434783,11.0229007633588,5.85496183206107,6.64836956521739,18.6198473282443,10.0656488549618,67.0869198312236,0.779554171571792,0.0748110735578931,0.896928791921729,0.835103273577553,0.128545930961151,1.13293943870015,0.282784489477786,1.12701058064988,1.0108482385853,0.531163434903047,0.896928791921729,1.29670044167316,1.13293943870015,0.994507003570448,0.0109859928591046,0.85403541428026,0.104494420036636,0.187806953880771,0.078757750097749,0.715888854281892,0.219905346710998,"quantor",3600,"timeout" "adder-10-sat-shuffled",1,1185,655,1840,3641,26333,2,2,4,0,20,3621,1630,40,5.24938203790168,1.98297171106839,592.5,1.05328206536666,0.92968964570173,3.15489130434783,11.0229007633588,5.85496183206107,6.64836956521739,18.6198473282443,10.0656488549618,67.0869198312236,0.779554171571792,0.0748110735578931,0.896928791921729,0.835103273577553,0.128545930961151,1.13293943870015,0.282784489477786,1.12701058064988,1.0108482385853,0.531163434903047,0.896928791921729,1.29670044167316,1.13293943870015,0.994507003570448,0.0109859928591046,0.85403541428026,0.104494420036636,0.187806953880771,0.078757750097749,0.715888854281892,0.219905346710998,"QuBE",3600,"memout" "adder-10-sat-shuffled",1,1185,655,1840,3641,26333,2,2,4,0,20,3621,1630,40,5.24938203790168,1.98297171106839,592.5,1.05328206536666,0.92968964570173,3.15489130434783,11.0229007633588,5.85496183206107,6.64836956521739,18.6198473282443,10.0656488549618,67.0869198312236,0.779554171571792,0.0748110735578931,0.896928791921729,0.835103273577553,0.128545930961151,1.13293943870015,0.282784489477786,1.12701058064988,1.0108482385853,0.531163434903047,0.896928791921729,1.29670044167316,1.13293943870015,0.994507003570448,0.0109859928591046,0.85403541428026,0.104494420036636,0.187806953880771,0.078757750097749,0.715888854281892,0.219905346710998,"sKizzo",18.72,"ok" "adder-10-sat-shuffled",1,1185,655,1840,3641,26333,2,2,4,0,20,3621,1630,40,5.24938203790168,1.98297171106839,592.5,1.05328206536666,0.92968964570173,3.15489130434783,11.0229007633588,5.85496183206107,6.64836956521739,18.6198473282443,10.0656488549618,67.0869198312236,0.779554171571792,0.0748110735578931,0.896928791921729,0.835103273577553,0.128545930961151,1.13293943870015,0.282784489477786,1.12701058064988,1.0108482385853,0.531163434903047,0.896928791921729,1.29670044167316,1.13293943870015,0.994507003570448,0.0109859928591046,0.85403541428026,0.104494420036636,0.187806953880771,0.078757750097749,0.715888854281892,0.219905346710998,"sSolve",3600,"timeout" "adder-10-unsat-shuffled",1,1523,335,1858,3650,40028,2,1,3,0,1,3649,1630,4,10.2849315068493,0.681643835616438,761.5,0.377808219178082,0.303835616438356,3.13401506996771,7.42686567164179,4.11641791044776,4.81054897739505,14.8537313432836,8.23283582089552,89.4175968483257,0.854526831218147,0.111022284400919,0.881619605753863,0.96757783949715,0.027705606075747,1.24346257889991,0.17023826925888,1.14413213442645,1.00868932128337,0.554260450160772,0.881619605753863,1.18040684555857,1.24346257889991,0.99972602739726,0.0010958904109589,0.913468613251752,0.0729907111214638,0.119095611282549,0.0628585377761504,0.843517177574205,0.13037734362716,"X2clsQ",3600,"timeout" "adder-10-unsat-shuffled",1,1523,335,1858,3650,40028,2,1,3,0,1,3649,1630,4,10.2849315068493,0.681643835616438,761.5,0.377808219178082,0.303835616438356,3.13401506996771,7.42686567164179,4.11641791044776,4.81054897739505,14.8537313432836,8.23283582089552,89.4175968483257,0.854526831218147,0.111022284400919,0.881619605753863,0.96757783949715,0.027705606075747,1.24346257889991,0.17023826925888,1.14413213442645,1.00868932128337,0.554260450160772,0.881619605753863,1.18040684555857,1.24346257889991,0.99972602739726,0.0010958904109589,0.913468613251752,0.0729907111214638,0.119095611282549,0.0628585377761504,0.843517177574205,0.13037734362716,"quantor",3600,"memout" "adder-10-unsat-shuffled",1,1523,335,1858,3650,40028,2,1,3,0,1,3649,1630,4,10.2849315068493,0.681643835616438,761.5,0.377808219178082,0.303835616438356,3.13401506996771,7.42686567164179,4.11641791044776,4.81054897739505,14.8537313432836,8.23283582089552,89.4175968483257,0.854526831218147,0.111022284400919,0.881619605753863,0.96757783949715,0.027705606075747,1.24346257889991,0.17023826925888,1.14413213442645,1.00868932128337,0.554260450160772,0.881619605753863,1.18040684555857,1.24346257889991,0.99972602739726,0.0010958904109589,0.913468613251752,0.0729907111214638,0.119095611282549,0.0628585377761504,0.843517177574205,0.13037734362716,"QuBE",3600,"timeout" "adder-10-unsat-shuffled",1,1523,335,1858,3650,40028,2,1,3,0,1,3649,1630,4,10.2849315068493,0.681643835616438,761.5,0.377808219178082,0.303835616438356,3.13401506996771,7.42686567164179,4.11641791044776,4.81054897739505,14.8537313432836,8.23283582089552,89.4175968483257,0.854526831218147,0.111022284400919,0.881619605753863,0.96757783949715,0.027705606075747,1.24346257889991,0.17023826925888,1.14413213442645,1.00868932128337,0.554260450160772,0.881619605753863,1.18040684555857,1.24346257889991,0.99972602739726,0.0010958904109589,0.913468613251752,0.0729907111214638,0.119095611282549,0.0628585377761504,0.843517177574205,0.13037734362716,"sKizzo",3600,"memout" "adder-10-unsat-shuffled",1,1523,335,1858,3650,40028,2,1,3,0,1,3649,1630,4,10.2849315068493,0.681643835616438,761.5,0.377808219178082,0.303835616438356,3.13401506996771,7.42686567164179,4.11641791044776,4.81054897739505,14.8537313432836,8.23283582089552,89.4175968483257,0.854526831218147,0.111022284400919,0.881619605753863,0.96757783949715,0.027705606075747,1.24346257889991,0.17023826925888,1.14413213442645,1.00868932128337,0.554260450160772,0.881619605753863,1.18040684555857,1.24346257889991,0.99972602739726,0.0010958904109589,0.913468613251752,0.0729907111214638,0.119095611282549,0.0628585377761504,0.843517177574205,0.13037734362716,"sSolve",3600,"timeout" "adder-12-sat-shuffled",1,1722,942,2664,5294,40370,2,2,4,0,24,5270,2364,48,5.64374763883642,1.98186626369475,861,1.05326785039668,0.928598413298073,3.16966966966967,11.1380042462845,5.91932059447983,6.67867867867868,18.8067940552017,10.1740976645435,72.1695702671312,0.790834778300718,0.0710428536041615,0.904009639199411,0.846018918749609,0.121773594253158,1.13425549227014,0.264486625321055,1.14496931260406,1.03506329518159,0.531452535264964,0.904009639199411,1.30882369311786,1.13425549227014,0.995466565923687,0.00906686815262561,0.863319300617644,0.0975485556981109,0.176670122489578,0.0729885466315714,0.713696638508009,0.204640533766803,"X2clsQ",3600,"memout" "adder-12-sat-shuffled",1,1722,942,2664,5294,40370,2,2,4,0,24,5270,2364,48,5.64374763883642,1.98186626369475,861,1.05326785039668,0.928598413298073,3.16966966966967,11.1380042462845,5.91932059447983,6.67867867867868,18.8067940552017,10.1740976645435,72.1695702671312,0.790834778300718,0.0710428536041615,0.904009639199411,0.846018918749609,0.121773594253158,1.13425549227014,0.264486625321055,1.14496931260406,1.03506329518159,0.531452535264964,0.904009639199411,1.30882369311786,1.13425549227014,0.995466565923687,0.00906686815262561,0.863319300617644,0.0975485556981109,0.176670122489578,0.0729885466315714,0.713696638508009,0.204640533766803,"quantor",3600,"memout" "adder-12-sat-shuffled",1,1722,942,2664,5294,40370,2,2,4,0,24,5270,2364,48,5.64374763883642,1.98186626369475,861,1.05326785039668,0.928598413298073,3.16966966966967,11.1380042462845,5.91932059447983,6.67867867867868,18.8067940552017,10.1740976645435,72.1695702671312,0.790834778300718,0.0710428536041615,0.904009639199411,0.846018918749609,0.121773594253158,1.13425549227014,0.264486625321055,1.14496931260406,1.03506329518159,0.531452535264964,0.904009639199411,1.30882369311786,1.13425549227014,0.995466565923687,0.00906686815262561,0.863319300617644,0.0975485556981109,0.176670122489578,0.0729885466315714,0.713696638508009,0.204640533766803,"QuBE",3600,"memout" "adder-12-sat-shuffled",1,1722,942,2664,5294,40370,2,2,4,0,24,5270,2364,48,5.64374763883642,1.98186626369475,861,1.05326785039668,0.928598413298073,3.16966966966967,11.1380042462845,5.91932059447983,6.67867867867868,18.8067940552017,10.1740976645435,72.1695702671312,0.790834778300718,0.0710428536041615,0.904009639199411,0.846018918749609,0.121773594253158,1.13425549227014,0.264486625321055,1.14496931260406,1.03506329518159,0.531452535264964,0.904009639199411,1.30882369311786,1.13425549227014,0.995466565923687,0.00906686815262561,0.863319300617644,0.0975485556981109,0.176670122489578,0.0729885466315714,0.713696638508009,0.204640533766803,"sKizzo",124.35,"ok" "adder-12-sat-shuffled",1,1722,942,2664,5294,40370,2,2,4,0,24,5270,2364,48,5.64374763883642,1.98186626369475,861,1.05326785039668,0.928598413298073,3.16966966966967,11.1380042462845,5.91932059447983,6.67867867867868,18.8067940552017,10.1740976645435,72.1695702671312,0.790834778300718,0.0710428536041615,0.904009639199411,0.846018918749609,0.121773594253158,1.13425549227014,0.264486625321055,1.14496931260406,1.03506329518159,0.531452535264964,0.904009639199411,1.30882369311786,1.13425549227014,0.995466565923687,0.00906686815262561,0.863319300617644,0.0975485556981109,0.176670122489578,0.0729885466315714,0.713696638508009,0.204640533766803,"sSolve",3600,"timeout" "adder-12-unsat-shuffled",1,2200,486,2686,5305,63811,2,1,3,0,1,5304,2364,4,11.3475966069746,0.680867106503299,1100,0.377756833176249,0.30311027332705,3.15189873417722,7.4320987654321,4.12345679012346,4.83469843633656,14.8641975308642,8.24691358024691,97.8895454545455,0.86732694989892,0.101267806491044,0.892656024186448,0.970945884903785,0.025199417028412,1.24626865671642,0.152967747764026,1.15179994614779,1.02816116058645,0.554817275747508,0.892656024186448,1.1854366576598,1.24626865671642,0.999811498586239,0.000754005655042413,0.922241849046436,0.0656346924833497,0.106428099106961,0.0561894092539163,0.844087618834269,0.115401506900824,"X2clsQ",3600,"timeout" "adder-12-unsat-shuffled",1,2200,486,2686,5305,63811,2,1,3,0,1,5304,2364,4,11.3475966069746,0.680867106503299,1100,0.377756833176249,0.30311027332705,3.15189873417722,7.4320987654321,4.12345679012346,4.83469843633656,14.8641975308642,8.24691358024691,97.8895454545455,0.86732694989892,0.101267806491044,0.892656024186448,0.970945884903785,0.025199417028412,1.24626865671642,0.152967747764026,1.15179994614779,1.02816116058645,0.554817275747508,0.892656024186448,1.1854366576598,1.24626865671642,0.999811498586239,0.000754005655042413,0.922241849046436,0.0656346924833497,0.106428099106961,0.0561894092539163,0.844087618834269,0.115401506900824,"quantor",3600,"memout" "adder-12-unsat-shuffled",1,2200,486,2686,5305,63811,2,1,3,0,1,5304,2364,4,11.3475966069746,0.680867106503299,1100,0.377756833176249,0.30311027332705,3.15189873417722,7.4320987654321,4.12345679012346,4.83469843633656,14.8641975308642,8.24691358024691,97.8895454545455,0.86732694989892,0.101267806491044,0.892656024186448,0.970945884903785,0.025199417028412,1.24626865671642,0.152967747764026,1.15179994614779,1.02816116058645,0.554817275747508,0.892656024186448,1.1854366576598,1.24626865671642,0.999811498586239,0.000754005655042413,0.922241849046436,0.0656346924833497,0.106428099106961,0.0561894092539163,0.844087618834269,0.115401506900824,"QuBE",3600,"timeout" "adder-12-unsat-shuffled",1,2200,486,2686,5305,63811,2,1,3,0,1,5304,2364,4,11.3475966069746,0.680867106503299,1100,0.377756833176249,0.30311027332705,3.15189873417722,7.4320987654321,4.12345679012346,4.83469843633656,14.8641975308642,8.24691358024691,97.8895454545455,0.86732694989892,0.101267806491044,0.892656024186448,0.970945884903785,0.025199417028412,1.24626865671642,0.152967747764026,1.15179994614779,1.02816116058645,0.554817275747508,0.892656024186448,1.1854366576598,1.24626865671642,0.999811498586239,0.000754005655042413,0.922241849046436,0.0656346924833497,0.106428099106961,0.0561894092539163,0.844087618834269,0.115401506900824,"sKizzo",3600,"memout" "adder-12-unsat-shuffled",1,2200,486,2686,5305,63811,2,1,3,0,1,5304,2364,4,11.3475966069746,0.680867106503299,1100,0.377756833176249,0.30311027332705,3.15189873417722,7.4320987654321,4.12345679012346,4.83469843633656,14.8641975308642,8.24691358024691,97.8895454545455,0.86732694989892,0.101267806491044,0.892656024186448,0.970945884903785,0.025199417028412,1.24626865671642,0.152967747764026,1.15179994614779,1.02816116058645,0.554817275747508,0.892656024186448,1.1854366576598,1.24626865671642,0.999811498586239,0.000754005655042413,0.922241849046436,0.0656346924833497,0.106428099106961,0.0561894092539163,0.844087618834269,0.115401506900824,"sSolve",3600,"timeout" "adder-14-sat-shuffled",1,2359,1281,3640,7255,58115,2,2,4,0,28,7227,3234,56,6.02935906271537,1.98097863542385,1179.5,1.05320468642316,0.927773949000689,3.17994505494505,11.2193598750976,5.96487119437939,6.69972527472527,18.9383294301327,10.2505854800937,77.0792708774905,0.800825948550288,0.0676933666007055,0.91006561049768,0.855371723248818,0.115822076916459,1.13519536473035,0.248710786420284,1.16143194030255,1.05697926780294,0.531658780962984,0.91006561049768,1.31986141272815,1.13519536473035,0.996140592694693,0.00771881461061337,0.87133858101549,0.0916320675164671,0.166839298411673,0.0681009656107064,0.71219537480384,0.191474705753568,"X2clsQ",3600,"memout" "adder-14-sat-shuffled",1,2359,1281,3640,7255,58115,2,2,4,0,28,7227,3234,56,6.02935906271537,1.98097863542385,1179.5,1.05320468642316,0.927773949000689,3.17994505494505,11.2193598750976,5.96487119437939,6.69972527472527,18.9383294301327,10.2505854800937,77.0792708774905,0.800825948550288,0.0676933666007055,0.91006561049768,0.855371723248818,0.115822076916459,1.13519536473035,0.248710786420284,1.16143194030255,1.05697926780294,0.531658780962984,0.91006561049768,1.31986141272815,1.13519536473035,0.996140592694693,0.00771881461061337,0.87133858101549,0.0916320675164671,0.166839298411673,0.0681009656107064,0.71219537480384,0.191474705753568,"quantor",3600,"memout" "adder-14-sat-shuffled",1,2359,1281,3640,7255,58115,2,2,4,0,28,7227,3234,56,6.02935906271537,1.98097863542385,1179.5,1.05320468642316,0.927773949000689,3.17994505494505,11.2193598750976,5.96487119437939,6.69972527472527,18.9383294301327,10.2505854800937,77.0792708774905,0.800825948550288,0.0676933666007055,0.91006561049768,0.855371723248818,0.115822076916459,1.13519536473035,0.248710786420284,1.16143194030255,1.05697926780294,0.531658780962984,0.91006561049768,1.31986141272815,1.13519536473035,0.996140592694693,0.00771881461061337,0.87133858101549,0.0916320675164671,0.166839298411673,0.0681009656107064,0.71219537480384,0.191474705753568,"QuBE",3600,"memout" "adder-14-sat-shuffled",1,2359,1281,3640,7255,58115,2,2,4,0,28,7227,3234,56,6.02935906271537,1.98097863542385,1179.5,1.05320468642316,0.927773949000689,3.17994505494505,11.2193598750976,5.96487119437939,6.69972527472527,18.9383294301327,10.2505854800937,77.0792708774905,0.800825948550288,0.0676933666007055,0.91006561049768,0.855371723248818,0.115822076916459,1.13519536473035,0.248710786420284,1.16143194030255,1.05697926780294,0.531658780962984,0.91006561049768,1.31986141272815,1.13519536473035,0.996140592694693,0.00771881461061337,0.87133858101549,0.0916320675164671,0.166839298411673,0.0681009656107064,0.71219537480384,0.191474705753568,"sKizzo",743.1,"ok" "adder-14-sat-shuffled",1,2359,1281,3640,7255,58115,2,2,4,0,28,7227,3234,56,6.02935906271537,1.98097863542385,1179.5,1.05320468642316,0.927773949000689,3.17994505494505,11.2193598750976,5.96487119437939,6.69972527472527,18.9383294301327,10.2505854800937,77.0792708774905,0.800825948550288,0.0676933666007055,0.91006561049768,0.855371723248818,0.115822076916459,1.13519536473035,0.248710786420284,1.16143194030255,1.05697926780294,0.531658780962984,0.91006561049768,1.31986141272815,1.13519536473035,0.996140592694693,0.00771881461061337,0.87133858101549,0.0916320675164671,0.166839298411673,0.0681009656107064,0.71219537480384,0.191474705753568,"sSolve",3600,"timeout" "adder-14-unsat-shuffled",1,3001,665,3666,7268,95074,2,1,3,0,1,7267,3234,4,12.4009356081453,0.680242157402312,1500.5,0.377682993946065,0.302559163456247,3.16448445171849,7.43458646616541,4.12781954887218,4.85160938352428,14.8691729323308,8.25563909774436,106.134955014995,0.877979258261985,0.093148494856638,0.901741928325752,0.973656152288764,0.0231293518732777,1.24829467939973,0.138979071076875,1.15806802364726,1.04427849277608,0.555218446601942,0.901741928325752,1.18941134764765,1.24829467939973,0.999862410566868,0.000550357732526142,0.929346055757268,0.0596689565108431,0.096312834331453,0.0508422715281625,0.844524069397311,0.103635060088541,"X2clsQ",3600,"timeout" "adder-14-unsat-shuffled",1,3001,665,3666,7268,95074,2,1,3,0,1,7267,3234,4,12.4009356081453,0.680242157402312,1500.5,0.377682993946065,0.302559163456247,3.16448445171849,7.43458646616541,4.12781954887218,4.85160938352428,14.8691729323308,8.25563909774436,106.134955014995,0.877979258261985,0.093148494856638,0.901741928325752,0.973656152288764,0.0231293518732777,1.24829467939973,0.138979071076875,1.15806802364726,1.04427849277608,0.555218446601942,0.901741928325752,1.18941134764765,1.24829467939973,0.999862410566868,0.000550357732526142,0.929346055757268,0.0596689565108431,0.096312834331453,0.0508422715281625,0.844524069397311,0.103635060088541,"quantor",3600,"memout" "adder-14-unsat-shuffled",1,3001,665,3666,7268,95074,2,1,3,0,1,7267,3234,4,12.4009356081453,0.680242157402312,1500.5,0.377682993946065,0.302559163456247,3.16448445171849,7.43458646616541,4.12781954887218,4.85160938352428,14.8691729323308,8.25563909774436,106.134955014995,0.877979258261985,0.093148494856638,0.901741928325752,0.973656152288764,0.0231293518732777,1.24829467939973,0.138979071076875,1.15806802364726,1.04427849277608,0.555218446601942,0.901741928325752,1.18941134764765,1.24829467939973,0.999862410566868,0.000550357732526142,0.929346055757268,0.0596689565108431,0.096312834331453,0.0508422715281625,0.844524069397311,0.103635060088541,"QuBE",3600,"timeout" "adder-14-unsat-shuffled",1,3001,665,3666,7268,95074,2,1,3,0,1,7267,3234,4,12.4009356081453,0.680242157402312,1500.5,0.377682993946065,0.302559163456247,3.16448445171849,7.43458646616541,4.12781954887218,4.85160938352428,14.8691729323308,8.25563909774436,106.134955014995,0.877979258261985,0.093148494856638,0.901741928325752,0.973656152288764,0.0231293518732777,1.24829467939973,0.138979071076875,1.15806802364726,1.04427849277608,0.555218446601942,0.901741928325752,1.18941134764765,1.24829467939973,0.999862410566868,0.000550357732526142,0.929346055757268,0.0596689565108431,0.096312834331453,0.0508422715281625,0.844524069397311,0.103635060088541,"sKizzo",3600,"memout" "adder-14-unsat-shuffled",1,3001,665,3666,7268,95074,2,1,3,0,1,7267,3234,4,12.4009356081453,0.680242157402312,1500.5,0.377682993946065,0.302559163456247,3.16448445171849,7.43458646616541,4.12781954887218,4.85160938352428,14.8691729323308,8.25563909774436,106.134955014995,0.877979258261985,0.093148494856638,0.901741928325752,0.973656152288764,0.0231293518732777,1.24829467939973,0.138979071076875,1.15806802364726,1.04427849277608,0.555218446601942,0.901741928325752,1.18941134764765,1.24829467939973,0.999862410566868,0.000550357732526142,0.929346055757268,0.0596689565108431,0.096312834331453,0.0508422715281625,0.844524069397311,0.103635060088541,"sSolve",3600,"timeout" "adder-16-unsat-shuffled",1,3926,872,4798,9539,134769,2,1,3,0,1,9538,4240,4,13.4484746828808,0.679735821364923,1963,0.377607715693469,0.302128105671454,3.17382242601084,7.43577981651376,4.13073394495413,4.86411004585244,14.8715596330275,8.26146788990826,114.238665308202,0.887006655833315,0.0862661294511349,0.909373660209689,0.975891116855305,0.0213847398140522,1.24982650936849,0.127387256255176,1.16331096336398,1.05788434871636,0.555521283158544,0.909373660209689,1.19264533333463,1.24982650936849,0.999895167208303,0.000419331166788972,0.935232491986624,0.0547199324995594,0.0880159301302572,0.0464465536449922,0.844867035616965,0.0941112834342331,"X2clsQ",3600,"timeout" "adder-16-unsat-shuffled",1,3926,872,4798,9539,134769,2,1,3,0,1,9538,4240,4,13.4484746828808,0.679735821364923,1963,0.377607715693469,0.302128105671454,3.17382242601084,7.43577981651376,4.13073394495413,4.86411004585244,14.8715596330275,8.26146788990826,114.238665308202,0.887006655833315,0.0862661294511349,0.909373660209689,0.975891116855305,0.0213847398140522,1.24982650936849,0.127387256255176,1.16331096336398,1.05788434871636,0.555521283158544,0.909373660209689,1.19264533333463,1.24982650936849,0.999895167208303,0.000419331166788972,0.935232491986624,0.0547199324995594,0.0880159301302572,0.0464465536449922,0.844867035616965,0.0941112834342331,"quantor",3600,"memout" "adder-16-unsat-shuffled",1,3926,872,4798,9539,134769,2,1,3,0,1,9538,4240,4,13.4484746828808,0.679735821364923,1963,0.377607715693469,0.302128105671454,3.17382242601084,7.43577981651376,4.13073394495413,4.86411004585244,14.8715596330275,8.26146788990826,114.238665308202,0.887006655833315,0.0862661294511349,0.909373660209689,0.975891116855305,0.0213847398140522,1.24982650936849,0.127387256255176,1.16331096336398,1.05788434871636,0.555521283158544,0.909373660209689,1.19264533333463,1.24982650936849,0.999895167208303,0.000419331166788972,0.935232491986624,0.0547199324995594,0.0880159301302572,0.0464465536449922,0.844867035616965,0.0941112834342331,"QuBE",3600,"timeout" "adder-16-unsat-shuffled",1,3926,872,4798,9539,134769,2,1,3,0,1,9538,4240,4,13.4484746828808,0.679735821364923,1963,0.377607715693469,0.302128105671454,3.17382242601084,7.43577981651376,4.13073394495413,4.86411004585244,14.8715596330275,8.26146788990826,114.238665308202,0.887006655833315,0.0862661294511349,0.909373660209689,0.975891116855305,0.0213847398140522,1.24982650936849,0.127387256255176,1.16331096336398,1.05788434871636,0.555521283158544,0.909373660209689,1.19264533333463,1.24982650936849,0.999895167208303,0.000419331166788972,0.935232491986624,0.0547199324995594,0.0880159301302572,0.0464465536449922,0.844867035616965,0.0941112834342331,"sKizzo",3600,"memout" "adder-16-unsat-shuffled",1,3926,872,4798,9539,134769,2,1,3,0,1,9538,4240,4,13.4484746828808,0.679735821364923,1963,0.377607715693469,0.302128105671454,3.17382242601084,7.43577981651376,4.13073394495413,4.86411004585244,14.8715596330275,8.26146788990826,114.238665308202,0.887006655833315,0.0862661294511349,0.909373660209689,0.975891116855305,0.0213847398140522,1.24982650936849,0.127387256255176,1.16331096336398,1.05788434871636,0.555521283158544,0.909373660209689,1.19264533333463,1.24982650936849,0.999895167208303,0.000419331166788972,0.935232491986624,0.0547199324995594,0.0880159301302572,0.0464465536449922,0.844867035616965,0.0941112834342331,"sSolve",3600,"timeout" "adder-4-sat-shuffled",1,174,106,280,530,3110,2,2,4,0,8,522,244,16,3.88301886792453,1.98490566037736,87,1.04905660377358,0.935849056603774,3,9.92452830188679,5.24528301886792,6.32857142857143,16.7924528301887,9.0188679245283,48.1609195402299,0.729903536977492,0.0913183279742765,0.862001943634597,0.781497797356828,0.159485530546624,1.12096774193548,0.370044052863436,1.06486306685885,0.917914033337029,0.52851711026616,0.862001943634597,1.25758266241329,1.12096774193548,0.984905660377358,0.030188679245283,0.80995280995281,0.140830485658072,0.233440988157969,0.108165429480382,0.741029034015724,0.288215542053086,"X2clsQ",3600,"timeout" "adder-4-sat-shuffled",1,174,106,280,530,3110,2,2,4,0,8,522,244,16,3.88301886792453,1.98490566037736,87,1.04905660377358,0.935849056603774,3,9.92452830188679,5.24528301886792,6.32857142857143,16.7924528301887,9.0188679245283,48.1609195402299,0.729903536977492,0.0913183279742765,0.862001943634597,0.781497797356828,0.159485530546624,1.12096774193548,0.370044052863436,1.06486306685885,0.917914033337029,0.52851711026616,0.862001943634597,1.25758266241329,1.12096774193548,0.984905660377358,0.030188679245283,0.80995280995281,0.140830485658072,0.233440988157969,0.108165429480382,0.741029034015724,0.288215542053086,"quantor",0.1,"ok" "adder-4-sat-shuffled",1,174,106,280,530,3110,2,2,4,0,8,522,244,16,3.88301886792453,1.98490566037736,87,1.04905660377358,0.935849056603774,3,9.92452830188679,5.24528301886792,6.32857142857143,16.7924528301887,9.0188679245283,48.1609195402299,0.729903536977492,0.0913183279742765,0.862001943634597,0.781497797356828,0.159485530546624,1.12096774193548,0.370044052863436,1.06486306685885,0.917914033337029,0.52851711026616,0.862001943634597,1.25758266241329,1.12096774193548,0.984905660377358,0.030188679245283,0.80995280995281,0.140830485658072,0.233440988157969,0.108165429480382,0.741029034015724,0.288215542053086,"QuBE",3600,"timeout" "adder-4-sat-shuffled",1,174,106,280,530,3110,2,2,4,0,8,522,244,16,3.88301886792453,1.98490566037736,87,1.04905660377358,0.935849056603774,3,9.92452830188679,5.24528301886792,6.32857142857143,16.7924528301887,9.0188679245283,48.1609195402299,0.729903536977492,0.0913183279742765,0.862001943634597,0.781497797356828,0.159485530546624,1.12096774193548,0.370044052863436,1.06486306685885,0.917914033337029,0.52851711026616,0.862001943634597,1.25758266241329,1.12096774193548,0.984905660377358,0.030188679245283,0.80995280995281,0.140830485658072,0.233440988157969,0.108165429480382,0.741029034015724,0.288215542053086,"sKizzo",0.44,"ok" "adder-4-sat-shuffled",1,174,106,280,530,3110,2,2,4,0,8,522,244,16,3.88301886792453,1.98490566037736,87,1.04905660377358,0.935849056603774,3,9.92452830188679,5.24528301886792,6.32857142857143,16.7924528301887,9.0188679245283,48.1609195402299,0.729903536977492,0.0913183279742765,0.862001943634597,0.781497797356828,0.159485530546624,1.12096774193548,0.370044052863436,1.06486306685885,0.917914033337029,0.52851711026616,0.862001943634597,1.25758266241329,1.12096774193548,0.984905660377358,0.030188679245283,0.80995280995281,0.140830485658072,0.233440988157969,0.108165429480382,0.741029034015724,0.288215542053086,"sSolve",3600,"timeout" "adder-6-sat-shuffled",1,411,237,648,1259,8039,2,2,4,0,12,1247,570,24,4.39952343129468,1.98570293884035,205.5,1.05242255758539,0.933280381254964,3.09104938271605,10.5485232067511,5.59071729957806,6.51697530864198,17.8396624472574,9.61603375527426,55.7031630170316,0.750839656673716,0.0843388481154372,0.877595233796714,0.80533465871438,0.146162458017166,1.12765957446809,0.331842279655401,1.08633186936313,0.95335967087456,0.53,0.877595233796714,1.2697247173891,1.12765957446809,0.990468625893566,0.0190627482128674,0.829221934648981,0.123948265154073,0.215501107748506,0.0948292682926829,0.725785626504837,0.259883510968304,"X2clsQ",3600,"timeout" "adder-6-sat-shuffled",1,411,237,648,1259,8039,2,2,4,0,12,1247,570,24,4.39952343129468,1.98570293884035,205.5,1.05242255758539,0.933280381254964,3.09104938271605,10.5485232067511,5.59071729957806,6.51697530864198,17.8396624472574,9.61603375527426,55.7031630170316,0.750839656673716,0.0843388481154372,0.877595233796714,0.80533465871438,0.146162458017166,1.12765957446809,0.331842279655401,1.08633186936313,0.95335967087456,0.53,0.877595233796714,1.2697247173891,1.12765957446809,0.990468625893566,0.0190627482128674,0.829221934648981,0.123948265154073,0.215501107748506,0.0948292682926829,0.725785626504837,0.259883510968304,"quantor",17.23,"ok" "adder-6-sat-shuffled",1,411,237,648,1259,8039,2,2,4,0,12,1247,570,24,4.39952343129468,1.98570293884035,205.5,1.05242255758539,0.933280381254964,3.09104938271605,10.5485232067511,5.59071729957806,6.51697530864198,17.8396624472574,9.61603375527426,55.7031630170316,0.750839656673716,0.0843388481154372,0.877595233796714,0.80533465871438,0.146162458017166,1.12765957446809,0.331842279655401,1.08633186936313,0.95335967087456,0.53,0.877595233796714,1.2697247173891,1.12765957446809,0.990468625893566,0.0190627482128674,0.829221934648981,0.123948265154073,0.215501107748506,0.0948292682926829,0.725785626504837,0.259883510968304,"QuBE",3600,"timeout" "adder-6-sat-shuffled",1,411,237,648,1259,8039,2,2,4,0,12,1247,570,24,4.39952343129468,1.98570293884035,205.5,1.05242255758539,0.933280381254964,3.09104938271605,10.5485232067511,5.59071729957806,6.51697530864198,17.8396624472574,9.61603375527426,55.7031630170316,0.750839656673716,0.0843388481154372,0.877595233796714,0.80533465871438,0.146162458017166,1.12765957446809,0.331842279655401,1.08633186936313,0.95335967087456,0.53,0.877595233796714,1.2697247173891,1.12765957446809,0.990468625893566,0.0190627482128674,0.829221934648981,0.123948265154073,0.215501107748506,0.0948292682926829,0.725785626504837,0.259883510968304,"sKizzo",3.37,"ok" "adder-6-sat-shuffled",1,411,237,648,1259,8039,2,2,4,0,12,1247,570,24,4.39952343129468,1.98570293884035,205.5,1.05242255758539,0.933280381254964,3.09104938271605,10.5485232067511,5.59071729957806,6.51697530864198,17.8396624472574,9.61603375527426,55.7031630170316,0.750839656673716,0.0843388481154372,0.877595233796714,0.80533465871438,0.146162458017166,1.12765957446809,0.331842279655401,1.08633186936313,0.95335967087456,0.53,0.877595233796714,1.2697247173891,1.12765957446809,0.990468625893566,0.0190627482128674,0.829221934648981,0.123948265154073,0.215501107748506,0.0948292682926829,0.725785626504837,0.259883510968304,"sSolve",3600,"timeout" "adder-8-sat-shuffled",1,748,420,1168,2296,15668,2,2,4,0,16,2280,1032,32,4.8397212543554,1.98432055749129,374,1.05313588850174,0.931184668989547,3.13184931506849,10.847619047619,5.75714285714286,6.60102739726027,18.3333333333333,9.9,61.7005347593583,0.766530508041869,0.0791422006637733,0.888408927285817,0.821981681931723,0.136456471789635,1.13096351730589,0.304579517069109,1.10743925764425,0.983858922917927,0.530728709394205,0.888408927285817,1.2835221985244,1.13096351730589,0.993031358885017,0.0139372822299652,0.842999104015639,0.11294368540103,0.200581032282588,0.0857805255023184,0.719382425768326,0.237937420487302,"X2clsQ",3600,"memout" "adder-8-sat-shuffled",1,748,420,1168,2296,15668,2,2,4,0,16,2280,1032,32,4.8397212543554,1.98432055749129,374,1.05313588850174,0.931184668989547,3.13184931506849,10.847619047619,5.75714285714286,6.60102739726027,18.3333333333333,9.9,61.7005347593583,0.766530508041869,0.0791422006637733,0.888408927285817,0.821981681931723,0.136456471789635,1.13096351730589,0.304579517069109,1.10743925764425,0.983858922917927,0.530728709394205,0.888408927285817,1.2835221985244,1.13096351730589,0.993031358885017,0.0139372822299652,0.842999104015639,0.11294368540103,0.200581032282588,0.0857805255023184,0.719382425768326,0.237937420487302,"quantor",3600,"timeout" "adder-8-sat-shuffled",1,748,420,1168,2296,15668,2,2,4,0,16,2280,1032,32,4.8397212543554,1.98432055749129,374,1.05313588850174,0.931184668989547,3.13184931506849,10.847619047619,5.75714285714286,6.60102739726027,18.3333333333333,9.9,61.7005347593583,0.766530508041869,0.0791422006637733,0.888408927285817,0.821981681931723,0.136456471789635,1.13096351730589,0.304579517069109,1.10743925764425,0.983858922917927,0.530728709394205,0.888408927285817,1.2835221985244,1.13096351730589,0.993031358885017,0.0139372822299652,0.842999104015639,0.11294368540103,0.200581032282588,0.0857805255023184,0.719382425768326,0.237937420487302,"QuBE",3600,"timeout" "adder-8-sat-shuffled",1,748,420,1168,2296,15668,2,2,4,0,16,2280,1032,32,4.8397212543554,1.98432055749129,374,1.05313588850174,0.931184668989547,3.13184931506849,10.847619047619,5.75714285714286,6.60102739726027,18.3333333333333,9.9,61.7005347593583,0.766530508041869,0.0791422006637733,0.888408927285817,0.821981681931723,0.136456471789635,1.13096351730589,0.304579517069109,1.10743925764425,0.983858922917927,0.530728709394205,0.888408927285817,1.2835221985244,1.13096351730589,0.993031358885017,0.0139372822299652,0.842999104015639,0.11294368540103,0.200581032282588,0.0857805255023184,0.719382425768326,0.237937420487302,"sKizzo",9.6,"ok" "adder-8-sat-shuffled",1,748,420,1168,2296,15668,2,2,4,0,16,2280,1032,32,4.8397212543554,1.98432055749129,374,1.05313588850174,0.931184668989547,3.13184931506849,10.847619047619,5.75714285714286,6.60102739726027,18.3333333333333,9.9,61.7005347593583,0.766530508041869,0.0791422006637733,0.888408927285817,0.821981681931723,0.136456471789635,1.13096351730589,0.304579517069109,1.10743925764425,0.983858922917927,0.530728709394205,0.888408927285817,1.2835221985244,1.13096351730589,0.993031358885017,0.0139372822299652,0.842999104015639,0.11294368540103,0.200581032282588,0.0857805255023184,0.719382425768326,0.237937420487302,"sSolve",3600,"timeout" "adder-8-unsat-shuffled",1,970,212,1182,2303,22773,2,1,3,0,1,2302,1032,4,9.20581849761181,0.682587928788537,485,0.377768128528007,0.30481980026053,3.10659898477157,7.41509433962264,4.10377358490566,4.77326565143824,14.8301886792453,8.20754716981132,80.5494845360825,0.838756422078777,0.12304044262943,0.867836422810245,0.963247997487043,0.0308259781319984,1.23931623931624,0.192241243913931,1.13444081230214,0.98450905643824,0.553435114503817,0.867836422810245,1.17377230209246,1.23931623931624,0.999565783760313,0.00173686495874946,0.902284417811185,0.0823500276662686,0.135574953915914,0.071471746496932,0.842752259380128,0.150257447917365,"X2clsQ",3600,"timeout" "adder-8-unsat-shuffled",1,970,212,1182,2303,22773,2,1,3,0,1,2302,1032,4,9.20581849761181,0.682587928788537,485,0.377768128528007,0.30481980026053,3.10659898477157,7.41509433962264,4.10377358490566,4.77326565143824,14.8301886792453,8.20754716981132,80.5494845360825,0.838756422078777,0.12304044262943,0.867836422810245,0.963247997487043,0.0308259781319984,1.23931623931624,0.192241243913931,1.13444081230214,0.98450905643824,0.553435114503817,0.867836422810245,1.17377230209246,1.23931623931624,0.999565783760313,0.00173686495874946,0.902284417811185,0.0823500276662686,0.135574953915914,0.071471746496932,0.842752259380128,0.150257447917365,"quantor",3600,"memout" "adder-8-unsat-shuffled",1,970,212,1182,2303,22773,2,1,3,0,1,2302,1032,4,9.20581849761181,0.682587928788537,485,0.377768128528007,0.30481980026053,3.10659898477157,7.41509433962264,4.10377358490566,4.77326565143824,14.8301886792453,8.20754716981132,80.5494845360825,0.838756422078777,0.12304044262943,0.867836422810245,0.963247997487043,0.0308259781319984,1.23931623931624,0.192241243913931,1.13444081230214,0.98450905643824,0.553435114503817,0.867836422810245,1.17377230209246,1.23931623931624,0.999565783760313,0.00173686495874946,0.902284417811185,0.0823500276662686,0.135574953915914,0.071471746496932,0.842752259380128,0.150257447917365,"QuBE",3600,"timeout" "adder-8-unsat-shuffled",1,970,212,1182,2303,22773,2,1,3,0,1,2302,1032,4,9.20581849761181,0.682587928788537,485,0.377768128528007,0.30481980026053,3.10659898477157,7.41509433962264,4.10377358490566,4.77326565143824,14.8301886792453,8.20754716981132,80.5494845360825,0.838756422078777,0.12304044262943,0.867836422810245,0.963247997487043,0.0308259781319984,1.23931623931624,0.192241243913931,1.13444081230214,0.98450905643824,0.553435114503817,0.867836422810245,1.17377230209246,1.23931623931624,0.999565783760313,0.00173686495874946,0.902284417811185,0.0823500276662686,0.135574953915914,0.071471746496932,0.842752259380128,0.150257447917365,"sKizzo",3600,"memout" "adder-8-unsat-shuffled",1,970,212,1182,2303,22773,2,1,3,0,1,2302,1032,4,9.20581849761181,0.682587928788537,485,0.377768128528007,0.30481980026053,3.10659898477157,7.41509433962264,4.10377358490566,4.77326565143824,14.8301886792453,8.20754716981132,80.5494845360825,0.838756422078777,0.12304044262943,0.867836422810245,0.963247997487043,0.0308259781319984,1.23931623931624,0.192241243913931,1.13444081230214,0.98450905643824,0.553435114503817,0.867836422810245,1.17377230209246,1.23931623931624,0.999565783760313,0.00173686495874946,0.902284417811185,0.0823500276662686,0.135574953915914,0.071471746496932,0.842752259380128,0.150257447917365,"sSolve",3600,"timeout" "Adder2-10-s-shuffled",1,7424,545,7969,9664,23622,3,3,6,1,5368,4295,7829,7024,2.00869205298013,0.435637417218543,2474.66666666667,0.217818708609272,0.217818708609272,1.31371564813653,7.72477064220184,3.86238532110092,6.56029614757184,21.1559633027523,10.5779816513761,11.3089978448276,0.556811446956227,0.354076708153416,0.569132495363692,0.839960465293089,0.0891118448903565,1,0.795940089713373,0.88210336963059,0.502033691926579,0.5,0.569132495363693,0.901622433717757,1,0.444432947019868,0.72682119205298,0.56673186255822,0.413789086902913,0.698612121295988,0.426217791298611,0.955041580823644,1.23270309550351,"X2clsQ",3600,"timeout" "Adder2-10-s-shuffled",1,7424,545,7969,9664,23622,3,3,6,1,5368,4295,7829,7024,2.00869205298013,0.435637417218543,2474.66666666667,0.217818708609272,0.217818708609272,1.31371564813653,7.72477064220184,3.86238532110092,6.56029614757184,21.1559633027523,10.5779816513761,11.3089978448276,0.556811446956227,0.354076708153416,0.569132495363692,0.839960465293089,0.0891118448903565,1,0.795940089713373,0.88210336963059,0.502033691926579,0.5,0.569132495363693,0.901622433717757,1,0.444432947019868,0.72682119205298,0.56673186255822,0.413789086902913,0.698612121295988,0.426217791298611,0.955041580823644,1.23270309550351,"quantor",3600,"timeout" "Adder2-10-s-shuffled",1,7424,545,7969,9664,23622,3,3,6,1,5368,4295,7829,7024,2.00869205298013,0.435637417218543,2474.66666666667,0.217818708609272,0.217818708609272,1.31371564813653,7.72477064220184,3.86238532110092,6.56029614757184,21.1559633027523,10.5779816513761,11.3089978448276,0.556811446956227,0.354076708153416,0.569132495363692,0.839960465293089,0.0891118448903565,1,0.795940089713373,0.88210336963059,0.502033691926579,0.5,0.569132495363693,0.901622433717757,1,0.444432947019868,0.72682119205298,0.56673186255822,0.413789086902913,0.698612121295988,0.426217791298611,0.955041580823644,1.23270309550351,"QuBE",3600,"timeout" "Adder2-10-s-shuffled",1,7424,545,7969,9664,23622,3,3,6,1,5368,4295,7829,7024,2.00869205298013,0.435637417218543,2474.66666666667,0.217818708609272,0.217818708609272,1.31371564813653,7.72477064220184,3.86238532110092,6.56029614757184,21.1559633027523,10.5779816513761,11.3089978448276,0.556811446956227,0.354076708153416,0.569132495363692,0.839960465293089,0.0891118448903565,1,0.795940089713373,0.88210336963059,0.502033691926579,0.5,0.569132495363693,0.901622433717757,1,0.444432947019868,0.72682119205298,0.56673186255822,0.413789086902913,0.698612121295988,0.426217791298611,0.955041580823644,1.23270309550351,"sKizzo",69.53,"ok" "Adder2-10-s-shuffled",1,7424,545,7969,9664,23622,3,3,6,1,5368,4295,7829,7024,2.00869205298013,0.435637417218543,2474.66666666667,0.217818708609272,0.217818708609272,1.31371564813653,7.72477064220184,3.86238532110092,6.56029614757184,21.1559633027523,10.5779816513761,11.3089978448276,0.556811446956227,0.354076708153416,0.569132495363692,0.839960465293089,0.0891118448903565,1,0.795940089713373,0.88210336963059,0.502033691926579,0.5,0.569132495363693,0.901622433717757,1,0.444432947019868,0.72682119205298,0.56673186255822,0.413789086902913,0.698612121295988,0.426217791298611,0.955041580823644,1.23270309550351,"sSolve",3600,"timeout" "Adder2-4-s-shuffled",1,1085,86,1171,1405,3435,3,3,6,1,778,626,1139,1021,2.01494661921708,0.429893238434164,361.666666666667,0.214946619217082,0.214946619217082,1.30059777967549,7.02325581395349,3.51162790697674,6.53116994022203,19.8604651162791,9.93023255813953,11.1926267281106,0.556622998544396,0.355458515283843,0.568703638290357,0.842050209205021,0.0879184861717613,1,0.796548117154812,0.889488392060585,0.505855284781894,0.5,0.568703638290357,0.908793359427723,1,0.445551601423488,0.726690391459075,0.566193987521271,0.415910981229628,0.659574720679603,0.426705187790479,0.958748770799992,1.16492710134055,"X2clsQ",3600,"timeout" "Adder2-4-s-shuffled",1,1085,86,1171,1405,3435,3,3,6,1,778,626,1139,1021,2.01494661921708,0.429893238434164,361.666666666667,0.214946619217082,0.214946619217082,1.30059777967549,7.02325581395349,3.51162790697674,6.53116994022203,19.8604651162791,9.93023255813953,11.1926267281106,0.556622998544396,0.355458515283843,0.568703638290357,0.842050209205021,0.0879184861717613,1,0.796548117154812,0.889488392060585,0.505855284781894,0.5,0.568703638290357,0.908793359427723,1,0.445551601423488,0.726690391459075,0.566193987521271,0.415910981229628,0.659574720679603,0.426705187790479,0.958748770799992,1.16492710134055,"quantor",0.1,"ok" "Adder2-4-s-shuffled",1,1085,86,1171,1405,3435,3,3,6,1,778,626,1139,1021,2.01494661921708,0.429893238434164,361.666666666667,0.214946619217082,0.214946619217082,1.30059777967549,7.02325581395349,3.51162790697674,6.53116994022203,19.8604651162791,9.93023255813953,11.1926267281106,0.556622998544396,0.355458515283843,0.568703638290357,0.842050209205021,0.0879184861717613,1,0.796548117154812,0.889488392060585,0.505855284781894,0.5,0.568703638290357,0.908793359427723,1,0.445551601423488,0.726690391459075,0.566193987521271,0.415910981229628,0.659574720679603,0.426705187790479,0.958748770799992,1.16492710134055,"QuBE",3600,"timeout" "Adder2-4-s-shuffled",1,1085,86,1171,1405,3435,3,3,6,1,778,626,1139,1021,2.01494661921708,0.429893238434164,361.666666666667,0.214946619217082,0.214946619217082,1.30059777967549,7.02325581395349,3.51162790697674,6.53116994022203,19.8604651162791,9.93023255813953,11.1926267281106,0.556622998544396,0.355458515283843,0.568703638290357,0.842050209205021,0.0879184861717613,1,0.796548117154812,0.889488392060585,0.505855284781894,0.5,0.568703638290357,0.908793359427723,1,0.445551601423488,0.726690391459075,0.566193987521271,0.415910981229628,0.659574720679603,0.426705187790479,0.958748770799992,1.16492710134055,"sKizzo",0.2,"ok" "Adder2-4-s-shuffled",1,1085,86,1171,1405,3435,3,3,6,1,778,626,1139,1021,2.01494661921708,0.429893238434164,361.666666666667,0.214946619217082,0.214946619217082,1.30059777967549,7.02325581395349,3.51162790697674,6.53116994022203,19.8604651162791,9.93023255813953,11.1926267281106,0.556622998544396,0.355458515283843,0.568703638290357,0.842050209205021,0.0879184861717613,1,0.796548117154812,0.889488392060585,0.505855284781894,0.5,0.568703638290357,0.908793359427723,1,0.445551601423488,0.726690391459075,0.566193987521271,0.415910981229628,0.659574720679603,0.426705187790479,0.958748770799992,1.16492710134055,"sSolve",2746.18,"ok" "Adder2-6-c-shuffled",1,2606,159,2765,3333,8155,4,3,7,1,1842,1490,2695,2421,2.15691569156916,0.28982898289829,651.5,0.144914491449145,0.144914491449145,1.30813743218806,6.07547169811321,3.0377358490566,7.64339963833635,28.3018867924528,14.1509433962264,13.6711435149655,0.556468424279583,0.384304107909258,0.564056196967589,0.893565447333627,0.0592274678111588,1,0.797047157338034,0.935330814660127,0.527579142223789,0.5,0.564056196967589,0.948084597804098,1,0.447044704470447,0.726372637263726,0.566170584009032,0.411293654440219,0.803189130464252,0.427094877304082,0.948053864675653,1.41863451254726,"X2clsQ",3600,"timeout" "Adder2-6-c-shuffled",1,2606,159,2765,3333,8155,4,3,7,1,1842,1490,2695,2421,2.15691569156916,0.28982898289829,651.5,0.144914491449145,0.144914491449145,1.30813743218806,6.07547169811321,3.0377358490566,7.64339963833635,28.3018867924528,14.1509433962264,13.6711435149655,0.556468424279583,0.384304107909258,0.564056196967589,0.893565447333627,0.0592274678111588,1,0.797047157338034,0.935330814660127,0.527579142223789,0.5,0.564056196967589,0.948084597804098,1,0.447044704470447,0.726372637263726,0.566170584009032,0.411293654440219,0.803189130464252,0.427094877304082,0.948053864675653,1.41863451254726,"quantor",3600,"memout" "Adder2-6-c-shuffled",1,2606,159,2765,3333,8155,4,3,7,1,1842,1490,2695,2421,2.15691569156916,0.28982898289829,651.5,0.144914491449145,0.144914491449145,1.30813743218806,6.07547169811321,3.0377358490566,7.64339963833635,28.3018867924528,14.1509433962264,13.6711435149655,0.556468424279583,0.384304107909258,0.564056196967589,0.893565447333627,0.0592274678111588,1,0.797047157338034,0.935330814660127,0.527579142223789,0.5,0.564056196967589,0.948084597804098,1,0.447044704470447,0.726372637263726,0.566170584009032,0.411293654440219,0.803189130464252,0.427094877304082,0.948053864675653,1.41863451254726,"QuBE",3600,"timeout" "Adder2-6-c-shuffled",1,2606,159,2765,3333,8155,4,3,7,1,1842,1490,2695,2421,2.15691569156916,0.28982898289829,651.5,0.144914491449145,0.144914491449145,1.30813743218806,6.07547169811321,3.0377358490566,7.64339963833635,28.3018867924528,14.1509433962264,13.6711435149655,0.556468424279583,0.384304107909258,0.564056196967589,0.893565447333627,0.0592274678111588,1,0.797047157338034,0.935330814660127,0.527579142223789,0.5,0.564056196967589,0.948084597804098,1,0.447044704470447,0.726372637263726,0.566170584009032,0.411293654440219,0.803189130464252,0.427094877304082,0.948053864675653,1.41863451254726,"sKizzo",3600,"memout" "Adder2-6-c-shuffled",1,2606,159,2765,3333,8155,4,3,7,1,1842,1490,2695,2421,2.15691569156916,0.28982898289829,651.5,0.144914491449145,0.144914491449145,1.30813743218806,6.07547169811321,3.0377358490566,7.64339963833635,28.3018867924528,14.1509433962264,13.6711435149655,0.556468424279583,0.384304107909258,0.564056196967589,0.893565447333627,0.0592274678111588,1,0.797047157338034,0.935330814660127,0.527579142223789,0.5,0.564056196967589,0.948084597804098,1,0.447044704470447,0.726372637263726,0.566170584009032,0.411293654440219,0.803189130464252,0.427094877304082,0.948053864675653,1.41863451254726,"sSolve",3600,"timeout" "Adder2-6-s-shuffled",1,2570,195,2765,3338,8160,3,3,6,1,1852,1485,2705,2426,2.01138406231276,0.433193529059317,856.666666666667,0.216596764529658,0.216596764529658,1.30813743218806,7.41538461538462,3.70769230769231,6.54792043399638,20.5846153846154,10.2923076923077,11.2599221789883,0.556740196078431,0.354656862745098,0.568960381292821,0.840854061193044,0.0886029411764706,1,0.796169931763152,0.885224021515221,0.503657396810864,0.5,0.568960381292821,0.904654272061777,1,0.444877171959257,0.726782504493709,0.566513431978164,0.414678053435008,0.681373144884135,0.426414494596313,0.956611078694644,1.20274843705806,"X2clsQ",3600,"timeout" "Adder2-6-s-shuffled",1,2570,195,2765,3338,8160,3,3,6,1,1852,1485,2705,2426,2.01138406231276,0.433193529059317,856.666666666667,0.216596764529658,0.216596764529658,1.30813743218806,7.41538461538462,3.70769230769231,6.54792043399638,20.5846153846154,10.2923076923077,11.2599221789883,0.556740196078431,0.354656862745098,0.568960381292821,0.840854061193044,0.0886029411764706,1,0.796169931763152,0.885224021515221,0.503657396810864,0.5,0.568960381292821,0.904654272061777,1,0.444877171959257,0.726782504493709,0.566513431978164,0.414678053435008,0.681373144884135,0.426414494596313,0.956611078694644,1.20274843705806,"quantor",17.06,"ok" "Adder2-6-s-shuffled",1,2570,195,2765,3338,8160,3,3,6,1,1852,1485,2705,2426,2.01138406231276,0.433193529059317,856.666666666667,0.216596764529658,0.216596764529658,1.30813743218806,7.41538461538462,3.70769230769231,6.54792043399638,20.5846153846154,10.2923076923077,11.2599221789883,0.556740196078431,0.354656862745098,0.568960381292821,0.840854061193044,0.0886029411764706,1,0.796169931763152,0.885224021515221,0.503657396810864,0.5,0.568960381292821,0.904654272061777,1,0.444877171959257,0.726782504493709,0.566513431978164,0.414678053435008,0.681373144884135,0.426414494596313,0.956611078694644,1.20274843705806,"QuBE",3600,"timeout" "Adder2-6-s-shuffled",1,2570,195,2765,3338,8160,3,3,6,1,1852,1485,2705,2426,2.01138406231276,0.433193529059317,856.666666666667,0.216596764529658,0.216596764529658,1.30813743218806,7.41538461538462,3.70769230769231,6.54792043399638,20.5846153846154,10.2923076923077,11.2599221789883,0.556740196078431,0.354656862745098,0.568960381292821,0.840854061193044,0.0886029411764706,1,0.796169931763152,0.885224021515221,0.503657396810864,0.5,0.568960381292821,0.904654272061777,1,0.444877171959257,0.726782504493709,0.566513431978164,0.414678053435008,0.681373144884135,0.426414494596313,0.956611078694644,1.20274843705806,"sKizzo",1.37,"ok" "Adder2-6-s-shuffled",1,2570,195,2765,3338,8160,3,3,6,1,1852,1485,2705,2426,2.01138406231276,0.433193529059317,856.666666666667,0.216596764529658,0.216596764529658,1.30813743218806,7.41538461538462,3.70769230769231,6.54792043399638,20.5846153846154,10.2923076923077,11.2599221789883,0.556740196078431,0.354656862745098,0.568960381292821,0.840854061193044,0.0886029411764706,1,0.796169931763152,0.885224021515221,0.503657396810864,0.5,0.568960381292821,0.904654272061777,1,0.444877171959257,0.726782504493709,0.566513431978164,0.414678053435008,0.681373144884135,0.426414494596313,0.956611078694644,1.20274843705806,"sSolve",3600,"timeout" "aim-100-1_6-yes1-3-50-shuffled",1,354,100,454,782,1930,2,1,3,0,621,161,700,415,1.79283887468031,0.675191815856777,177,0.30690537084399,0.368286445012788,1.72246696035242,5.28,2.4,3.16299559471366,10.56,4.8,6.93502824858757,0.594818652849741,0.280829015544041,0.613409415121255,0.749128919860627,0.149222797927461,0.833333333333333,0.681184668989547,0.931629636134774,0.571470390211059,0.454545454545455,0.613409415121255,0.960747258804307,0.833333333333333,0.205882352941176,0.530690537084399,0.642786069651741,0.304989178401776,0.650507462686567,0.322537112010796,0.853799789119179,1.01201238390093,"X2clsQ",0.03,"ok" "aim-100-1_6-yes1-3-50-shuffled",1,354,100,454,782,1930,2,1,3,0,621,161,700,415,1.79283887468031,0.675191815856777,177,0.30690537084399,0.368286445012788,1.72246696035242,5.28,2.4,3.16299559471366,10.56,4.8,6.93502824858757,0.594818652849741,0.280829015544041,0.613409415121255,0.749128919860627,0.149222797927461,0.833333333333333,0.681184668989547,0.931629636134774,0.571470390211059,0.454545454545455,0.613409415121255,0.960747258804307,0.833333333333333,0.205882352941176,0.530690537084399,0.642786069651741,0.304989178401776,0.650507462686567,0.322537112010796,0.853799789119179,1.01201238390093,"quantor",3600,"memout" "aim-100-1_6-yes1-3-50-shuffled",1,354,100,454,782,1930,2,1,3,0,621,161,700,415,1.79283887468031,0.675191815856777,177,0.30690537084399,0.368286445012788,1.72246696035242,5.28,2.4,3.16299559471366,10.56,4.8,6.93502824858757,0.594818652849741,0.280829015544041,0.613409415121255,0.749128919860627,0.149222797927461,0.833333333333333,0.681184668989547,0.931629636134774,0.571470390211059,0.454545454545455,0.613409415121255,0.960747258804307,0.833333333333333,0.205882352941176,0.530690537084399,0.642786069651741,0.304989178401776,0.650507462686567,0.322537112010796,0.853799789119179,1.01201238390093,"QuBE",0.07,"ok" "aim-100-1_6-yes1-3-50-shuffled",1,354,100,454,782,1930,2,1,3,0,621,161,700,415,1.79283887468031,0.675191815856777,177,0.30690537084399,0.368286445012788,1.72246696035242,5.28,2.4,3.16299559471366,10.56,4.8,6.93502824858757,0.594818652849741,0.280829015544041,0.613409415121255,0.749128919860627,0.149222797927461,0.833333333333333,0.681184668989547,0.931629636134774,0.571470390211059,0.454545454545455,0.613409415121255,0.960747258804307,0.833333333333333,0.205882352941176,0.530690537084399,0.642786069651741,0.304989178401776,0.650507462686567,0.322537112010796,0.853799789119179,1.01201238390093,"sKizzo",0.43,"ok" "aim-100-1_6-yes1-3-50-shuffled",1,354,100,454,782,1930,2,1,3,0,621,161,700,415,1.79283887468031,0.675191815856777,177,0.30690537084399,0.368286445012788,1.72246696035242,5.28,2.4,3.16299559471366,10.56,4.8,6.93502824858757,0.594818652849741,0.280829015544041,0.613409415121255,0.749128919860627,0.149222797927461,0.833333333333333,0.681184668989547,0.931629636134774,0.571470390211059,0.454545454545455,0.613409415121255,0.960747258804307,0.833333333333333,0.205882352941176,0.530690537084399,0.642786069651741,0.304989178401776,0.650507462686567,0.322537112010796,0.853799789119179,1.01201238390093,"sSolve",3600,"timeout" "aim-100-1_6-yes1-3-90-shuffled",1,276,100,376,665,1657,2,1,3,0,504,161,583,337,1.75639097744361,0.735338345864662,138,0.360902255639098,0.374436090225564,1.7686170212766,4.89,2.4,3.30053191489362,9.78,4.8,7.57608695652174,0.59867229933615,0.256487628243814,0.636130136986301,0.748991935483871,0.150271575135788,0.963855421686747,0.670362903225806,0.960282683039892,0.61086475470774,0.49079754601227,0.636130136986301,1.02036582515194,0.963855421686747,0.242105263157895,0.506766917293233,0.642774899251583,0.298423902614036,0.538998272884283,0.304887820512821,0.83539455091149,0.838549037169727,"X2clsQ",0.03,"ok" "aim-100-1_6-yes1-3-90-shuffled",1,276,100,376,665,1657,2,1,3,0,504,161,583,337,1.75639097744361,0.735338345864662,138,0.360902255639098,0.374436090225564,1.7686170212766,4.89,2.4,3.30053191489362,9.78,4.8,7.57608695652174,0.59867229933615,0.256487628243814,0.636130136986301,0.748991935483871,0.150271575135788,0.963855421686747,0.670362903225806,0.960282683039892,0.61086475470774,0.49079754601227,0.636130136986301,1.02036582515194,0.963855421686747,0.242105263157895,0.506766917293233,0.642774899251583,0.298423902614036,0.538998272884283,0.304887820512821,0.83539455091149,0.838549037169727,"quantor",3600,"memout" "aim-100-1_6-yes1-3-90-shuffled",1,276,100,376,665,1657,2,1,3,0,504,161,583,337,1.75639097744361,0.735338345864662,138,0.360902255639098,0.374436090225564,1.7686170212766,4.89,2.4,3.30053191489362,9.78,4.8,7.57608695652174,0.59867229933615,0.256487628243814,0.636130136986301,0.748991935483871,0.150271575135788,0.963855421686747,0.670362903225806,0.960282683039892,0.61086475470774,0.49079754601227,0.636130136986301,1.02036582515194,0.963855421686747,0.242105263157895,0.506766917293233,0.642774899251583,0.298423902614036,0.538998272884283,0.304887820512821,0.83539455091149,0.838549037169727,"QuBE",0.04,"ok" "aim-100-1_6-yes1-3-90-shuffled",1,276,100,376,665,1657,2,1,3,0,504,161,583,337,1.75639097744361,0.735338345864662,138,0.360902255639098,0.374436090225564,1.7686170212766,4.89,2.4,3.30053191489362,9.78,4.8,7.57608695652174,0.59867229933615,0.256487628243814,0.636130136986301,0.748991935483871,0.150271575135788,0.963855421686747,0.670362903225806,0.960282683039892,0.61086475470774,0.49079754601227,0.636130136986301,1.02036582515194,0.963855421686747,0.242105263157895,0.506766917293233,0.642774899251583,0.298423902614036,0.538998272884283,0.304887820512821,0.83539455091149,0.838549037169727,"sKizzo",0.13,"ok" "aim-100-1_6-yes1-3-90-shuffled",1,276,100,376,665,1657,2,1,3,0,504,161,583,337,1.75639097744361,0.735338345864662,138,0.360902255639098,0.374436090225564,1.7686170212766,4.89,2.4,3.30053191489362,9.78,4.8,7.57608695652174,0.59867229933615,0.256487628243814,0.636130136986301,0.748991935483871,0.150271575135788,0.963855421686747,0.670362903225806,0.960282683039892,0.61086475470774,0.49079754601227,0.636130136986301,1.02036582515194,0.963855421686747,0.242105263157895,0.506766917293233,0.642774899251583,0.298423902614036,0.538998272884283,0.304887820512821,0.83539455091149,0.838549037169727,"sSolve",585.79,"ok" "aim-100-2_0-yes1-2-50-shuffled",1,396,100,496,943,2331,2,1,3,0,744,199,843,495,1.78579003181336,0.68610816542948,198,0.317073170731707,0.369034994697773,1.90120967741935,6.47,2.99,3.50403225806452,12.94,5.98,7.99747474747475,0.595452595452595,0.276276276276276,0.617577197149644,0.749279538904899,0.149292149292149,0.859195402298851,0.679394812680115,0.904870238203572,0.558827225493892,0.462132921174652,0.617577197149644,0.938491543678864,0.859195402298851,0.211028632025451,0.52492046659597,0.642533936651584,0.29368136320008,0.710028794734677,0.319506726457399,0.821564319838198,1.10504481434059,"X2clsQ",0.07,"ok" "aim-100-2_0-yes1-2-50-shuffled",1,396,100,496,943,2331,2,1,3,0,744,199,843,495,1.78579003181336,0.68610816542948,198,0.317073170731707,0.369034994697773,1.90120967741935,6.47,2.99,3.50403225806452,12.94,5.98,7.99747474747475,0.595452595452595,0.276276276276276,0.617577197149644,0.749279538904899,0.149292149292149,0.859195402298851,0.679394812680115,0.904870238203572,0.558827225493892,0.462132921174652,0.617577197149644,0.938491543678864,0.859195402298851,0.211028632025451,0.52492046659597,0.642533936651584,0.29368136320008,0.710028794734677,0.319506726457399,0.821564319838198,1.10504481434059,"quantor",3600,"memout" "aim-100-2_0-yes1-2-50-shuffled",1,396,100,496,943,2331,2,1,3,0,744,199,843,495,1.78579003181336,0.68610816542948,198,0.317073170731707,0.369034994697773,1.90120967741935,6.47,2.99,3.50403225806452,12.94,5.98,7.99747474747475,0.595452595452595,0.276276276276276,0.617577197149644,0.749279538904899,0.149292149292149,0.859195402298851,0.679394812680115,0.904870238203572,0.558827225493892,0.462132921174652,0.617577197149644,0.938491543678864,0.859195402298851,0.211028632025451,0.52492046659597,0.642533936651584,0.29368136320008,0.710028794734677,0.319506726457399,0.821564319838198,1.10504481434059,"QuBE",0.07,"ok" "aim-100-2_0-yes1-2-50-shuffled",1,396,100,496,943,2331,2,1,3,0,744,199,843,495,1.78579003181336,0.68610816542948,198,0.317073170731707,0.369034994697773,1.90120967741935,6.47,2.99,3.50403225806452,12.94,5.98,7.99747474747475,0.595452595452595,0.276276276276276,0.617577197149644,0.749279538904899,0.149292149292149,0.859195402298851,0.679394812680115,0.904870238203572,0.558827225493892,0.462132921174652,0.617577197149644,0.938491543678864,0.859195402298851,0.211028632025451,0.52492046659597,0.642533936651584,0.29368136320008,0.710028794734677,0.319506726457399,0.821564319838198,1.10504481434059,"sKizzo",0.21,"ok" "aim-100-2_0-yes1-2-50-shuffled",1,396,100,496,943,2331,2,1,3,0,744,199,843,495,1.78579003181336,0.68610816542948,198,0.317073170731707,0.369034994697773,1.90120967741935,6.47,2.99,3.50403225806452,12.94,5.98,7.99747474747475,0.595452595452595,0.276276276276276,0.617577197149644,0.749279538904899,0.149292149292149,0.859195402298851,0.679394812680115,0.904870238203572,0.558827225493892,0.462132921174652,0.617577197149644,0.938491543678864,0.859195402298851,0.211028632025451,0.52492046659597,0.642533936651584,0.29368136320008,0.710028794734677,0.319506726457399,0.821564319838198,1.10504481434059,"sSolve",29.2,"ok" "aim-100-2_0-yes1-2-90-shuffled",1,322,100,422,832,2072,2,1,3,0,633,199,732,421,1.75721153846154,0.733173076923077,161,0.359375,0.373798076923077,1.97156398104265,6.1,2.99,3.68009478672986,12.2,5.98,8.68944099378882,0.598455598455598,0.257239382239382,0.635430916552668,0.749193548387097,0.150096525096525,0.961414790996785,0.670967741935484,0.924728410753256,0.587601021607233,0.49016393442623,0.635430916552668,0.981862352234021,0.961414790996785,0.239182692307692,0.506009615384615,0.642495395948435,0.288117829404161,0.604244935543278,0.305697823303457,0.805913619402239,0.940465782873522,"X2clsQ",0.08,"ok" "aim-100-2_0-yes1-2-90-shuffled",1,322,100,422,832,2072,2,1,3,0,633,199,732,421,1.75721153846154,0.733173076923077,161,0.359375,0.373798076923077,1.97156398104265,6.1,2.99,3.68009478672986,12.2,5.98,8.68944099378882,0.598455598455598,0.257239382239382,0.635430916552668,0.749193548387097,0.150096525096525,0.961414790996785,0.670967741935484,0.924728410753256,0.587601021607233,0.49016393442623,0.635430916552668,0.981862352234021,0.961414790996785,0.239182692307692,0.506009615384615,0.642495395948435,0.288117829404161,0.604244935543278,0.305697823303457,0.805913619402239,0.940465782873522,"quantor",3600,"memout" "aim-100-2_0-yes1-2-90-shuffled",1,322,100,422,832,2072,2,1,3,0,633,199,732,421,1.75721153846154,0.733173076923077,161,0.359375,0.373798076923077,1.97156398104265,6.1,2.99,3.68009478672986,12.2,5.98,8.68944099378882,0.598455598455598,0.257239382239382,0.635430916552668,0.749193548387097,0.150096525096525,0.961414790996785,0.670967741935484,0.924728410753256,0.587601021607233,0.49016393442623,0.635430916552668,0.981862352234021,0.961414790996785,0.239182692307692,0.506009615384615,0.642495395948435,0.288117829404161,0.604244935543278,0.305697823303457,0.805913619402239,0.940465782873522,"QuBE",0.07,"ok" "aim-100-2_0-yes1-2-90-shuffled",1,322,100,422,832,2072,2,1,3,0,633,199,732,421,1.75721153846154,0.733173076923077,161,0.359375,0.373798076923077,1.97156398104265,6.1,2.99,3.68009478672986,12.2,5.98,8.68944099378882,0.598455598455598,0.257239382239382,0.635430916552668,0.749193548387097,0.150096525096525,0.961414790996785,0.670967741935484,0.924728410753256,0.587601021607233,0.49016393442623,0.635430916552668,0.981862352234021,0.961414790996785,0.239182692307692,0.506009615384615,0.642495395948435,0.288117829404161,0.604244935543278,0.305697823303457,0.805913619402239,0.940465782873522,"sKizzo",0.49,"ok" "aim-100-2_0-yes1-2-90-shuffled",1,322,100,422,832,2072,2,1,3,0,633,199,732,421,1.75721153846154,0.733173076923077,161,0.359375,0.373798076923077,1.97156398104265,6.1,2.99,3.68009478672986,12.2,5.98,8.68944099378882,0.598455598455598,0.257239382239382,0.635430916552668,0.749193548387097,0.150096525096525,0.961414790996785,0.670967741935484,0.924728410753256,0.587601021607233,0.49016393442623,0.635430916552668,0.981862352234021,0.961414790996785,0.239182692307692,0.506009615384615,0.642495395948435,0.288117829404161,0.604244935543278,0.305697823303457,0.805913619402239,0.940465782873522,"sSolve",3600,"timeout" "aim-100-3_4-yes1-1-90-shuffled",1,460,100,560,1390,3468,2,1,3,0,1050,340,1219,701,1.75395683453237,0.741007194244604,230,0.367625899280576,0.373381294964029,2.48214285714286,10.3,5.11,4.64464285714286,20.6,10.22,12.55,0.59919261822376,0.253460207612457,0.639458572600492,0.750240615976901,0.149653979238754,0.984585741811175,0.668912415784408,0.855824682814302,0.547264430068703,0.496116504854369,0.639458572600492,0.913336402058836,0.984585741811175,0.244604316546763,0.50431654676259,0.642523364485981,0.26419198317279,0.79890049477735,0.302722392638037,0.739046854888588,1.24337967914438,"X2clsQ",0.15,"ok" "aim-100-3_4-yes1-1-90-shuffled",1,460,100,560,1390,3468,2,1,3,0,1050,340,1219,701,1.75395683453237,0.741007194244604,230,0.367625899280576,0.373381294964029,2.48214285714286,10.3,5.11,4.64464285714286,20.6,10.22,12.55,0.59919261822376,0.253460207612457,0.639458572600492,0.750240615976901,0.149653979238754,0.984585741811175,0.668912415784408,0.855824682814302,0.547264430068703,0.496116504854369,0.639458572600492,0.913336402058836,0.984585741811175,0.244604316546763,0.50431654676259,0.642523364485981,0.26419198317279,0.79890049477735,0.302722392638037,0.739046854888588,1.24337967914438,"quantor",3600,"memout" "aim-100-3_4-yes1-1-90-shuffled",1,460,100,560,1390,3468,2,1,3,0,1050,340,1219,701,1.75395683453237,0.741007194244604,230,0.367625899280576,0.373381294964029,2.48214285714286,10.3,5.11,4.64464285714286,20.6,10.22,12.55,0.59919261822376,0.253460207612457,0.639458572600492,0.750240615976901,0.149653979238754,0.984585741811175,0.668912415784408,0.855824682814302,0.547264430068703,0.496116504854369,0.639458572600492,0.913336402058836,0.984585741811175,0.244604316546763,0.50431654676259,0.642523364485981,0.26419198317279,0.79890049477735,0.302722392638037,0.739046854888588,1.24337967914438,"QuBE",0.06,"ok" "aim-100-3_4-yes1-1-90-shuffled",1,460,100,560,1390,3468,2,1,3,0,1050,340,1219,701,1.75395683453237,0.741007194244604,230,0.367625899280576,0.373381294964029,2.48214285714286,10.3,5.11,4.64464285714286,20.6,10.22,12.55,0.59919261822376,0.253460207612457,0.639458572600492,0.750240615976901,0.149653979238754,0.984585741811175,0.668912415784408,0.855824682814302,0.547264430068703,0.496116504854369,0.639458572600492,0.913336402058836,0.984585741811175,0.244604316546763,0.50431654676259,0.642523364485981,0.26419198317279,0.79890049477735,0.302722392638037,0.739046854888588,1.24337967914438,"sKizzo",0.14,"ok" "aim-100-3_4-yes1-1-90-shuffled",1,460,100,560,1390,3468,2,1,3,0,1050,340,1219,701,1.75395683453237,0.741007194244604,230,0.367625899280576,0.373381294964029,2.48214285714286,10.3,5.11,4.64464285714286,20.6,10.22,12.55,0.59919261822376,0.253460207612457,0.639458572600492,0.750240615976901,0.149653979238754,0.984585741811175,0.668912415784408,0.855824682814302,0.547264430068703,0.496116504854369,0.639458572600492,0.913336402058836,0.984585741811175,0.244604316546763,0.50431654676259,0.642523364485981,0.26419198317279,0.79890049477735,0.302722392638037,0.739046854888588,1.24337967914438,"sSolve",3600,"timeout" "aim-100-6_0-yes1-1-90-shuffled",1,724,100,824,2433,6073,2,1,3,0,1836,597,2142,1213,1.7521578298397,0.743937525688451,362,0.369091656391286,0.374845869297164,2.95145631067961,18.1,8.98,5.52669902912621,36.2,17.96,18.8245856353591,0.599538942861848,0.252593446402108,0.640159512080694,0.749519362812414,0.150172896426807,0.984649122807018,0.667948365833562,0.798915223892003,0.511433179920543,0.496132596685083,0.640159512080694,0.853044136681532,0.984649122807018,0.24537607891492,0.498561446773531,0.642795513373598,0.24621062252093,1.17889716840537,0.302114141746084,0.689270800728884,1.8340158633313,"X2clsQ",4.19,"ok" "aim-100-6_0-yes1-1-90-shuffled",1,724,100,824,2433,6073,2,1,3,0,1836,597,2142,1213,1.7521578298397,0.743937525688451,362,0.369091656391286,0.374845869297164,2.95145631067961,18.1,8.98,5.52669902912621,36.2,17.96,18.8245856353591,0.599538942861848,0.252593446402108,0.640159512080694,0.749519362812414,0.150172896426807,0.984649122807018,0.667948365833562,0.798915223892003,0.511433179920543,0.496132596685083,0.640159512080694,0.853044136681532,0.984649122807018,0.24537607891492,0.498561446773531,0.642795513373598,0.24621062252093,1.17889716840537,0.302114141746084,0.689270800728884,1.8340158633313,"quantor",1791.01,"ok" "aim-100-6_0-yes1-1-90-shuffled",1,724,100,824,2433,6073,2,1,3,0,1836,597,2142,1213,1.7521578298397,0.743937525688451,362,0.369091656391286,0.374845869297164,2.95145631067961,18.1,8.98,5.52669902912621,36.2,17.96,18.8245856353591,0.599538942861848,0.252593446402108,0.640159512080694,0.749519362812414,0.150172896426807,0.984649122807018,0.667948365833562,0.798915223892003,0.511433179920543,0.496132596685083,0.640159512080694,0.853044136681532,0.984649122807018,0.24537607891492,0.498561446773531,0.642795513373598,0.24621062252093,1.17889716840537,0.302114141746084,0.689270800728884,1.8340158633313,"QuBE",0.12,"ok" "aim-100-6_0-yes1-1-90-shuffled",1,724,100,824,2433,6073,2,1,3,0,1836,597,2142,1213,1.7521578298397,0.743937525688451,362,0.369091656391286,0.374845869297164,2.95145631067961,18.1,8.98,5.52669902912621,36.2,17.96,18.8245856353591,0.599538942861848,0.252593446402108,0.640159512080694,0.749519362812414,0.150172896426807,0.984649122807018,0.667948365833562,0.798915223892003,0.511433179920543,0.496132596685083,0.640159512080694,0.853044136681532,0.984649122807018,0.24537607891492,0.498561446773531,0.642795513373598,0.24621062252093,1.17889716840537,0.302114141746084,0.689270800728884,1.8340158633313,"sKizzo",1.28,"ok" "aim-100-6_0-yes1-1-90-shuffled",1,724,100,824,2433,6073,2,1,3,0,1836,597,2142,1213,1.7521578298397,0.743937525688451,362,0.369091656391286,0.374845869297164,2.95145631067961,18.1,8.98,5.52669902912621,36.2,17.96,18.8245856353591,0.599538942861848,0.252593446402108,0.640159512080694,0.749519362812414,0.150172896426807,0.984649122807018,0.667948365833562,0.798915223892003,0.511433179920543,0.496132596685083,0.640159512080694,0.853044136681532,0.984649122807018,0.24537607891492,0.498561446773531,0.642795513373598,0.24621062252093,1.17889716840537,0.302114141746084,0.689270800728884,1.8340158633313,"sSolve",3600,"timeout" "aim-100-6_0-yes1-3-90-shuffled",1,722,100,822,2432,6072,2,1,3,0,1833,599,2134,1220,1.75246710526316,0.744243421052632,361,0.370065789473684,0.374177631578947,2.95863746958637,18.1,9,5.54014598540146,36.2,18,18.9085872576177,0.599472990777339,0.252305665349144,0.640544345377757,0.75,0.149868247694335,0.989010989010989,0.668131868131868,0.799127916525243,0.511876868163752,0.497237569060773,0.640544345377757,0.85387811634349,0.989010989010989,0.246299342105263,0.501644736842105,0.642655367231638,0.246032677590497,1.17391713747646,0.301841297676458,0.688502512782893,1.82666666666667,"X2clsQ",1.19,"ok" "aim-100-6_0-yes1-3-90-shuffled",1,722,100,822,2432,6072,2,1,3,0,1833,599,2134,1220,1.75246710526316,0.744243421052632,361,0.370065789473684,0.374177631578947,2.95863746958637,18.1,9,5.54014598540146,36.2,18,18.9085872576177,0.599472990777339,0.252305665349144,0.640544345377757,0.75,0.149868247694335,0.989010989010989,0.668131868131868,0.799127916525243,0.511876868163752,0.497237569060773,0.640544345377757,0.85387811634349,0.989010989010989,0.246299342105263,0.501644736842105,0.642655367231638,0.246032677590497,1.17391713747646,0.301841297676458,0.688502512782893,1.82666666666667,"quantor",3600,"memout" "aim-100-6_0-yes1-3-90-shuffled",1,722,100,822,2432,6072,2,1,3,0,1833,599,2134,1220,1.75246710526316,0.744243421052632,361,0.370065789473684,0.374177631578947,2.95863746958637,18.1,9,5.54014598540146,36.2,18,18.9085872576177,0.599472990777339,0.252305665349144,0.640544345377757,0.75,0.149868247694335,0.989010989010989,0.668131868131868,0.799127916525243,0.511876868163752,0.497237569060773,0.640544345377757,0.85387811634349,0.989010989010989,0.246299342105263,0.501644736842105,0.642655367231638,0.246032677590497,1.17391713747646,0.301841297676458,0.688502512782893,1.82666666666667,"QuBE",0.08,"ok" "aim-100-6_0-yes1-3-90-shuffled",1,722,100,822,2432,6072,2,1,3,0,1833,599,2134,1220,1.75246710526316,0.744243421052632,361,0.370065789473684,0.374177631578947,2.95863746958637,18.1,9,5.54014598540146,36.2,18,18.9085872576177,0.599472990777339,0.252305665349144,0.640544345377757,0.75,0.149868247694335,0.989010989010989,0.668131868131868,0.799127916525243,0.511876868163752,0.497237569060773,0.640544345377757,0.85387811634349,0.989010989010989,0.246299342105263,0.501644736842105,0.642655367231638,0.246032677590497,1.17391713747646,0.301841297676458,0.688502512782893,1.82666666666667,"sKizzo",1.45,"ok" "aim-100-6_0-yes1-3-90-shuffled",1,722,100,822,2432,6072,2,1,3,0,1833,599,2134,1220,1.75246710526316,0.744243421052632,361,0.370065789473684,0.374177631578947,2.95863746958637,18.1,9,5.54014598540146,36.2,18,18.9085872576177,0.599472990777339,0.252305665349144,0.640544345377757,0.75,0.149868247694335,0.989010989010989,0.668131868131868,0.799127916525243,0.511876868163752,0.497237569060773,0.640544345377757,0.85387811634349,0.989010989010989,0.246299342105263,0.501644736842105,0.642655367231638,0.246032677590497,1.17391713747646,0.301841297676458,0.688502512782893,1.82666666666667,"sSolve",3600,"timeout" "aim-100-6_0-yes1-4-90-shuffled",1,722,100,822,2428,6060,2,1,3,0,1833,595,2135,1215,1.75123558484349,0.744645799011532,361,0.369851729818781,0.374794069192751,2.95255474452555,18.08,8.98,5.5316301703163,36.16,17.96,18.8047091412742,0.599504950495049,0.252310231023102,0.640404515522107,0.749518304431599,0.15016501650165,0.986813186813187,0.66804293971924,0.798831628315186,0.511575381914923,0.496681415929204,0.640404515522107,0.853329703937361,0.986813186813187,0.24505766062603,0.500411861614498,0.642699984284143,0.24611228435863,1.17557755775578,0.301975850713502,0.688811288926308,1.82912336471451,"X2clsQ",0.44,"ok" "aim-100-6_0-yes1-4-90-shuffled",1,722,100,822,2428,6060,2,1,3,0,1833,595,2135,1215,1.75123558484349,0.744645799011532,361,0.369851729818781,0.374794069192751,2.95255474452555,18.08,8.98,5.5316301703163,36.16,17.96,18.8047091412742,0.599504950495049,0.252310231023102,0.640404515522107,0.749518304431599,0.15016501650165,0.986813186813187,0.66804293971924,0.798831628315186,0.511575381914923,0.496681415929204,0.640404515522107,0.853329703937361,0.986813186813187,0.24505766062603,0.500411861614498,0.642699984284143,0.24611228435863,1.17557755775578,0.301975850713502,0.688811288926308,1.82912336471451,"quantor",3600,"memout" "aim-100-6_0-yes1-4-90-shuffled",1,722,100,822,2428,6060,2,1,3,0,1833,595,2135,1215,1.75123558484349,0.744645799011532,361,0.369851729818781,0.374794069192751,2.95255474452555,18.08,8.98,5.5316301703163,36.16,17.96,18.8047091412742,0.599504950495049,0.252310231023102,0.640404515522107,0.749518304431599,0.15016501650165,0.986813186813187,0.66804293971924,0.798831628315186,0.511575381914923,0.496681415929204,0.640404515522107,0.853329703937361,0.986813186813187,0.24505766062603,0.500411861614498,0.642699984284143,0.24611228435863,1.17557755775578,0.301975850713502,0.688811288926308,1.82912336471451,"QuBE",0.09,"ok" "aim-100-6_0-yes1-4-90-shuffled",1,722,100,822,2428,6060,2,1,3,0,1833,595,2135,1215,1.75123558484349,0.744645799011532,361,0.369851729818781,0.374794069192751,2.95255474452555,18.08,8.98,5.5316301703163,36.16,17.96,18.8047091412742,0.599504950495049,0.252310231023102,0.640404515522107,0.749518304431599,0.15016501650165,0.986813186813187,0.66804293971924,0.798831628315186,0.511575381914923,0.496681415929204,0.640404515522107,0.853329703937361,0.986813186813187,0.24505766062603,0.500411861614498,0.642699984284143,0.24611228435863,1.17557755775578,0.301975850713502,0.688811288926308,1.82912336471451,"sKizzo",0.63,"ok" "aim-100-6_0-yes1-4-90-shuffled",1,722,100,822,2428,6060,2,1,3,0,1833,595,2135,1215,1.75123558484349,0.744645799011532,361,0.369851729818781,0.374794069192751,2.95255474452555,18.08,8.98,5.5316301703163,36.16,17.96,18.8047091412742,0.599504950495049,0.252310231023102,0.640404515522107,0.749518304431599,0.15016501650165,0.986813186813187,0.66804293971924,0.798831628315186,0.511575381914923,0.496681415929204,0.640404515522107,0.853329703937361,0.986813186813187,0.24505766062603,0.500411861614498,0.642699984284143,0.24611228435863,1.17557755775578,0.301975850713502,0.688811288926308,1.82912336471451,"sSolve",3600,"timeout" "aim-200-1_6-yes1-2-90-shuffled",1,562,200,762,1343,3345,2,1,3,0,1023,320,1193,676,1.7602382725242,0.730454206999255,281,0.360387192851824,0.370067014147431,1.76246719160105,4.905,2.42,3.29265091863517,9.81,4.84,7.52846975088968,0.598505231689088,0.256801195814649,0.63663282571912,0.751748251748252,0.148579970104634,0.973843058350101,0.670829170829171,0.958230534765332,0.610041013037997,0.493374108053007,0.63663282571912,1.01927431998606,0.973843058350101,0.238272524199553,0.503350707371556,0.642082738944365,0.298059711342718,0.540248216833096,0.30526941362916,0.832761489243705,0.841399688958009,"X2clsQ",0.43,"ok" "aim-200-1_6-yes1-2-90-shuffled",1,562,200,762,1343,3345,2,1,3,0,1023,320,1193,676,1.7602382725242,0.730454206999255,281,0.360387192851824,0.370067014147431,1.76246719160105,4.905,2.42,3.29265091863517,9.81,4.84,7.52846975088968,0.598505231689088,0.256801195814649,0.63663282571912,0.751748251748252,0.148579970104634,0.973843058350101,0.670829170829171,0.958230534765332,0.610041013037997,0.493374108053007,0.63663282571912,1.01927431998606,0.973843058350101,0.238272524199553,0.503350707371556,0.642082738944365,0.298059711342718,0.540248216833096,0.30526941362916,0.832761489243705,0.841399688958009,"quantor",3600,"memout" "aim-200-1_6-yes1-2-90-shuffled",1,562,200,762,1343,3345,2,1,3,0,1023,320,1193,676,1.7602382725242,0.730454206999255,281,0.360387192851824,0.370067014147431,1.76246719160105,4.905,2.42,3.29265091863517,9.81,4.84,7.52846975088968,0.598505231689088,0.256801195814649,0.63663282571912,0.751748251748252,0.148579970104634,0.973843058350101,0.670829170829171,0.958230534765332,0.610041013037997,0.493374108053007,0.63663282571912,1.01927431998606,0.973843058350101,0.238272524199553,0.503350707371556,0.642082738944365,0.298059711342718,0.540248216833096,0.30526941362916,0.832761489243705,0.841399688958009,"QuBE",0.13,"ok" "aim-200-1_6-yes1-2-90-shuffled",1,562,200,762,1343,3345,2,1,3,0,1023,320,1193,676,1.7602382725242,0.730454206999255,281,0.360387192851824,0.370067014147431,1.76246719160105,4.905,2.42,3.29265091863517,9.81,4.84,7.52846975088968,0.598505231689088,0.256801195814649,0.63663282571912,0.751748251748252,0.148579970104634,0.973843058350101,0.670829170829171,0.958230534765332,0.610041013037997,0.493374108053007,0.63663282571912,1.01927431998606,0.973843058350101,0.238272524199553,0.503350707371556,0.642082738944365,0.298059711342718,0.540248216833096,0.30526941362916,0.832761489243705,0.841399688958009,"sKizzo",2.76,"ok" "aim-200-1_6-yes1-2-90-shuffled",1,562,200,762,1343,3345,2,1,3,0,1023,320,1193,676,1.7602382725242,0.730454206999255,281,0.360387192851824,0.370067014147431,1.76246719160105,4.905,2.42,3.29265091863517,9.81,4.84,7.52846975088968,0.598505231689088,0.256801195814649,0.63663282571912,0.751748251748252,0.148579970104634,0.973843058350101,0.670829170829171,0.958230534765332,0.610041013037997,0.493374108053007,0.63663282571912,1.01927431998606,0.973843058350101,0.238272524199553,0.503350707371556,0.642082738944365,0.298059711342718,0.540248216833096,0.30526941362916,0.832761489243705,0.841399688958009,"sSolve",3600,"timeout" "aim-200-1_6-yes1-4-90-shuffled",1,564,200,764,1343,3343,2,1,3,0,1026,317,1188,684,1.7602382725242,0.728965003723008,282,0.359642591213701,0.369322412509308,1.75785340314136,4.895,2.415,3.28534031413613,9.79,4.83,7.47517730496454,0.598265031408914,0.257253963505833,0.636209813874788,0.752,0.148369727789411,0.973790322580645,0.6715,0.957911418588222,0.609432645328547,0.493360572012257,0.636209813874788,1.01866666666667,0.973790322580645,0.236038719285182,0.509307520476545,0.641735655152726,0.29853236233178,0.540884955752212,0.305863708399366,0.833273996213727,0.842846975088968,"X2clsQ",0.58,"ok" "aim-200-1_6-yes1-4-90-shuffled",1,564,200,764,1343,3343,2,1,3,0,1026,317,1188,684,1.7602382725242,0.728965003723008,282,0.359642591213701,0.369322412509308,1.75785340314136,4.895,2.415,3.28534031413613,9.79,4.83,7.47517730496454,0.598265031408914,0.257253963505833,0.636209813874788,0.752,0.148369727789411,0.973790322580645,0.6715,0.957911418588222,0.609432645328547,0.493360572012257,0.636209813874788,1.01866666666667,0.973790322580645,0.236038719285182,0.509307520476545,0.641735655152726,0.29853236233178,0.540884955752212,0.305863708399366,0.833273996213727,0.842846975088968,"quantor",3600,"memout" "aim-200-1_6-yes1-4-90-shuffled",1,564,200,764,1343,3343,2,1,3,0,1026,317,1188,684,1.7602382725242,0.728965003723008,282,0.359642591213701,0.369322412509308,1.75785340314136,4.895,2.415,3.28534031413613,9.79,4.83,7.47517730496454,0.598265031408914,0.257253963505833,0.636209813874788,0.752,0.148369727789411,0.973790322580645,0.6715,0.957911418588222,0.609432645328547,0.493360572012257,0.636209813874788,1.01866666666667,0.973790322580645,0.236038719285182,0.509307520476545,0.641735655152726,0.29853236233178,0.540884955752212,0.305863708399366,0.833273996213727,0.842846975088968,"QuBE",0.14,"ok" "aim-200-1_6-yes1-4-90-shuffled",1,564,200,764,1343,3343,2,1,3,0,1026,317,1188,684,1.7602382725242,0.728965003723008,282,0.359642591213701,0.369322412509308,1.75785340314136,4.895,2.415,3.28534031413613,9.79,4.83,7.47517730496454,0.598265031408914,0.257253963505833,0.636209813874788,0.752,0.148369727789411,0.973790322580645,0.6715,0.957911418588222,0.609432645328547,0.493360572012257,0.636209813874788,1.01866666666667,0.973790322580645,0.236038719285182,0.509307520476545,0.641735655152726,0.29853236233178,0.540884955752212,0.305863708399366,0.833273996213727,0.842846975088968,"sKizzo",1.18,"ok" "aim-200-1_6-yes1-4-90-shuffled",1,564,200,764,1343,3343,2,1,3,0,1026,317,1188,684,1.7602382725242,0.728965003723008,282,0.359642591213701,0.369322412509308,1.75785340314136,4.895,2.415,3.28534031413613,9.79,4.83,7.47517730496454,0.598265031408914,0.257253963505833,0.636209813874788,0.752,0.148369727789411,0.973790322580645,0.6715,0.957911418588222,0.609432645328547,0.493360572012257,0.636209813874788,1.01866666666667,0.973790322580645,0.236038719285182,0.509307520476545,0.641735655152726,0.29853236233178,0.540884955752212,0.305863708399366,0.833273996213727,0.842846975088968,"sSolve",3600,"timeout" "aim-200-2_0-yes1-2-90-shuffled",1,644,200,844,1665,4149,2,1,3,0,1266,399,1469,840,1.75795795795796,0.733933933933934,322,0.360960960960961,0.372972972972973,1.97156398104265,6.11,3.005,3.68364928909953,12.22,6.01,8.70496894409938,0.598939503494818,0.256206314774645,0.6368295182781,0.75010060362173,0.149674620390456,0.967793880837359,0.669617706237425,0.924561632002922,0.588788138726835,0.491816693944353,0.6368295182781,0.983051101640901,0.967793880837359,0.23963963963964,0.504504504504504,0.642684748879439,0.28723549302893,0.602372141133203,0.304778647914336,0.803871349258514,0.937274678111588,"X2clsQ",11.97,"ok" "aim-200-2_0-yes1-2-90-shuffled",1,644,200,844,1665,4149,2,1,3,0,1266,399,1469,840,1.75795795795796,0.733933933933934,322,0.360960960960961,0.372972972972973,1.97156398104265,6.11,3.005,3.68364928909953,12.22,6.01,8.70496894409938,0.598939503494818,0.256206314774645,0.6368295182781,0.75010060362173,0.149674620390456,0.967793880837359,0.669617706237425,0.924561632002922,0.588788138726835,0.491816693944353,0.6368295182781,0.983051101640901,0.967793880837359,0.23963963963964,0.504504504504504,0.642684748879439,0.28723549302893,0.602372141133203,0.304778647914336,0.803871349258514,0.937274678111588,"quantor",3600,"memout" "aim-200-2_0-yes1-2-90-shuffled",1,644,200,844,1665,4149,2,1,3,0,1266,399,1469,840,1.75795795795796,0.733933933933934,322,0.360960960960961,0.372972972972973,1.97156398104265,6.11,3.005,3.68364928909953,12.22,6.01,8.70496894409938,0.598939503494818,0.256206314774645,0.6368295182781,0.75010060362173,0.149674620390456,0.967793880837359,0.669617706237425,0.924561632002922,0.588788138726835,0.491816693944353,0.6368295182781,0.983051101640901,0.967793880837359,0.23963963963964,0.504504504504504,0.642684748879439,0.28723549302893,0.602372141133203,0.304778647914336,0.803871349258514,0.937274678111588,"QuBE",0.13,"ok" "aim-200-2_0-yes1-2-90-shuffled",1,644,200,844,1665,4149,2,1,3,0,1266,399,1469,840,1.75795795795796,0.733933933933934,322,0.360960960960961,0.372972972972973,1.97156398104265,6.11,3.005,3.68364928909953,12.22,6.01,8.70496894409938,0.598939503494818,0.256206314774645,0.6368295182781,0.75010060362173,0.149674620390456,0.967793880837359,0.669617706237425,0.924561632002922,0.588788138726835,0.491816693944353,0.6368295182781,0.983051101640901,0.967793880837359,0.23963963963964,0.504504504504504,0.642684748879439,0.28723549302893,0.602372141133203,0.304778647914336,0.803871349258514,0.937274678111588,"sKizzo",2.78,"ok" "aim-200-2_0-yes1-2-90-shuffled",1,644,200,844,1665,4149,2,1,3,0,1266,399,1469,840,1.75795795795796,0.733933933933934,322,0.360960960960961,0.372972972972973,1.97156398104265,6.11,3.005,3.68364928909953,12.22,6.01,8.70496894409938,0.598939503494818,0.256206314774645,0.6368295182781,0.75010060362173,0.149674620390456,0.967793880837359,0.669617706237425,0.924561632002922,0.588788138726835,0.491816693944353,0.6368295182781,0.983051101640901,0.967793880837359,0.23963963963964,0.504504504504504,0.642684748879439,0.28723549302893,0.602372141133203,0.304778647914336,0.803871349258514,0.937274678111588,"sSolve",3600,"timeout" "aim-200-3_4-yes1-1-90-shuffled",1,919,200,1119,2775,6925,2,1,3,0,2097,678,2431,1403,1.75459459459459,0.740900900900901,459.5,0.367207207207207,0.373693693693694,2.4798927613941,10.28,5.095,4.63985701519214,20.56,10.19,12.5364526659412,0.59927797833935,0.253574007220217,0.639350996097761,0.750120481927711,0.149747292418773,0.982642237222758,0.668674698795181,0.856119860309629,0.547361085468037,0.495622568093385,0.639350996097761,0.91336759442558,0.982642237222758,0.244324324324324,0.505585585585586,0.642645742996765,0.264326399544163,0.798680569894693,0.302774311222041,0.73967608994167,1.242800685445,"X2clsQ",35.23,"ok" "aim-200-3_4-yes1-1-90-shuffled",1,919,200,1119,2775,6925,2,1,3,0,2097,678,2431,1403,1.75459459459459,0.740900900900901,459.5,0.367207207207207,0.373693693693694,2.4798927613941,10.28,5.095,4.63985701519214,20.56,10.19,12.5364526659412,0.59927797833935,0.253574007220217,0.639350996097761,0.750120481927711,0.149747292418773,0.982642237222758,0.668674698795181,0.856119860309629,0.547361085468037,0.495622568093385,0.639350996097761,0.91336759442558,0.982642237222758,0.244324324324324,0.505585585585586,0.642645742996765,0.264326399544163,0.798680569894693,0.302774311222041,0.73967608994167,1.242800685445,"quantor",3600,"memout" "aim-200-3_4-yes1-1-90-shuffled",1,919,200,1119,2775,6925,2,1,3,0,2097,678,2431,1403,1.75459459459459,0.740900900900901,459.5,0.367207207207207,0.373693693693694,2.4798927613941,10.28,5.095,4.63985701519214,20.56,10.19,12.5364526659412,0.59927797833935,0.253574007220217,0.639350996097761,0.750120481927711,0.149747292418773,0.982642237222758,0.668674698795181,0.856119860309629,0.547361085468037,0.495622568093385,0.639350996097761,0.91336759442558,0.982642237222758,0.244324324324324,0.505585585585586,0.642645742996765,0.264326399544163,0.798680569894693,0.302774311222041,0.73967608994167,1.242800685445,"QuBE",0.17,"ok" "aim-200-3_4-yes1-1-90-shuffled",1,919,200,1119,2775,6925,2,1,3,0,2097,678,2431,1403,1.75459459459459,0.740900900900901,459.5,0.367207207207207,0.373693693693694,2.4798927613941,10.28,5.095,4.63985701519214,20.56,10.19,12.5364526659412,0.59927797833935,0.253574007220217,0.639350996097761,0.750120481927711,0.149747292418773,0.982642237222758,0.668674698795181,0.856119860309629,0.547361085468037,0.495622568093385,0.639350996097761,0.91336759442558,0.982642237222758,0.244324324324324,0.505585585585586,0.642645742996765,0.264326399544163,0.798680569894693,0.302774311222041,0.73967608994167,1.242800685445,"sKizzo",1.72,"ok" "aim-200-3_4-yes1-1-90-shuffled",1,919,200,1119,2775,6925,2,1,3,0,2097,678,2431,1403,1.75459459459459,0.740900900900901,459.5,0.367207207207207,0.373693693693694,2.4798927613941,10.28,5.095,4.63985701519214,20.56,10.19,12.5364526659412,0.59927797833935,0.253574007220217,0.639350996097761,0.750120481927711,0.149747292418773,0.982642237222758,0.668674698795181,0.856119860309629,0.547361085468037,0.495622568093385,0.639350996097761,0.91336759442558,0.982642237222758,0.244324324324324,0.505585585585586,0.642645742996765,0.264326399544163,0.798680569894693,0.302774311222041,0.73967608994167,1.242800685445,"sSolve",3600,"timeout" "aim-200-3_4-yes1-4-90-shuffled",1,922,200,1122,2779,6933,2,1,3,0,2103,676,2453,1390,1.75350845627924,0.741273839510615,461,0.36739834472832,0.373875494782296,2.47504456327986,10.3,5.105,4.63458110516934,20.6,10.21,12.4902386117137,0.599451896725804,0.253281407759988,0.639647034680895,0.75,0.149862974181451,0.982675649663138,0.668190567853705,0.85533677939422,0.54711363459302,0.495631067961165,0.639647034680895,0.912689804772234,0.982675649663138,0.243252968693775,0.500179920834833,0.642734455513569,0.26403521387571,0.800933012710409,0.302635361763297,0.739044718838645,1.24613361838589,"X2clsQ",0.6,"ok" "aim-200-3_4-yes1-4-90-shuffled",1,922,200,1122,2779,6933,2,1,3,0,2103,676,2453,1390,1.75350845627924,0.741273839510615,461,0.36739834472832,0.373875494782296,2.47504456327986,10.3,5.105,4.63458110516934,20.6,10.21,12.4902386117137,0.599451896725804,0.253281407759988,0.639647034680895,0.75,0.149862974181451,0.982675649663138,0.668190567853705,0.85533677939422,0.54711363459302,0.495631067961165,0.639647034680895,0.912689804772234,0.982675649663138,0.243252968693775,0.500179920834833,0.642734455513569,0.26403521387571,0.800933012710409,0.302635361763297,0.739044718838645,1.24613361838589,"quantor",3600,"memout" "aim-200-3_4-yes1-4-90-shuffled",1,922,200,1122,2779,6933,2,1,3,0,2103,676,2453,1390,1.75350845627924,0.741273839510615,461,0.36739834472832,0.373875494782296,2.47504456327986,10.3,5.105,4.63458110516934,20.6,10.21,12.4902386117137,0.599451896725804,0.253281407759988,0.639647034680895,0.75,0.149862974181451,0.982675649663138,0.668190567853705,0.85533677939422,0.54711363459302,0.495631067961165,0.639647034680895,0.912689804772234,0.982675649663138,0.243252968693775,0.500179920834833,0.642734455513569,0.26403521387571,0.800933012710409,0.302635361763297,0.739044718838645,1.24613361838589,"QuBE",0.08,"ok" "aim-200-3_4-yes1-4-90-shuffled",1,922,200,1122,2779,6933,2,1,3,0,2103,676,2453,1390,1.75350845627924,0.741273839510615,461,0.36739834472832,0.373875494782296,2.47504456327986,10.3,5.105,4.63458110516934,20.6,10.21,12.4902386117137,0.599451896725804,0.253281407759988,0.639647034680895,0.75,0.149862974181451,0.982675649663138,0.668190567853705,0.85533677939422,0.54711363459302,0.495631067961165,0.639647034680895,0.912689804772234,0.982675649663138,0.243252968693775,0.500179920834833,0.642734455513569,0.26403521387571,0.800933012710409,0.302635361763297,0.739044718838645,1.24613361838589,"sKizzo",0.77,"ok" "aim-200-3_4-yes1-4-90-shuffled",1,922,200,1122,2779,6933,2,1,3,0,2103,676,2453,1390,1.75350845627924,0.741273839510615,461,0.36739834472832,0.373875494782296,2.47504456327986,10.3,5.105,4.63458110516934,20.6,10.21,12.4902386117137,0.599451896725804,0.253281407759988,0.639647034680895,0.75,0.149862974181451,0.982675649663138,0.668190567853705,0.85533677939422,0.54711363459302,0.495631067961165,0.639647034680895,0.912689804772234,0.982675649663138,0.243252968693775,0.500179920834833,0.642734455513569,0.26403521387571,0.800933012710409,0.302635361763297,0.739044718838645,1.24613361838589,"sSolve",3600,"timeout" "aim-50-1_6-yes1-1-00-shuffled",1,228,50,278,468,1144,2,1,3,0,387,81,431,257,1.82478632478632,0.61965811965812,114,0.262820512820513,0.356837606837607,1.68345323741007,5.8,2.46,3.05395683453237,11.6,4.92,6.44736842105263,0.590909090909091,0.301573426573427,0.596018735362998,0.752958579881657,0.145979020979021,0.736526946107784,0.692307692307692,0.910210403631456,0.542502453686664,0.424137931034483,0.596018735362998,0.918081075469739,0.736526946107784,0.173076923076923,0.549145299145299,0.641469594594595,0.310488531294452,0.784222972972973,0.337248322147651,0.866003347591594,1.22254114549045,"X2clsQ",0.01,"ok" "aim-50-1_6-yes1-1-00-shuffled",1,228,50,278,468,1144,2,1,3,0,387,81,431,257,1.82478632478632,0.61965811965812,114,0.262820512820513,0.356837606837607,1.68345323741007,5.8,2.46,3.05395683453237,11.6,4.92,6.44736842105263,0.590909090909091,0.301573426573427,0.596018735362998,0.752958579881657,0.145979020979021,0.736526946107784,0.692307692307692,0.910210403631456,0.542502453686664,0.424137931034483,0.596018735362998,0.918081075469739,0.736526946107784,0.173076923076923,0.549145299145299,0.641469594594595,0.310488531294452,0.784222972972973,0.337248322147651,0.866003347591594,1.22254114549045,"quantor",3600,"memout" "aim-50-1_6-yes1-1-00-shuffled",1,228,50,278,468,1144,2,1,3,0,387,81,431,257,1.82478632478632,0.61965811965812,114,0.262820512820513,0.356837606837607,1.68345323741007,5.8,2.46,3.05395683453237,11.6,4.92,6.44736842105263,0.590909090909091,0.301573426573427,0.596018735362998,0.752958579881657,0.145979020979021,0.736526946107784,0.692307692307692,0.910210403631456,0.542502453686664,0.424137931034483,0.596018735362998,0.918081075469739,0.736526946107784,0.173076923076923,0.549145299145299,0.641469594594595,0.310488531294452,0.784222972972973,0.337248322147651,0.866003347591594,1.22254114549045,"QuBE",0.04,"ok" "aim-50-1_6-yes1-1-00-shuffled",1,228,50,278,468,1144,2,1,3,0,387,81,431,257,1.82478632478632,0.61965811965812,114,0.262820512820513,0.356837606837607,1.68345323741007,5.8,2.46,3.05395683453237,11.6,4.92,6.44736842105263,0.590909090909091,0.301573426573427,0.596018735362998,0.752958579881657,0.145979020979021,0.736526946107784,0.692307692307692,0.910210403631456,0.542502453686664,0.424137931034483,0.596018735362998,0.918081075469739,0.736526946107784,0.173076923076923,0.549145299145299,0.641469594594595,0.310488531294452,0.784222972972973,0.337248322147651,0.866003347591594,1.22254114549045,"sKizzo",0.05,"ok" "aim-50-1_6-yes1-1-00-shuffled",1,228,50,278,468,1144,2,1,3,0,387,81,431,257,1.82478632478632,0.61965811965812,114,0.262820512820513,0.356837606837607,1.68345323741007,5.8,2.46,3.05395683453237,11.6,4.92,6.44736842105263,0.590909090909091,0.301573426573427,0.596018735362998,0.752958579881657,0.145979020979021,0.736526946107784,0.692307692307692,0.910210403631456,0.542502453686664,0.424137931034483,0.596018735362998,0.918081075469739,0.736526946107784,0.173076923076923,0.549145299145299,0.641469594594595,0.310488531294452,0.784222972972973,0.337248322147651,0.866003347591594,1.22254114549045,"sSolve",1.62,"ok" "aim-50-1_6-yes1-1-90-shuffled",1,138,50,188,333,829,2,1,3,0,252,81,296,167,1.75375375375375,0.735735735735736,69,0.369369369369369,0.366366366366366,1.77127659574468,4.9,2.46,3.31914893617021,9.8,4.92,7.57971014492754,0.598311218335344,0.253317249698432,0.64041095890411,0.754032258064516,0.147165259348613,1.00819672131148,0.671370967741935,0.959703501687033,0.614604639779025,0.502040816326531,0.64041095890411,1.02723235156615,1.00819672131148,0.243243243243243,0.501501501501502,0.6409666283084,0.296292590183619,0.527871116225547,0.302884615384615,0.825250836120401,0.823554757630162,"X2clsQ",0.01,"ok" "aim-50-1_6-yes1-1-90-shuffled",1,138,50,188,333,829,2,1,3,0,252,81,296,167,1.75375375375375,0.735735735735736,69,0.369369369369369,0.366366366366366,1.77127659574468,4.9,2.46,3.31914893617021,9.8,4.92,7.57971014492754,0.598311218335344,0.253317249698432,0.64041095890411,0.754032258064516,0.147165259348613,1.00819672131148,0.671370967741935,0.959703501687033,0.614604639779025,0.502040816326531,0.64041095890411,1.02723235156615,1.00819672131148,0.243243243243243,0.501501501501502,0.6409666283084,0.296292590183619,0.527871116225547,0.302884615384615,0.825250836120401,0.823554757630162,"quantor",3600,"memout" "aim-50-1_6-yes1-1-90-shuffled",1,138,50,188,333,829,2,1,3,0,252,81,296,167,1.75375375375375,0.735735735735736,69,0.369369369369369,0.366366366366366,1.77127659574468,4.9,2.46,3.31914893617021,9.8,4.92,7.57971014492754,0.598311218335344,0.253317249698432,0.64041095890411,0.754032258064516,0.147165259348613,1.00819672131148,0.671370967741935,0.959703501687033,0.614604639779025,0.502040816326531,0.64041095890411,1.02723235156615,1.00819672131148,0.243243243243243,0.501501501501502,0.6409666283084,0.296292590183619,0.527871116225547,0.302884615384615,0.825250836120401,0.823554757630162,"QuBE",0.05,"ok" "aim-50-1_6-yes1-1-90-shuffled",1,138,50,188,333,829,2,1,3,0,252,81,296,167,1.75375375375375,0.735735735735736,69,0.369369369369369,0.366366366366366,1.77127659574468,4.9,2.46,3.31914893617021,9.8,4.92,7.57971014492754,0.598311218335344,0.253317249698432,0.64041095890411,0.754032258064516,0.147165259348613,1.00819672131148,0.671370967741935,0.959703501687033,0.614604639779025,0.502040816326531,0.64041095890411,1.02723235156615,1.00819672131148,0.243243243243243,0.501501501501502,0.6409666283084,0.296292590183619,0.527871116225547,0.302884615384615,0.825250836120401,0.823554757630162,"sKizzo",0.13,"ok" "aim-50-1_6-yes1-1-90-shuffled",1,138,50,188,333,829,2,1,3,0,252,81,296,167,1.75375375375375,0.735735735735736,69,0.369369369369369,0.366366366366366,1.77127659574468,4.9,2.46,3.31914893617021,9.8,4.92,7.57971014492754,0.598311218335344,0.253317249698432,0.64041095890411,0.754032258064516,0.147165259348613,1.00819672131148,0.671370967741935,0.959703501687033,0.614604639779025,0.502040816326531,0.64041095890411,1.02723235156615,1.00819672131148,0.243243243243243,0.501501501501502,0.6409666283084,0.296292590183619,0.527871116225547,0.302884615384615,0.825250836120401,0.823554757630162,"sSolve",2.1,"ok" "aim-50-1_6-yes1-2-00-shuffled",1,228,50,278,467,1141,2,1,3,0,387,80,428,251,1.82226980728051,0.620985010706638,114,0.246252676659529,0.374732334047109,1.67985611510791,5.8,2.3,3.02158273381295,11.6,4.6,6.35526315789474,0.590709903593339,0.308501314636284,0.586368977673326,0.740356083086053,0.153374233128834,0.657142857142857,0.692878338278932,0.909397727447453,0.533242615741809,0.396551724137931,0.586368977673326,0.902714873236504,0.657142857142857,0.171306209850107,0.537473233404711,0.644820295983087,0.3144913022514,0.822832980972516,0.341736694677871,0.885442773600668,1.27606557377049,"X2clsQ",0.01,"ok" "aim-50-1_6-yes1-2-00-shuffled",1,228,50,278,467,1141,2,1,3,0,387,80,428,251,1.82226980728051,0.620985010706638,114,0.246252676659529,0.374732334047109,1.67985611510791,5.8,2.3,3.02158273381295,11.6,4.6,6.35526315789474,0.590709903593339,0.308501314636284,0.586368977673326,0.740356083086053,0.153374233128834,0.657142857142857,0.692878338278932,0.909397727447453,0.533242615741809,0.396551724137931,0.586368977673326,0.902714873236504,0.657142857142857,0.171306209850107,0.537473233404711,0.644820295983087,0.3144913022514,0.822832980972516,0.341736694677871,0.885442773600668,1.27606557377049,"quantor",3600,"memout" "aim-50-1_6-yes1-2-00-shuffled",1,228,50,278,467,1141,2,1,3,0,387,80,428,251,1.82226980728051,0.620985010706638,114,0.246252676659529,0.374732334047109,1.67985611510791,5.8,2.3,3.02158273381295,11.6,4.6,6.35526315789474,0.590709903593339,0.308501314636284,0.586368977673326,0.740356083086053,0.153374233128834,0.657142857142857,0.692878338278932,0.909397727447453,0.533242615741809,0.396551724137931,0.586368977673326,0.902714873236504,0.657142857142857,0.171306209850107,0.537473233404711,0.644820295983087,0.3144913022514,0.822832980972516,0.341736694677871,0.885442773600668,1.27606557377049,"QuBE",0.07,"ok" "aim-50-1_6-yes1-2-00-shuffled",1,228,50,278,467,1141,2,1,3,0,387,80,428,251,1.82226980728051,0.620985010706638,114,0.246252676659529,0.374732334047109,1.67985611510791,5.8,2.3,3.02158273381295,11.6,4.6,6.35526315789474,0.590709903593339,0.308501314636284,0.586368977673326,0.740356083086053,0.153374233128834,0.657142857142857,0.692878338278932,0.909397727447453,0.533242615741809,0.396551724137931,0.586368977673326,0.902714873236504,0.657142857142857,0.171306209850107,0.537473233404711,0.644820295983087,0.3144913022514,0.822832980972516,0.341736694677871,0.885442773600668,1.27606557377049,"sKizzo",0.06,"ok" "aim-50-1_6-yes1-2-00-shuffled",1,228,50,278,467,1141,2,1,3,0,387,80,428,251,1.82226980728051,0.620985010706638,114,0.246252676659529,0.374732334047109,1.67985611510791,5.8,2.3,3.02158273381295,11.6,4.6,6.35526315789474,0.590709903593339,0.308501314636284,0.586368977673326,0.740356083086053,0.153374233128834,0.657142857142857,0.692878338278932,0.909397727447453,0.533242615741809,0.396551724137931,0.586368977673326,0.902714873236504,0.657142857142857,0.171306209850107,0.537473233404711,0.644820295983087,0.3144913022514,0.822832980972516,0.341736694677871,0.885442773600668,1.27606557377049,"sSolve",1.25,"ok" "aim-50-1_6-yes1-2-50-shuffled",1,182,50,232,398,980,2,1,3,0,318,80,359,205,1.79145728643216,0.670854271356784,91,0.28894472361809,0.381909547738693,1.71551724137931,5.34,2.3,3.125,10.68,4.6,6.81318681318681,0.593877551020408,0.288775510204082,0.603085553997195,0.738831615120275,0.155102040816327,0.756578947368421,0.683848797250859,0.92742767436645,0.55931823278762,0.430711610486891,0.603085553997195,0.941807333559911,0.756578947368421,0.201005025125628,0.515075376884422,0.645129711209006,0.30885414145326,0.690435633871757,0.328031809145129,0.87032967032967,1.07022761760243,"X2clsQ",0,"ok" "aim-50-1_6-yes1-2-50-shuffled",1,182,50,232,398,980,2,1,3,0,318,80,359,205,1.79145728643216,0.670854271356784,91,0.28894472361809,0.381909547738693,1.71551724137931,5.34,2.3,3.125,10.68,4.6,6.81318681318681,0.593877551020408,0.288775510204082,0.603085553997195,0.738831615120275,0.155102040816327,0.756578947368421,0.683848797250859,0.92742767436645,0.55931823278762,0.430711610486891,0.603085553997195,0.941807333559911,0.756578947368421,0.201005025125628,0.515075376884422,0.645129711209006,0.30885414145326,0.690435633871757,0.328031809145129,0.87032967032967,1.07022761760243,"quantor",3600,"memout" "aim-50-1_6-yes1-2-50-shuffled",1,182,50,232,398,980,2,1,3,0,318,80,359,205,1.79145728643216,0.670854271356784,91,0.28894472361809,0.381909547738693,1.71551724137931,5.34,2.3,3.125,10.68,4.6,6.81318681318681,0.593877551020408,0.288775510204082,0.603085553997195,0.738831615120275,0.155102040816327,0.756578947368421,0.683848797250859,0.92742767436645,0.55931823278762,0.430711610486891,0.603085553997195,0.941807333559911,0.756578947368421,0.201005025125628,0.515075376884422,0.645129711209006,0.30885414145326,0.690435633871757,0.328031809145129,0.87032967032967,1.07022761760243,"QuBE",0.03,"ok" "aim-50-1_6-yes1-2-50-shuffled",1,182,50,232,398,980,2,1,3,0,318,80,359,205,1.79145728643216,0.670854271356784,91,0.28894472361809,0.381909547738693,1.71551724137931,5.34,2.3,3.125,10.68,4.6,6.81318681318681,0.593877551020408,0.288775510204082,0.603085553997195,0.738831615120275,0.155102040816327,0.756578947368421,0.683848797250859,0.92742767436645,0.55931823278762,0.430711610486891,0.603085553997195,0.941807333559911,0.756578947368421,0.201005025125628,0.515075376884422,0.645129711209006,0.30885414145326,0.690435633871757,0.328031809145129,0.87032967032967,1.07022761760243,"sKizzo",0.04,"ok" "aim-50-1_6-yes1-2-50-shuffled",1,182,50,232,398,980,2,1,3,0,318,80,359,205,1.79145728643216,0.670854271356784,91,0.28894472361809,0.381909547738693,1.71551724137931,5.34,2.3,3.125,10.68,4.6,6.81318681318681,0.593877551020408,0.288775510204082,0.603085553997195,0.738831615120275,0.155102040816327,0.756578947368421,0.683848797250859,0.92742767436645,0.55931823278762,0.430711610486891,0.603085553997195,0.941807333559911,0.756578947368421,0.201005025125628,0.515075376884422,0.645129711209006,0.30885414145326,0.690435633871757,0.328031809145129,0.87032967032967,1.07022761760243,"sSolve",1.17,"ok" "aim-50-1_6-yes1-3-00-shuffled",1,228,50,278,466,1138,2,1,3,0,387,79,421,259,1.82403433476395,0.618025751072961,114,0.248927038626609,0.369098712446352,1.67625899280576,5.76,2.32,3.02158273381295,11.52,4.64,6.36842105263158,0.590509666080844,0.307557117750439,0.588235294117647,0.744047619047619,0.151142355008787,0.674418604651163,0.693452380952381,0.910723645669534,0.535719791570314,0.402777777777778,0.588235294117647,0.907215956558062,0.674418604651163,0.169527896995708,0.555793991416309,0.643463497453311,0.314657611771364,0.811816638370119,0.341573033707865,0.882539682539683,1.26163588390501,"X2clsQ",0,"ok" "aim-50-1_6-yes1-3-00-shuffled",1,228,50,278,466,1138,2,1,3,0,387,79,421,259,1.82403433476395,0.618025751072961,114,0.248927038626609,0.369098712446352,1.67625899280576,5.76,2.32,3.02158273381295,11.52,4.64,6.36842105263158,0.590509666080844,0.307557117750439,0.588235294117647,0.744047619047619,0.151142355008787,0.674418604651163,0.693452380952381,0.910723645669534,0.535719791570314,0.402777777777778,0.588235294117647,0.907215956558062,0.674418604651163,0.169527896995708,0.555793991416309,0.643463497453311,0.314657611771364,0.811816638370119,0.341573033707865,0.882539682539683,1.26163588390501,"quantor",3600,"memout" "aim-50-1_6-yes1-3-00-shuffled",1,228,50,278,466,1138,2,1,3,0,387,79,421,259,1.82403433476395,0.618025751072961,114,0.248927038626609,0.369098712446352,1.67625899280576,5.76,2.32,3.02158273381295,11.52,4.64,6.36842105263158,0.590509666080844,0.307557117750439,0.588235294117647,0.744047619047619,0.151142355008787,0.674418604651163,0.693452380952381,0.910723645669534,0.535719791570314,0.402777777777778,0.588235294117647,0.907215956558062,0.674418604651163,0.169527896995708,0.555793991416309,0.643463497453311,0.314657611771364,0.811816638370119,0.341573033707865,0.882539682539683,1.26163588390501,"QuBE",0.07,"ok" "aim-50-1_6-yes1-3-00-shuffled",1,228,50,278,466,1138,2,1,3,0,387,79,421,259,1.82403433476395,0.618025751072961,114,0.248927038626609,0.369098712446352,1.67625899280576,5.76,2.32,3.02158273381295,11.52,4.64,6.36842105263158,0.590509666080844,0.307557117750439,0.588235294117647,0.744047619047619,0.151142355008787,0.674418604651163,0.693452380952381,0.910723645669534,0.535719791570314,0.402777777777778,0.588235294117647,0.907215956558062,0.674418604651163,0.169527896995708,0.555793991416309,0.643463497453311,0.314657611771364,0.811816638370119,0.341573033707865,0.882539682539683,1.26163588390501,"sKizzo",0.05,"ok" "aim-50-1_6-yes1-3-00-shuffled",1,228,50,278,466,1138,2,1,3,0,387,79,421,259,1.82403433476395,0.618025751072961,114,0.248927038626609,0.369098712446352,1.67625899280576,5.76,2.32,3.02158273381295,11.52,4.64,6.36842105263158,0.590509666080844,0.307557117750439,0.588235294117647,0.744047619047619,0.151142355008787,0.674418604651163,0.693452380952381,0.910723645669534,0.535719791570314,0.402777777777778,0.588235294117647,0.907215956558062,0.674418604651163,0.169527896995708,0.555793991416309,0.643463497453311,0.314657611771364,0.811816638370119,0.341573033707865,0.882539682539683,1.26163588390501,"sSolve",1.11,"ok" "aim-50-1_6-yes1-4-50-shuffled",1,176,50,226,388,956,2,1,3,0,309,79,352,204,1.78865979381443,0.675257731958763,88,0.31701030927835,0.358247422680412,1.71681415929204,5.24,2.46,3.17256637168142,10.48,4.92,6.90340909090909,0.594142259414226,0.277196652719665,0.618155619596542,0.755281690140845,0.145397489539749,0.884892086330935,0.683098591549296,0.93217478128566,0.576229079497908,0.469465648854962,0.618155619596542,0.969850352112676,0.884892086330935,0.20360824742268,0.525773195876289,0.640060240963855,0.303617880613363,0.630803212851406,0.320844686648501,0.843524153670597,0.985537254901961,"X2clsQ",0.01,"ok" "aim-50-1_6-yes1-4-50-shuffled",1,176,50,226,388,956,2,1,3,0,309,79,352,204,1.78865979381443,0.675257731958763,88,0.31701030927835,0.358247422680412,1.71681415929204,5.24,2.46,3.17256637168142,10.48,4.92,6.90340909090909,0.594142259414226,0.277196652719665,0.618155619596542,0.755281690140845,0.145397489539749,0.884892086330935,0.683098591549296,0.93217478128566,0.576229079497908,0.469465648854962,0.618155619596542,0.969850352112676,0.884892086330935,0.20360824742268,0.525773195876289,0.640060240963855,0.303617880613363,0.630803212851406,0.320844686648501,0.843524153670597,0.985537254901961,"quantor",3600,"memout" "aim-50-1_6-yes1-4-50-shuffled",1,176,50,226,388,956,2,1,3,0,309,79,352,204,1.78865979381443,0.675257731958763,88,0.31701030927835,0.358247422680412,1.71681415929204,5.24,2.46,3.17256637168142,10.48,4.92,6.90340909090909,0.594142259414226,0.277196652719665,0.618155619596542,0.755281690140845,0.145397489539749,0.884892086330935,0.683098591549296,0.93217478128566,0.576229079497908,0.469465648854962,0.618155619596542,0.969850352112676,0.884892086330935,0.20360824742268,0.525773195876289,0.640060240963855,0.303617880613363,0.630803212851406,0.320844686648501,0.843524153670597,0.985537254901961,"QuBE",0.03,"ok" "aim-50-1_6-yes1-4-50-shuffled",1,176,50,226,388,956,2,1,3,0,309,79,352,204,1.78865979381443,0.675257731958763,88,0.31701030927835,0.358247422680412,1.71681415929204,5.24,2.46,3.17256637168142,10.48,4.92,6.90340909090909,0.594142259414226,0.277196652719665,0.618155619596542,0.755281690140845,0.145397489539749,0.884892086330935,0.683098591549296,0.93217478128566,0.576229079497908,0.469465648854962,0.618155619596542,0.969850352112676,0.884892086330935,0.20360824742268,0.525773195876289,0.640060240963855,0.303617880613363,0.630803212851406,0.320844686648501,0.843524153670597,0.985537254901961,"sKizzo",0.08,"ok" "aim-50-1_6-yes1-4-50-shuffled",1,176,50,226,388,956,2,1,3,0,309,79,352,204,1.78865979381443,0.675257731958763,88,0.31701030927835,0.358247422680412,1.71681415929204,5.24,2.46,3.17256637168142,10.48,4.92,6.90340909090909,0.594142259414226,0.277196652719665,0.618155619596542,0.755281690140845,0.145397489539749,0.884892086330935,0.683098591549296,0.93217478128566,0.576229079497908,0.469465648854962,0.618155619596542,0.969850352112676,0.884892086330935,0.20360824742268,0.525773195876289,0.640060240963855,0.303617880613363,0.630803212851406,0.320844686648501,0.843524153670597,0.985537254901961,"sSolve",0.99,"ok" "aim-50-1_6-yes1-4-90-shuffled",1,138,50,188,331,823,2,1,3,0,252,79,295,166,1.75226586102719,0.734138972809668,69,0.371601208459215,0.362537764350453,1.76063829787234,4.86,2.46,3.30851063829787,9.72,4.92,7.52173913043478,0.597812879708384,0.25273390036452,0.641379310344828,0.75609756097561,0.145808019441069,1.025,0.672764227642276,0.960078891295543,0.615774737175762,0.506172839506173,0.641379310344828,1.03004595263344,1.025,0.238670694864048,0.501510574018127,0.639629200463499,0.296773976858616,0.522827346465817,0.303225806451613,0.823523929353651,0.817391304347826,"X2clsQ",0.01,"ok" "aim-50-1_6-yes1-4-90-shuffled",1,138,50,188,331,823,2,1,3,0,252,79,295,166,1.75226586102719,0.734138972809668,69,0.371601208459215,0.362537764350453,1.76063829787234,4.86,2.46,3.30851063829787,9.72,4.92,7.52173913043478,0.597812879708384,0.25273390036452,0.641379310344828,0.75609756097561,0.145808019441069,1.025,0.672764227642276,0.960078891295543,0.615774737175762,0.506172839506173,0.641379310344828,1.03004595263344,1.025,0.238670694864048,0.501510574018127,0.639629200463499,0.296773976858616,0.522827346465817,0.303225806451613,0.823523929353651,0.817391304347826,"quantor",8.31,"ok" "aim-50-1_6-yes1-4-90-shuffled",1,138,50,188,331,823,2,1,3,0,252,79,295,166,1.75226586102719,0.734138972809668,69,0.371601208459215,0.362537764350453,1.76063829787234,4.86,2.46,3.30851063829787,9.72,4.92,7.52173913043478,0.597812879708384,0.25273390036452,0.641379310344828,0.75609756097561,0.145808019441069,1.025,0.672764227642276,0.960078891295543,0.615774737175762,0.506172839506173,0.641379310344828,1.03004595263344,1.025,0.238670694864048,0.501510574018127,0.639629200463499,0.296773976858616,0.522827346465817,0.303225806451613,0.823523929353651,0.817391304347826,"QuBE",0.06,"ok" "aim-50-1_6-yes1-4-90-shuffled",1,138,50,188,331,823,2,1,3,0,252,79,295,166,1.75226586102719,0.734138972809668,69,0.371601208459215,0.362537764350453,1.76063829787234,4.86,2.46,3.30851063829787,9.72,4.92,7.52173913043478,0.597812879708384,0.25273390036452,0.641379310344828,0.75609756097561,0.145808019441069,1.025,0.672764227642276,0.960078891295543,0.615774737175762,0.506172839506173,0.641379310344828,1.03004595263344,1.025,0.238670694864048,0.501510574018127,0.639629200463499,0.296773976858616,0.522827346465817,0.303225806451613,0.823523929353651,0.817391304347826,"sKizzo",0.12,"ok" "aim-50-1_6-yes1-4-90-shuffled",1,138,50,188,331,823,2,1,3,0,252,79,295,166,1.75226586102719,0.734138972809668,69,0.371601208459215,0.362537764350453,1.76063829787234,4.86,2.46,3.30851063829787,9.72,4.92,7.52173913043478,0.597812879708384,0.25273390036452,0.641379310344828,0.75609756097561,0.145808019441069,1.025,0.672764227642276,0.960078891295543,0.615774737175762,0.506172839506173,0.641379310344828,1.03004595263344,1.025,0.238670694864048,0.501510574018127,0.639629200463499,0.296773976858616,0.522827346465817,0.303225806451613,0.823523929353651,0.817391304347826,"sSolve",1.14,"ok" "aim-50-2_0-yes1-1-90-shuffled",1,160,50,210,415,1033,2,1,3,0,315,100,363,212,1.75180722891566,0.737349397590361,80,0.363855421686747,0.373493975903614,1.97142857142857,6.12,3.02,3.69047619047619,12.24,6.04,8.7,0.599225556631171,0.25459825750242,0.638239339752407,0.749596122778675,0.150048402710552,0.974193548387097,0.668820678513732,0.92370522749274,0.589545014520813,0.493464052287582,0.638239339752407,0.983844911147011,0.974193548387097,0.240963855421687,0.510843373493976,0.642692485016137,0.28622060857538,0.600276625172891,0.303789338471419,0.801048387096774,0.934002869440459,"X2clsQ",0.01,"ok" "aim-50-2_0-yes1-1-90-shuffled",1,160,50,210,415,1033,2,1,3,0,315,100,363,212,1.75180722891566,0.737349397590361,80,0.363855421686747,0.373493975903614,1.97142857142857,6.12,3.02,3.69047619047619,12.24,6.04,8.7,0.599225556631171,0.25459825750242,0.638239339752407,0.749596122778675,0.150048402710552,0.974193548387097,0.668820678513732,0.92370522749274,0.589545014520813,0.493464052287582,0.638239339752407,0.983844911147011,0.974193548387097,0.240963855421687,0.510843373493976,0.642692485016137,0.28622060857538,0.600276625172891,0.303789338471419,0.801048387096774,0.934002869440459,"quantor",434.04,"ok" "aim-50-2_0-yes1-1-90-shuffled",1,160,50,210,415,1033,2,1,3,0,315,100,363,212,1.75180722891566,0.737349397590361,80,0.363855421686747,0.373493975903614,1.97142857142857,6.12,3.02,3.69047619047619,12.24,6.04,8.7,0.599225556631171,0.25459825750242,0.638239339752407,0.749596122778675,0.150048402710552,0.974193548387097,0.668820678513732,0.92370522749274,0.589545014520813,0.493464052287582,0.638239339752407,0.983844911147011,0.974193548387097,0.240963855421687,0.510843373493976,0.642692485016137,0.28622060857538,0.600276625172891,0.303789338471419,0.801048387096774,0.934002869440459,"QuBE",0.06,"ok" "aim-50-2_0-yes1-1-90-shuffled",1,160,50,210,415,1033,2,1,3,0,315,100,363,212,1.75180722891566,0.737349397590361,80,0.363855421686747,0.373493975903614,1.97142857142857,6.12,3.02,3.69047619047619,12.24,6.04,8.7,0.599225556631171,0.25459825750242,0.638239339752407,0.749596122778675,0.150048402710552,0.974193548387097,0.668820678513732,0.92370522749274,0.589545014520813,0.493464052287582,0.638239339752407,0.983844911147011,0.974193548387097,0.240963855421687,0.510843373493976,0.642692485016137,0.28622060857538,0.600276625172891,0.303789338471419,0.801048387096774,0.934002869440459,"sKizzo",0.15,"ok" "aim-50-2_0-yes1-1-90-shuffled",1,160,50,210,415,1033,2,1,3,0,315,100,363,212,1.75180722891566,0.737349397590361,80,0.363855421686747,0.373493975903614,1.97142857142857,6.12,3.02,3.69047619047619,12.24,6.04,8.7,0.599225556631171,0.25459825750242,0.638239339752407,0.749596122778675,0.150048402710552,0.974193548387097,0.668820678513732,0.92370522749274,0.589545014520813,0.493464052287582,0.638239339752407,0.983844911147011,0.974193548387097,0.240963855421687,0.510843373493976,0.642692485016137,0.28622060857538,0.600276625172891,0.303789338471419,0.801048387096774,0.934002869440459,"sSolve",5.55,"ok" "aim-50-2_0-yes1-2-50-shuffled",1,202,50,252,478,1180,2,1,3,0,378,100,422,259,1.78661087866109,0.682008368200837,101,0.315899581589958,0.366108786610879,1.8968253968254,6.52,3.02,3.49603174603175,13.04,6.04,7.94059405940594,0.594915254237288,0.277118644067797,0.617096018735363,0.750712250712251,0.148305084745763,0.862857142857143,0.680911680911681,0.902869608994798,0.557157241147844,0.46319018404908,0.617096018735363,0.936532114749937,0.862857142857143,0.209205020920502,0.5418410041841,0.641869918699187,0.293624728326491,0.717073170731707,0.320243362831858,0.819882896348659,1.11716276124129,"X2clsQ",0.01,"ok" "aim-50-2_0-yes1-2-50-shuffled",1,202,50,252,478,1180,2,1,3,0,378,100,422,259,1.78661087866109,0.682008368200837,101,0.315899581589958,0.366108786610879,1.8968253968254,6.52,3.02,3.49603174603175,13.04,6.04,7.94059405940594,0.594915254237288,0.277118644067797,0.617096018735363,0.750712250712251,0.148305084745763,0.862857142857143,0.680911680911681,0.902869608994798,0.557157241147844,0.46319018404908,0.617096018735363,0.936532114749937,0.862857142857143,0.209205020920502,0.5418410041841,0.641869918699187,0.293624728326491,0.717073170731707,0.320243362831858,0.819882896348659,1.11716276124129,"quantor",289.6,"ok" "aim-50-2_0-yes1-2-50-shuffled",1,202,50,252,478,1180,2,1,3,0,378,100,422,259,1.78661087866109,0.682008368200837,101,0.315899581589958,0.366108786610879,1.8968253968254,6.52,3.02,3.49603174603175,13.04,6.04,7.94059405940594,0.594915254237288,0.277118644067797,0.617096018735363,0.750712250712251,0.148305084745763,0.862857142857143,0.680911680911681,0.902869608994798,0.557157241147844,0.46319018404908,0.617096018735363,0.936532114749937,0.862857142857143,0.209205020920502,0.5418410041841,0.641869918699187,0.293624728326491,0.717073170731707,0.320243362831858,0.819882896348659,1.11716276124129,"QuBE",0.05,"ok" "aim-50-2_0-yes1-2-50-shuffled",1,202,50,252,478,1180,2,1,3,0,378,100,422,259,1.78661087866109,0.682008368200837,101,0.315899581589958,0.366108786610879,1.8968253968254,6.52,3.02,3.49603174603175,13.04,6.04,7.94059405940594,0.594915254237288,0.277118644067797,0.617096018735363,0.750712250712251,0.148305084745763,0.862857142857143,0.680911680911681,0.902869608994798,0.557157241147844,0.46319018404908,0.617096018735363,0.936532114749937,0.862857142857143,0.209205020920502,0.5418410041841,0.641869918699187,0.293624728326491,0.717073170731707,0.320243362831858,0.819882896348659,1.11716276124129,"sKizzo",0.18,"ok" "aim-50-2_0-yes1-2-50-shuffled",1,202,50,252,478,1180,2,1,3,0,378,100,422,259,1.78661087866109,0.682008368200837,101,0.315899581589958,0.366108786610879,1.8968253968254,6.52,3.02,3.49603174603175,13.04,6.04,7.94059405940594,0.594915254237288,0.277118644067797,0.617096018735363,0.750712250712251,0.148305084745763,0.862857142857143,0.680911680911681,0.902869608994798,0.557157241147844,0.46319018404908,0.617096018735363,0.936532114749937,0.862857142857143,0.209205020920502,0.5418410041841,0.641869918699187,0.293624728326491,0.717073170731707,0.320243362831858,0.819882896348659,1.11716276124129,"sSolve",12.89,"ok" "aim-50-2_0-yes1-3-90-shuffled",1,160,50,210,416,1036,2,1,3,0,315,101,366,210,1.75480769230769,0.735576923076923,80,0.362980769230769,0.372596153846154,1.98095238095238,6.12,3.02,3.7,12.24,6.04,8.75,0.598455598455598,0.255791505791506,0.636986301369863,0.75,0.1496138996139,0.974193548387097,0.670967741935484,0.924831081081081,0.58910472972973,0.493464052287582,0.636986301369863,0.984375,0.974193548387097,0.242788461538462,0.504807692307692,0.642265193370166,0.287033839779005,0.599447513812155,0.304487179487179,0.802364864864865,0.933333333333333,"X2clsQ",0.01,"ok" "aim-50-2_0-yes1-3-90-shuffled",1,160,50,210,416,1036,2,1,3,0,315,101,366,210,1.75480769230769,0.735576923076923,80,0.362980769230769,0.372596153846154,1.98095238095238,6.12,3.02,3.7,12.24,6.04,8.75,0.598455598455598,0.255791505791506,0.636986301369863,0.75,0.1496138996139,0.974193548387097,0.670967741935484,0.924831081081081,0.58910472972973,0.493464052287582,0.636986301369863,0.984375,0.974193548387097,0.242788461538462,0.504807692307692,0.642265193370166,0.287033839779005,0.599447513812155,0.304487179487179,0.802364864864865,0.933333333333333,"quantor",3600,"memout" "aim-50-2_0-yes1-3-90-shuffled",1,160,50,210,416,1036,2,1,3,0,315,101,366,210,1.75480769230769,0.735576923076923,80,0.362980769230769,0.372596153846154,1.98095238095238,6.12,3.02,3.7,12.24,6.04,8.75,0.598455598455598,0.255791505791506,0.636986301369863,0.75,0.1496138996139,0.974193548387097,0.670967741935484,0.924831081081081,0.58910472972973,0.493464052287582,0.636986301369863,0.984375,0.974193548387097,0.242788461538462,0.504807692307692,0.642265193370166,0.287033839779005,0.599447513812155,0.304487179487179,0.802364864864865,0.933333333333333,"QuBE",0.06,"ok" "aim-50-2_0-yes1-3-90-shuffled",1,160,50,210,416,1036,2,1,3,0,315,101,366,210,1.75480769230769,0.735576923076923,80,0.362980769230769,0.372596153846154,1.98095238095238,6.12,3.02,3.7,12.24,6.04,8.75,0.598455598455598,0.255791505791506,0.636986301369863,0.75,0.1496138996139,0.974193548387097,0.670967741935484,0.924831081081081,0.58910472972973,0.493464052287582,0.636986301369863,0.984375,0.974193548387097,0.242788461538462,0.504807692307692,0.642265193370166,0.287033839779005,0.599447513812155,0.304487179487179,0.802364864864865,0.933333333333333,"sKizzo",0.18,"ok" "aim-50-2_0-yes1-3-90-shuffled",1,160,50,210,416,1036,2,1,3,0,315,101,366,210,1.75480769230769,0.735576923076923,80,0.362980769230769,0.372596153846154,1.98095238095238,6.12,3.02,3.7,12.24,6.04,8.75,0.598455598455598,0.255791505791506,0.636986301369863,0.75,0.1496138996139,0.974193548387097,0.670967741935484,0.924831081081081,0.58910472972973,0.493464052287582,0.636986301369863,0.984375,0.974193548387097,0.242788461538462,0.504807692307692,0.642265193370166,0.287033839779005,0.599447513812155,0.304487179487179,0.802364864864865,0.933333333333333,"sSolve",5.6,"ok" "aim-50-3_4-yes1-2-90-shuffled",1,228,50,278,693,1729,2,1,3,0,522,171,604,350,1.75180375180375,0.743145743145743,114,0.366522366522367,0.376623376623377,2.49280575539568,10.3,5.08,4.65827338129496,20.6,10.16,12.6271929824561,0.59919028340081,0.253903990746096,0.63838550247117,0.748069498069498,0.150954308849046,0.973180076628352,0.668918918918919,0.85611802786318,0.546533337392063,0.493203883495146,0.63838550247117,0.912119826593511,0.973180076628353,0.246753246753247,0.505050505050505,0.643054024255788,0.264494961217819,0.799977949283352,0.30292532717475,0.740994377836483,1.244029147021,"X2clsQ",0.04,"ok" "aim-50-3_4-yes1-2-90-shuffled",1,228,50,278,693,1729,2,1,3,0,522,171,604,350,1.75180375180375,0.743145743145743,114,0.366522366522367,0.376623376623377,2.49280575539568,10.3,5.08,4.65827338129496,20.6,10.16,12.6271929824561,0.59919028340081,0.253903990746096,0.63838550247117,0.748069498069498,0.150954308849046,0.973180076628352,0.668918918918919,0.85611802786318,0.546533337392063,0.493203883495146,0.63838550247117,0.912119826593511,0.973180076628353,0.246753246753247,0.505050505050505,0.643054024255788,0.264494961217819,0.799977949283352,0.30292532717475,0.740994377836483,1.244029147021,"quantor",19.32,"ok" "aim-50-3_4-yes1-2-90-shuffled",1,228,50,278,693,1729,2,1,3,0,522,171,604,350,1.75180375180375,0.743145743145743,114,0.366522366522367,0.376623376623377,2.49280575539568,10.3,5.08,4.65827338129496,20.6,10.16,12.6271929824561,0.59919028340081,0.253903990746096,0.63838550247117,0.748069498069498,0.150954308849046,0.973180076628352,0.668918918918919,0.85611802786318,0.546533337392063,0.493203883495146,0.63838550247117,0.912119826593511,0.973180076628353,0.246753246753247,0.505050505050505,0.643054024255788,0.264494961217819,0.799977949283352,0.30292532717475,0.740994377836483,1.244029147021,"QuBE",0.07,"ok" "aim-50-3_4-yes1-2-90-shuffled",1,228,50,278,693,1729,2,1,3,0,522,171,604,350,1.75180375180375,0.743145743145743,114,0.366522366522367,0.376623376623377,2.49280575539568,10.3,5.08,4.65827338129496,20.6,10.16,12.6271929824561,0.59919028340081,0.253903990746096,0.63838550247117,0.748069498069498,0.150954308849046,0.973180076628352,0.668918918918919,0.85611802786318,0.546533337392063,0.493203883495146,0.63838550247117,0.912119826593511,0.973180076628353,0.246753246753247,0.505050505050505,0.643054024255788,0.264494961217819,0.799977949283352,0.30292532717475,0.740994377836483,1.244029147021,"sKizzo",0.14,"ok" "aim-50-3_4-yes1-2-90-shuffled",1,228,50,278,693,1729,2,1,3,0,522,171,604,350,1.75180375180375,0.743145743145743,114,0.366522366522367,0.376623376623377,2.49280575539568,10.3,5.08,4.65827338129496,20.6,10.16,12.6271929824561,0.59919028340081,0.253903990746096,0.63838550247117,0.748069498069498,0.150954308849046,0.973180076628352,0.668918918918919,0.85611802786318,0.546533337392063,0.493203883495146,0.63838550247117,0.912119826593511,0.973180076628353,0.246753246753247,0.505050505050505,0.643054024255788,0.264494961217819,0.799977949283352,0.30292532717475,0.740994377836483,1.244029147021,"sSolve",24.53,"ok" "aim-50-3_4-yes1-3-90-shuffled",1,230,50,280,696,1736,2,1,3,0,525,171,607,351,1.75287356321839,0.741379310344828,115,0.363505747126437,0.377873563218391,2.48571428571429,10.32,5.06,4.63928571428571,20.64,10.12,12.5652173913043,0.599078341013825,0.255184331797235,0.636885245901639,0.747115384615385,0.151497695852535,0.961977186311787,0.669230769230769,0.855539971949509,0.544880785413745,0.49031007751938,0.636885245901639,0.909531772575251,0.961977186311787,0.245689655172414,0.504310344827586,0.64332784184514,0.265071747487047,0.808786381109281,0.303831417624521,0.743180372862068,1.25719163465642,"X2clsQ",0.21,"ok" "aim-50-3_4-yes1-3-90-shuffled",1,230,50,280,696,1736,2,1,3,0,525,171,607,351,1.75287356321839,0.741379310344828,115,0.363505747126437,0.377873563218391,2.48571428571429,10.32,5.06,4.63928571428571,20.64,10.12,12.5652173913043,0.599078341013825,0.255184331797235,0.636885245901639,0.747115384615385,0.151497695852535,0.961977186311787,0.669230769230769,0.855539971949509,0.544880785413745,0.49031007751938,0.636885245901639,0.909531772575251,0.961977186311787,0.245689655172414,0.504310344827586,0.64332784184514,0.265071747487047,0.808786381109281,0.303831417624521,0.743180372862068,1.25719163465642,"quantor",24.1,"ok" "aim-50-3_4-yes1-3-90-shuffled",1,230,50,280,696,1736,2,1,3,0,525,171,607,351,1.75287356321839,0.741379310344828,115,0.363505747126437,0.377873563218391,2.48571428571429,10.32,5.06,4.63928571428571,20.64,10.12,12.5652173913043,0.599078341013825,0.255184331797235,0.636885245901639,0.747115384615385,0.151497695852535,0.961977186311787,0.669230769230769,0.855539971949509,0.544880785413745,0.49031007751938,0.636885245901639,0.909531772575251,0.961977186311787,0.245689655172414,0.504310344827586,0.64332784184514,0.265071747487047,0.808786381109281,0.303831417624521,0.743180372862068,1.25719163465642,"QuBE",0.07,"ok" "aim-50-3_4-yes1-3-90-shuffled",1,230,50,280,696,1736,2,1,3,0,525,171,607,351,1.75287356321839,0.741379310344828,115,0.363505747126437,0.377873563218391,2.48571428571429,10.32,5.06,4.63928571428571,20.64,10.12,12.5652173913043,0.599078341013825,0.255184331797235,0.636885245901639,0.747115384615385,0.151497695852535,0.961977186311787,0.669230769230769,0.855539971949509,0.544880785413745,0.49031007751938,0.636885245901639,0.909531772575251,0.961977186311787,0.245689655172414,0.504310344827586,0.64332784184514,0.265071747487047,0.808786381109281,0.303831417624521,0.743180372862068,1.25719163465642,"sKizzo",0.21,"ok" "aim-50-3_4-yes1-3-90-shuffled",1,230,50,280,696,1736,2,1,3,0,525,171,607,351,1.75287356321839,0.741379310344828,115,0.363505747126437,0.377873563218391,2.48571428571429,10.32,5.06,4.63928571428571,20.64,10.12,12.5652173913043,0.599078341013825,0.255184331797235,0.636885245901639,0.747115384615385,0.151497695852535,0.961977186311787,0.669230769230769,0.855539971949509,0.544880785413745,0.49031007751938,0.636885245901639,0.909531772575251,0.961977186311787,0.245689655172414,0.504310344827586,0.64332784184514,0.265071747487047,0.808786381109281,0.303831417624521,0.743180372862068,1.25719163465642,"sSolve",24.09,"ok" "aim-50-6_0-yes1-3-50-shuffled",1,387,50,437,1248,3107,2,1,3,0,953,295,1093,641,1.75721153846154,0.732371794871795,193.5,0.358974358974359,0.373397435897436,2.8512585812357,18.28,8.96,5.33180778032037,36.56,17.92,17.8656330749354,0.598970067589314,0.256839394914709,0.636114911080711,0.749596990865126,0.149983907306083,0.96137339055794,0.669532509403546,0.797017487394057,0.506994708123442,0.490153172866521,0.636114911080711,0.846444147307649,0.96137339055794,0.236378205128205,0.513621794871795,0.642692838521699,0.24831641126046,1.24914583652814,0.305561474536544,0.694966230828093,1.94361250298258,"X2clsQ",0.03,"ok" "aim-50-6_0-yes1-3-50-shuffled",1,387,50,437,1248,3107,2,1,3,0,953,295,1093,641,1.75721153846154,0.732371794871795,193.5,0.358974358974359,0.373397435897436,2.8512585812357,18.28,8.96,5.33180778032037,36.56,17.92,17.8656330749354,0.598970067589314,0.256839394914709,0.636114911080711,0.749596990865126,0.149983907306083,0.96137339055794,0.669532509403546,0.797017487394057,0.506994708123442,0.490153172866521,0.636114911080711,0.846444147307649,0.96137339055794,0.236378205128205,0.513621794871795,0.642692838521699,0.24831641126046,1.24914583652814,0.305561474536544,0.694966230828093,1.94361250298258,"quantor",1.58,"ok" "aim-50-6_0-yes1-3-50-shuffled",1,387,50,437,1248,3107,2,1,3,0,953,295,1093,641,1.75721153846154,0.732371794871795,193.5,0.358974358974359,0.373397435897436,2.8512585812357,18.28,8.96,5.33180778032037,36.56,17.92,17.8656330749354,0.598970067589314,0.256839394914709,0.636114911080711,0.749596990865126,0.149983907306083,0.96137339055794,0.669532509403546,0.797017487394057,0.506994708123442,0.490153172866521,0.636114911080711,0.846444147307649,0.96137339055794,0.236378205128205,0.513621794871795,0.642692838521699,0.24831641126046,1.24914583652814,0.305561474536544,0.694966230828093,1.94361250298258,"QuBE",0.07,"ok" "aim-50-6_0-yes1-3-50-shuffled",1,387,50,437,1248,3107,2,1,3,0,953,295,1093,641,1.75721153846154,0.732371794871795,193.5,0.358974358974359,0.373397435897436,2.8512585812357,18.28,8.96,5.33180778032037,36.56,17.92,17.8656330749354,0.598970067589314,0.256839394914709,0.636114911080711,0.749596990865126,0.149983907306083,0.96137339055794,0.669532509403546,0.797017487394057,0.506994708123442,0.490153172866521,0.636114911080711,0.846444147307649,0.96137339055794,0.236378205128205,0.513621794871795,0.642692838521699,0.24831641126046,1.24914583652814,0.305561474536544,0.694966230828093,1.94361250298258,"sKizzo",0.5,"ok" "aim-50-6_0-yes1-3-50-shuffled",1,387,50,437,1248,3107,2,1,3,0,953,295,1093,641,1.75721153846154,0.732371794871795,193.5,0.358974358974359,0.373397435897436,2.8512585812357,18.28,8.96,5.33180778032037,36.56,17.92,17.8656330749354,0.598970067589314,0.256839394914709,0.636114911080711,0.749596990865126,0.149983907306083,0.96137339055794,0.669532509403546,0.797017487394057,0.506994708123442,0.490153172866521,0.636114911080711,0.846444147307649,0.96137339055794,0.236378205128205,0.513621794871795,0.642692838521699,0.24831641126046,1.24914583652814,0.305561474536544,0.694966230828093,1.94361250298258,"sSolve",1.09,"ok" "aim-50-6_0-yes1-4-90-shuffled",1,358,50,408,1211,3023,2,1,3,0,912,299,1062,606,1.74979355904211,0.746490503715937,179,0.37159372419488,0.374896779521057,2.9656862745098,18.08,9,5.55882352941176,36.16,18,18.9301675977654,0.599735362222957,0.251405888190539,0.641340254837187,0.749586321014892,0.150181938471717,0.991189427312775,0.667402095973525,0.798858657185045,0.51234021477795,0.497787610619469,0.641340254837187,0.85427714797228,0.991189427312775,0.246903385631709,0.500412881915772,0.642778390297685,0.245560159476133,1.16699952748464,0.301255230125523,0.687416866187816,1.81555501102671,"X2clsQ",0.07,"ok" "aim-50-6_0-yes1-4-90-shuffled",1,358,50,408,1211,3023,2,1,3,0,912,299,1062,606,1.74979355904211,0.746490503715937,179,0.37159372419488,0.374896779521057,2.9656862745098,18.08,9,5.55882352941176,36.16,18,18.9301675977654,0.599735362222957,0.251405888190539,0.641340254837187,0.749586321014892,0.150181938471717,0.991189427312775,0.667402095973525,0.798858657185045,0.51234021477795,0.497787610619469,0.641340254837187,0.85427714797228,0.991189427312775,0.246903385631709,0.500412881915772,0.642778390297685,0.245560159476133,1.16699952748464,0.301255230125523,0.687416866187816,1.81555501102671,"quantor",11.09,"ok" "aim-50-6_0-yes1-4-90-shuffled",1,358,50,408,1211,3023,2,1,3,0,912,299,1062,606,1.74979355904211,0.746490503715937,179,0.37159372419488,0.374896779521057,2.9656862745098,18.08,9,5.55882352941176,36.16,18,18.9301675977654,0.599735362222957,0.251405888190539,0.641340254837187,0.749586321014892,0.150181938471717,0.991189427312775,0.667402095973525,0.798858657185045,0.51234021477795,0.497787610619469,0.641340254837187,0.85427714797228,0.991189427312775,0.246903385631709,0.500412881915772,0.642778390297685,0.245560159476133,1.16699952748464,0.301255230125523,0.687416866187816,1.81555501102671,"QuBE",0.09,"ok" "aim-50-6_0-yes1-4-90-shuffled",1,358,50,408,1211,3023,2,1,3,0,912,299,1062,606,1.74979355904211,0.746490503715937,179,0.37159372419488,0.374896779521057,2.9656862745098,18.08,9,5.55882352941176,36.16,18,18.9301675977654,0.599735362222957,0.251405888190539,0.641340254837187,0.749586321014892,0.150181938471717,0.991189427312775,0.667402095973525,0.798858657185045,0.51234021477795,0.497787610619469,0.641340254837187,0.85427714797228,0.991189427312775,0.246903385631709,0.500412881915772,0.642778390297685,0.245560159476133,1.16699952748464,0.301255230125523,0.687416866187816,1.81555501102671,"sKizzo",0.12,"ok" "aim-50-6_0-yes1-4-90-shuffled",1,358,50,408,1211,3023,2,1,3,0,912,299,1062,606,1.74979355904211,0.746490503715937,179,0.37159372419488,0.374896779521057,2.9656862745098,18.08,9,5.55882352941176,36.16,18,18.9301675977654,0.599735362222957,0.251405888190539,0.641340254837187,0.749586321014892,0.150181938471717,0.991189427312775,0.667402095973525,0.798858657185045,0.51234021477795,0.497787610619469,0.641340254837187,0.85427714797228,0.991189427312775,0.246903385631709,0.500412881915772,0.642778390297685,0.245560159476133,1.16699952748464,0.301255230125523,0.687416866187816,1.81555501102671,"sSolve",15.91,"ok" "biu.mv.xl_ao.bb-b001-p005-OPF05-c02.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-004-shuffled",1,2945,96,3041,6694,15618,5,4,9,1,4462,2231,4998,3483,2.15147893636092,0.181655213624141,589,0.0908276068120705,0.0908276068120705,2.201249588951,12.6666666666667,6.33333333333333,16.5070700427491,51.3333333333333,25.6666666666667,66.241765704584,0.571391983608657,0.389678576002049,0.577419802805166,0.931869116987898,0.0389294403892944,1,0.750112057373375,0.952200727861566,0.549819556512761,0.5,0.577419802805166,0.962245835232664,1,0.333283537496265,0.520316701523753,0.583301512459947,0.40916121511943,0.647920021693535,0.413145458636985,0.981911927578335,1.11078063034857,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b001-p005-OPF05-c02.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-004-shuffled",1,2945,96,3041,6694,15618,5,4,9,1,4462,2231,4998,3483,2.15147893636092,0.181655213624141,589,0.0908276068120705,0.0908276068120705,2.201249588951,12.6666666666667,6.33333333333333,16.5070700427491,51.3333333333333,25.6666666666667,66.241765704584,0.571391983608657,0.389678576002049,0.577419802805166,0.931869116987898,0.0389294403892944,1,0.750112057373375,0.952200727861566,0.549819556512761,0.5,0.577419802805166,0.962245835232664,1,0.333283537496265,0.520316701523753,0.583301512459947,0.40916121511943,0.647920021693535,0.413145458636985,0.981911927578335,1.11078063034857,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b001-p005-OPF05-c02.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-004-shuffled",1,2945,96,3041,6694,15618,5,4,9,1,4462,2231,4998,3483,2.15147893636092,0.181655213624141,589,0.0908276068120705,0.0908276068120705,2.201249588951,12.6666666666667,6.33333333333333,16.5070700427491,51.3333333333333,25.6666666666667,66.241765704584,0.571391983608657,0.389678576002049,0.577419802805166,0.931869116987898,0.0389294403892944,1,0.750112057373375,0.952200727861566,0.549819556512761,0.5,0.577419802805166,0.962245835232664,1,0.333283537496265,0.520316701523753,0.583301512459947,0.40916121511943,0.647920021693535,0.413145458636985,0.981911927578335,1.11078063034857,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b001-p005-OPF05-c02.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-004-shuffled",1,2945,96,3041,6694,15618,5,4,9,1,4462,2231,4998,3483,2.15147893636092,0.181655213624141,589,0.0908276068120705,0.0908276068120705,2.201249588951,12.6666666666667,6.33333333333333,16.5070700427491,51.3333333333333,25.6666666666667,66.241765704584,0.571391983608657,0.389678576002049,0.577419802805166,0.931869116987898,0.0389294403892944,1,0.750112057373375,0.952200727861566,0.549819556512761,0.5,0.577419802805166,0.962245835232664,1,0.333283537496265,0.520316701523753,0.583301512459947,0.40916121511943,0.647920021693535,0.413145458636985,0.981911927578335,1.11078063034857,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b001-p005-OPF05-c02.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-004-shuffled",1,2945,96,3041,6694,15618,5,4,9,1,4462,2231,4998,3483,2.15147893636092,0.181655213624141,589,0.0908276068120705,0.0908276068120705,2.201249588951,12.6666666666667,6.33333333333333,16.5070700427491,51.3333333333333,25.6666666666667,66.241765704584,0.571391983608657,0.389678576002049,0.577419802805166,0.931869116987898,0.0389294403892944,1,0.750112057373375,0.952200727861566,0.549819556512761,0.5,0.577419802805166,0.962245835232664,1,0.333283537496265,0.520316701523753,0.583301512459947,0.40916121511943,0.647920021693535,0.413145458636985,0.981911927578335,1.11078063034857,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b001-p010-MIF04-c05.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,7114,235,7349,18343,42799,6,5,11,1,12228,6114,13732,9335,2.08291991495393,0.250340729433571,1185.66666666667,0.125170364716786,0.125170364716786,2.49598584841475,19.5404255319149,9.77021276595745,22.4600625935501,98.0425531914894,49.0212765957447,87.6908912004498,0.571415219981775,0.37493866679128,0.57999842960714,0.906117108276088,0.0536461132269446,1,0.750040889761204,0.922196995753496,0.53487280932545,0.5,0.57999842960714,0.936049287140985,1,0.333315161096876,0.508913481982228,0.584611977521699,0.399160772374826,0.906624861780919,0.410180032645777,0.960934718322742,1.55081472265468,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b001-p010-MIF04-c05.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,7114,235,7349,18343,42799,6,5,11,1,12228,6114,13732,9335,2.08291991495393,0.250340729433571,1185.66666666667,0.125170364716786,0.125170364716786,2.49598584841475,19.5404255319149,9.77021276595745,22.4600625935501,98.0425531914894,49.0212765957447,87.6908912004498,0.571415219981775,0.37493866679128,0.57999842960714,0.906117108276088,0.0536461132269446,1,0.750040889761204,0.922196995753496,0.53487280932545,0.5,0.57999842960714,0.936049287140985,1,0.333315161096876,0.508913481982228,0.584611977521699,0.399160772374826,0.906624861780919,0.410180032645777,0.960934718322742,1.55081472265468,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b001-p010-MIF04-c05.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,7114,235,7349,18343,42799,6,5,11,1,12228,6114,13732,9335,2.08291991495393,0.250340729433571,1185.66666666667,0.125170364716786,0.125170364716786,2.49598584841475,19.5404255319149,9.77021276595745,22.4600625935501,98.0425531914894,49.0212765957447,87.6908912004498,0.571415219981775,0.37493866679128,0.57999842960714,0.906117108276088,0.0536461132269446,1,0.750040889761204,0.922196995753496,0.53487280932545,0.5,0.57999842960714,0.936049287140985,1,0.333315161096876,0.508913481982228,0.584611977521699,0.399160772374826,0.906624861780919,0.410180032645777,0.960934718322742,1.55081472265468,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b001-p010-MIF04-c05.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,7114,235,7349,18343,42799,6,5,11,1,12228,6114,13732,9335,2.08291991495393,0.250340729433571,1185.66666666667,0.125170364716786,0.125170364716786,2.49598584841475,19.5404255319149,9.77021276595745,22.4600625935501,98.0425531914894,49.0212765957447,87.6908912004498,0.571415219981775,0.37493866679128,0.57999842960714,0.906117108276088,0.0536461132269446,1,0.750040889761204,0.922196995753496,0.53487280932545,0.5,0.57999842960714,0.936049287140985,1,0.333315161096876,0.508913481982228,0.584611977521699,0.399160772374826,0.906624861780919,0.410180032645777,0.960934718322742,1.55081472265468,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b001-p010-MIF04-c05.blif-biu.inv1.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,7114,235,7349,18343,42799,6,5,11,1,12228,6114,13732,9335,2.08291991495393,0.250340729433571,1185.66666666667,0.125170364716786,0.125170364716786,2.49598584841475,19.5404255319149,9.77021276595745,22.4600625935501,98.0425531914894,49.0212765957447,87.6908912004498,0.571415219981775,0.37493866679128,0.57999842960714,0.906117108276088,0.0536461132269446,1,0.750040889761204,0.922196995753496,0.53487280932545,0.5,0.57999842960714,0.936049287140985,1,0.333315161096876,0.508913481982228,0.584611977521699,0.399160772374826,0.906624861780919,0.410180032645777,0.960934718322742,1.55081472265468,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7543,0,7543,11310,25082,1,0,1,981,6886,3443,8796,6581,2.21768346595933,0,7543,0,0,1.36948163860533,0,0,1.36948163860533,0,0,6.63979848866499,0.588150865162268,0.411849134837732,0.588150865162268,1,0,0,0.700244034707158,1,0.588150865162268,0,0.588150865162268,1,0,0.304420866489832,0.581874447391689,0.588150865162268,0.411849134837732,0,0.411849134837732,1,0,"X2clsQ",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7543,0,7543,11310,25082,1,0,1,981,6886,3443,8796,6581,2.21768346595933,0,7543,0,0,1.36948163860533,0,0,1.36948163860533,0,0,6.63979848866499,0.588150865162268,0.411849134837732,0.588150865162268,1,0,0,0.700244034707158,1,0.588150865162268,0,0.588150865162268,1,0,0.304420866489832,0.581874447391689,0.588150865162268,0.411849134837732,0,0.411849134837732,1,0,"quantor",0.03,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7543,0,7543,11310,25082,1,0,1,981,6886,3443,8796,6581,2.21768346595933,0,7543,0,0,1.36948163860533,0,0,1.36948163860533,0,0,6.63979848866499,0.588150865162268,0.411849134837732,0.588150865162268,1,0,0,0.700244034707158,1,0.588150865162268,0,0.588150865162268,1,0,0.304420866489832,0.581874447391689,0.588150865162268,0.411849134837732,0,0.411849134837732,1,0,"QuBE",0.21,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7543,0,7543,11310,25082,1,0,1,981,6886,3443,8796,6581,2.21768346595933,0,7543,0,0,1.36948163860533,0,0,1.36948163860533,0,0,6.63979848866499,0.588150865162268,0.411849134837732,0.588150865162268,1,0,0,0.700244034707158,1,0.588150865162268,0,0.588150865162268,1,0,0.304420866489832,0.581874447391689,0.588150865162268,0.411849134837732,0,0.411849134837732,1,0,"sKizzo",0.07,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7543,0,7543,11310,25082,1,0,1,981,6886,3443,8796,6581,2.21768346595933,0,7543,0,0,1.36948163860533,0,0,1.36948163860533,0,0,6.63979848866499,0.588150865162268,0.411849134837732,0.588150865162268,1,0,0,0.700244034707158,1,0.588150865162268,0,0.588150865162268,1,0,0.304420866489832,0.581874447391689,0.588150865162268,0.411849134837732,0,0.411849134837732,1,0,"sSolve",19.04,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-007-shuffled",1,14292,91,14383,34326,79360,92,91,183,505,22608,11213,25906,17695,2.21371555089437,0.0982345743751092,155.347826086957,0.0491172871875546,0.0491172871875546,2.3515261072099,37.0549450549451,18.5274725274725,348.669401376625,2969.49450549451,1484.74725274725,1795.33613210188,0.573815524193548,0.404939516129032,0.577091119650471,0.962975976107866,0.0212449596774194,1,0.742720365409109,0.96360673732993,0.556088890948466,0.5,0.577091119650471,0.969107435233658,1,0.326662005476898,0.515498455980889,0.584931258775361,0.406457561179792,1.76749715002625,0.413125746069642,0.979253605030724,3.02171772068869,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-007-shuffled",1,14292,91,14383,34326,79360,92,91,183,505,22608,11213,25906,17695,2.21371555089437,0.0982345743751092,155.347826086957,0.0491172871875546,0.0491172871875546,2.3515261072099,37.0549450549451,18.5274725274725,348.669401376625,2969.49450549451,1484.74725274725,1795.33613210188,0.573815524193548,0.404939516129032,0.577091119650471,0.962975976107866,0.0212449596774194,1,0.742720365409109,0.96360673732993,0.556088890948466,0.5,0.577091119650471,0.969107435233658,1,0.326662005476898,0.515498455980889,0.584931258775361,0.406457561179792,1.76749715002625,0.413125746069642,0.979253605030724,3.02171772068869,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-007-shuffled",1,14292,91,14383,34326,79360,92,91,183,505,22608,11213,25906,17695,2.21371555089437,0.0982345743751092,155.347826086957,0.0491172871875546,0.0491172871875546,2.3515261072099,37.0549450549451,18.5274725274725,348.669401376625,2969.49450549451,1484.74725274725,1795.33613210188,0.573815524193548,0.404939516129032,0.577091119650471,0.962975976107866,0.0212449596774194,1,0.742720365409109,0.96360673732993,0.556088890948466,0.5,0.577091119650471,0.969107435233658,1,0.326662005476898,0.515498455980889,0.584931258775361,0.406457561179792,1.76749715002625,0.413125746069642,0.979253605030724,3.02171772068869,"QuBE",197.24,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-007-shuffled",1,14292,91,14383,34326,79360,92,91,183,505,22608,11213,25906,17695,2.21371555089437,0.0982345743751092,155.347826086957,0.0491172871875546,0.0491172871875546,2.3515261072099,37.0549450549451,18.5274725274725,348.669401376625,2969.49450549451,1484.74725274725,1795.33613210188,0.573815524193548,0.404939516129032,0.577091119650471,0.962975976107866,0.0212449596774194,1,0.742720365409109,0.96360673732993,0.556088890948466,0.5,0.577091119650471,0.969107435233658,1,0.326662005476898,0.515498455980889,0.584931258775361,0.406457561179792,1.76749715002625,0.413125746069642,0.979253605030724,3.02171772068869,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-007-shuffled",1,14292,91,14383,34326,79360,92,91,183,505,22608,11213,25906,17695,2.21371555089437,0.0982345743751092,155.347826086957,0.0491172871875546,0.0491172871875546,2.3515261072099,37.0549450549451,18.5274725274725,348.669401376625,2969.49450549451,1484.74725274725,1795.33613210188,0.573815524193548,0.404939516129032,0.577091119650471,0.962975976107866,0.0212449596774194,1,0.742720365409109,0.96360673732993,0.556088890948466,0.5,0.577091119650471,0.969107435233658,1,0.326662005476898,0.515498455980889,0.584931258775361,0.406457561179792,1.76749715002625,0.413125746069642,0.979253605030724,3.02171772068869,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1721,40,1761,2720,6164,41,40,81,117,1762,841,2082,1536,2.22941176470588,0.0367647058823529,41.9756097560976,0.0183823529411765,0.0183823529411765,1.47870528109029,2.5,1.25,98.168086314594,110,55,406.605461940732,0.577547047371836,0.414341336794289,0.578825857519789,0.985955056179775,0.00811161583387411,1,0.731460674157303,1.00664200408663,0.582670421230884,0.5,0.578825857519789,1.00887092035595,1,0.309191176470588,0.564705882352941,0.582333101395493,0.42193565773414,0.23400353705207,0.4167822536532,1.01022048705295,0.401837945483965,"X2clsQ",0.03,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1721,40,1761,2720,6164,41,40,81,117,1762,841,2082,1536,2.22941176470588,0.0367647058823529,41.9756097560976,0.0183823529411765,0.0183823529411765,1.47870528109029,2.5,1.25,98.168086314594,110,55,406.605461940732,0.577547047371836,0.414341336794289,0.578825857519789,0.985955056179775,0.00811161583387411,1,0.731460674157303,1.00664200408663,0.582670421230884,0.5,0.578825857519789,1.00887092035595,1,0.309191176470588,0.564705882352941,0.582333101395493,0.42193565773414,0.23400353705207,0.4167822536532,1.01022048705295,0.401837945483965,"quantor",0.02,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1721,40,1761,2720,6164,41,40,81,117,1762,841,2082,1536,2.22941176470588,0.0367647058823529,41.9756097560976,0.0183823529411765,0.0183823529411765,1.47870528109029,2.5,1.25,98.168086314594,110,55,406.605461940732,0.577547047371836,0.414341336794289,0.578825857519789,0.985955056179775,0.00811161583387411,1,0.731460674157303,1.00664200408663,0.582670421230884,0.5,0.578825857519789,1.00887092035595,1,0.309191176470588,0.564705882352941,0.582333101395493,0.42193565773414,0.23400353705207,0.4167822536532,1.01022048705295,0.401837945483965,"QuBE",0.06,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1721,40,1761,2720,6164,41,40,81,117,1762,841,2082,1536,2.22941176470588,0.0367647058823529,41.9756097560976,0.0183823529411765,0.0183823529411765,1.47870528109029,2.5,1.25,98.168086314594,110,55,406.605461940732,0.577547047371836,0.414341336794289,0.578825857519789,0.985955056179775,0.00811161583387411,1,0.731460674157303,1.00664200408663,0.582670421230884,0.5,0.578825857519789,1.00887092035595,1,0.309191176470588,0.564705882352941,0.582333101395493,0.42193565773414,0.23400353705207,0.4167822536532,1.01022048705295,0.401837945483965,"sKizzo",0.02,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1721,40,1761,2720,6164,41,40,81,117,1762,841,2082,1536,2.22941176470588,0.0367647058823529,41.9756097560976,0.0183823529411765,0.0183823529411765,1.47870528109029,2.5,1.25,98.168086314594,110,55,406.605461940732,0.577547047371836,0.414341336794289,0.578825857519789,0.985955056179775,0.00811161583387411,1,0.731460674157303,1.00664200408663,0.582670421230884,0.5,0.578825857519789,1.00887092035595,1,0.309191176470588,0.564705882352941,0.582333101395493,0.42193565773414,0.23400353705207,0.4167822536532,1.01022048705295,0.401837945483965,"sSolve",0.99,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,5429,80,5509,11460,26376,81,80,161,233,7538,3689,8668,6044,2.20034904013962,0.101221640488656,67.0246913580247,0.0506108202443281,0.0506108202443281,2.03811944091487,14.5,7.25,262.698856416773,942,471,1038.34555166697,0.574309978768577,0.403700333636639,0.577728426395939,0.961711116979139,0.0219896875947831,1,0.741219963031423,0.970108237627681,0.560459105558378,0.5,0.577728426395939,0.975882583060983,1,0.321902268760907,0.52739965095986,0.585138928384688,0.410013700147669,0.74381581783824,0.412981210973392,0.988315675296385,1.27117814549032,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,5429,80,5509,11460,26376,81,80,161,233,7538,3689,8668,6044,2.20034904013962,0.101221640488656,67.0246913580247,0.0506108202443281,0.0506108202443281,2.03811944091487,14.5,7.25,262.698856416773,942,471,1038.34555166697,0.574309978768577,0.403700333636639,0.577728426395939,0.961711116979139,0.0219896875947831,1,0.741219963031423,0.970108237627681,0.560459105558378,0.5,0.577728426395939,0.975882583060983,1,0.321902268760907,0.52739965095986,0.585138928384688,0.410013700147669,0.74381581783824,0.412981210973392,0.988315675296385,1.27117814549032,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,5429,80,5509,11460,26376,81,80,161,233,7538,3689,8668,6044,2.20034904013962,0.101221640488656,67.0246913580247,0.0506108202443281,0.0506108202443281,2.03811944091487,14.5,7.25,262.698856416773,942,471,1038.34555166697,0.574309978768577,0.403700333636639,0.577728426395939,0.961711116979139,0.0219896875947831,1,0.741219963031423,0.970108237627681,0.560459105558378,0.5,0.577728426395939,0.975882583060983,1,0.321902268760907,0.52739965095986,0.585138928384688,0.410013700147669,0.74381581783824,0.412981210973392,0.988315675296385,1.27117814549032,"QuBE",0.92,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,5429,80,5509,11460,26376,81,80,161,233,7538,3689,8668,6044,2.20034904013962,0.101221640488656,67.0246913580247,0.0506108202443281,0.0506108202443281,2.03811944091487,14.5,7.25,262.698856416773,942,471,1038.34555166697,0.574309978768577,0.403700333636639,0.577728426395939,0.961711116979139,0.0219896875947831,1,0.741219963031423,0.970108237627681,0.560459105558378,0.5,0.577728426395939,0.975882583060983,1,0.321902268760907,0.52739965095986,0.585138928384688,0.410013700147669,0.74381581783824,0.412981210973392,0.988315675296385,1.27117814549032,"sKizzo",219.97,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,5429,80,5509,11460,26376,81,80,161,233,7538,3689,8668,6044,2.20034904013962,0.101221640488656,67.0246913580247,0.0506108202443281,0.0506108202443281,2.03811944091487,14.5,7.25,262.698856416773,942,471,1038.34555166697,0.574309978768577,0.403700333636639,0.577728426395939,0.961711116979139,0.0219896875947831,1,0.741219963031423,0.970108237627681,0.560459105558378,0.5,0.577728426395939,0.975882583060983,1,0.321902268760907,0.52739965095986,0.585138928384688,0.410013700147669,0.74381581783824,0.412981210973392,0.988315675296385,1.27117814549032,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4086,99,4185,8362,19316,100,99,199,97,5576,2689,6298,4413,2.21597703898589,0.0939966515187754,40.86,0.0469983257593877,0.0469983257593877,1.97514934289128,7.93939393939394,3.96969696969697,308.780167264038,622.787878787879,311.393939393939,1165.68208516887,0.572064609650031,0.407589563056533,0.575121424716676,0.964434389140272,0.0203458272934355,1,0.748054298642534,0.982551499156619,0.56508641805246,0.5,0.575121424716676,0.987801742181115,1,0.321573786175556,0.52774455871801,0.585752258857034,0.414162780986028,0.417754278529313,0.412518697826768,0.999794904960245,0.71319277427025,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4086,99,4185,8362,19316,100,99,199,97,5576,2689,6298,4413,2.21597703898589,0.0939966515187754,40.86,0.0469983257593877,0.0469983257593877,1.97514934289128,7.93939393939394,3.96969696969697,308.780167264038,622.787878787879,311.393939393939,1165.68208516887,0.572064609650031,0.407589563056533,0.575121424716676,0.964434389140272,0.0203458272934355,1,0.748054298642534,0.982551499156619,0.56508641805246,0.5,0.575121424716676,0.987801742181115,1,0.321573786175556,0.52774455871801,0.585752258857034,0.414162780986028,0.417754278529313,0.412518697826768,0.999794904960245,0.71319277427025,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4086,99,4185,8362,19316,100,99,199,97,5576,2689,6298,4413,2.21597703898589,0.0939966515187754,40.86,0.0469983257593877,0.0469983257593877,1.97514934289128,7.93939393939394,3.96969696969697,308.780167264038,622.787878787879,311.393939393939,1165.68208516887,0.572064609650031,0.407589563056533,0.575121424716676,0.964434389140272,0.0203458272934355,1,0.748054298642534,0.982551499156619,0.56508641805246,0.5,0.575121424716676,0.987801742181115,1,0.321573786175556,0.52774455871801,0.585752258857034,0.414162780986028,0.417754278529313,0.412518697826768,0.999794904960245,0.71319277427025,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4086,99,4185,8362,19316,100,99,199,97,5576,2689,6298,4413,2.21597703898589,0.0939966515187754,40.86,0.0469983257593877,0.0469983257593877,1.97514934289128,7.93939393939394,3.96969696969697,308.780167264038,622.787878787879,311.393939393939,1165.68208516887,0.572064609650031,0.407589563056533,0.575121424716676,0.964434389140272,0.0203458272934355,1,0.748054298642534,0.982551499156619,0.56508641805246,0.5,0.575121424716676,0.987801742181115,1,0.321573786175556,0.52774455871801,0.585752258857034,0.414162780986028,0.417754278529313,0.412518697826768,0.999794904960245,0.71319277427025,"sKizzo",68.94,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4086,99,4185,8362,19316,100,99,199,97,5576,2689,6298,4413,2.21597703898589,0.0939966515187754,40.86,0.0469983257593877,0.0469983257593877,1.97514934289128,7.93939393939394,3.96969696969697,308.780167264038,622.787878787879,311.393939393939,1165.68208516887,0.572064609650031,0.407589563056533,0.575121424716676,0.964434389140272,0.0203458272934355,1,0.748054298642534,0.982551499156619,0.56508641805246,0.5,0.575121424716676,0.987801742181115,1,0.321573786175556,0.52774455871801,0.585752258857034,0.414162780986028,0.417754278529313,0.412518697826768,0.999794904960245,0.71319277427025,"sSolve",14.37,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,29191,144,29335,76246,177018,145,144,289,595,50530,25121,57332,38595,2.22199197334942,0.0996773601238098,201.31724137931,0.0498386800619049,0.0498386800619049,2.57889892619737,52.7777777777778,26.3888888888889,603.871552752684,6843.33333333333,3421.66666666667,3783.23332534,0.572631031872465,0.405902224632523,0.575889220743959,0.962512084919993,0.0214667434950118,1,0.746325197798078,0.96178774824938,0.553883196860422,0.5,0.575889220743959,0.967260183314309,1,0.329473021535556,0.506190488681373,0.585035991823547,0.405412129678674,2.35127571115321,0.412954658665895,0.976981428968373,4.01902745132709,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,29191,144,29335,76246,177018,145,144,289,595,50530,25121,57332,38595,2.22199197334942,0.0996773601238098,201.31724137931,0.0498386800619049,0.0498386800619049,2.57889892619737,52.7777777777778,26.3888888888889,603.871552752684,6843.33333333333,3421.66666666667,3783.23332534,0.572631031872465,0.405902224632523,0.575889220743959,0.962512084919993,0.0214667434950118,1,0.746325197798078,0.96178774824938,0.553883196860422,0.5,0.575889220743959,0.967260183314309,1,0.329473021535556,0.506190488681373,0.585035991823547,0.405412129678674,2.35127571115321,0.412954658665895,0.976981428968373,4.01902745132709,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,29191,144,29335,76246,177018,145,144,289,595,50530,25121,57332,38595,2.22199197334942,0.0996773601238098,201.31724137931,0.0498386800619049,0.0498386800619049,2.57889892619737,52.7777777777778,26.3888888888889,603.871552752684,6843.33333333333,3421.66666666667,3783.23332534,0.572631031872465,0.405902224632523,0.575889220743959,0.962512084919993,0.0214667434950118,1,0.746325197798078,0.96178774824938,0.553883196860422,0.5,0.575889220743959,0.967260183314309,1,0.329473021535556,0.506190488681373,0.585035991823547,0.405412129678674,2.35127571115321,0.412954658665895,0.976981428968373,4.01902745132709,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,29191,144,29335,76246,177018,145,144,289,595,50530,25121,57332,38595,2.22199197334942,0.0996773601238098,201.31724137931,0.0498386800619049,0.0498386800619049,2.57889892619737,52.7777777777778,26.3888888888889,603.871552752684,6843.33333333333,3421.66666666667,3783.23332534,0.572631031872465,0.405902224632523,0.575889220743959,0.962512084919993,0.0214667434950118,1,0.746325197798078,0.96178774824938,0.553883196860422,0.5,0.575889220743959,0.967260183314309,1,0.329473021535556,0.506190488681373,0.585035991823547,0.405412129678674,2.35127571115321,0.412954658665895,0.976981428968373,4.01902745132709,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,29191,144,29335,76246,177018,145,144,289,595,50530,25121,57332,38595,2.22199197334942,0.0996773601238098,201.31724137931,0.0498386800619049,0.0498386800619049,2.57889892619737,52.7777777777778,26.3888888888889,603.871552752684,6843.33333333333,3421.66666666667,3783.23332534,0.572631031872465,0.405902224632523,0.575889220743959,0.962512084919993,0.0214667434950118,1,0.746325197798078,0.96178774824938,0.553883196860422,0.5,0.575889220743959,0.967260183314309,1,0.329473021535556,0.506190488681373,0.585035991823547,0.405412129678674,2.35127571115321,0.412954658665895,0.976981428968373,4.01902745132709,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,13096,145,13241,32534,75548,146,145,291,201,21652,10681,24442,16563,2.2236429581361,0.0984815884920391,89.6986301369863,0.0492407942460195,0.0492407942460195,2.4419605769957,22.0965517241379,11.048275862069,562.505853032248,2666.53793103448,1333.26896551724,2371.56872327428,0.572007200720072,0.406787737597289,0.575196284418888,0.962928680520202,0.0212050616826389,1,0.74822974036192,0.968192391304242,0.556900666080838,0.5,0.575196284418888,0.973590306869883,1,0.32830269871519,0.509098174217741,0.586314648229651,0.407409201186459,0.980529958988478,0.411791043013985,0.984828685480325,1.67236135400871,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,13096,145,13241,32534,75548,146,145,291,201,21652,10681,24442,16563,2.2236429581361,0.0984815884920391,89.6986301369863,0.0492407942460195,0.0492407942460195,2.4419605769957,22.0965517241379,11.048275862069,562.505853032248,2666.53793103448,1333.26896551724,2371.56872327428,0.572007200720072,0.406787737597289,0.575196284418888,0.962928680520202,0.0212050616826389,1,0.74822974036192,0.968192391304242,0.556900666080838,0.5,0.575196284418888,0.973590306869883,1,0.32830269871519,0.509098174217741,0.586314648229651,0.407409201186459,0.980529958988478,0.411791043013985,0.984828685480325,1.67236135400871,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,13096,145,13241,32534,75548,146,145,291,201,21652,10681,24442,16563,2.2236429581361,0.0984815884920391,89.6986301369863,0.0492407942460195,0.0492407942460195,2.4419605769957,22.0965517241379,11.048275862069,562.505853032248,2666.53793103448,1333.26896551724,2371.56872327428,0.572007200720072,0.406787737597289,0.575196284418888,0.962928680520202,0.0212050616826389,1,0.74822974036192,0.968192391304242,0.556900666080838,0.5,0.575196284418888,0.973590306869883,1,0.32830269871519,0.509098174217741,0.586314648229651,0.407409201186459,0.980529958988478,0.411791043013985,0.984828685480325,1.67236135400871,"QuBE",21.15,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,13096,145,13241,32534,75548,146,145,291,201,21652,10681,24442,16563,2.2236429581361,0.0984815884920391,89.6986301369863,0.0492407942460195,0.0492407942460195,2.4419605769957,22.0965517241379,11.048275862069,562.505853032248,2666.53793103448,1333.26896551724,2371.56872327428,0.572007200720072,0.406787737597289,0.575196284418888,0.962928680520202,0.0212050616826389,1,0.74822974036192,0.968192391304242,0.556900666080838,0.5,0.575196284418888,0.973590306869883,1,0.32830269871519,0.509098174217741,0.586314648229651,0.407409201186459,0.980529958988478,0.411791043013985,0.984828685480325,1.67236135400871,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,13096,145,13241,32534,75548,146,145,291,201,21652,10681,24442,16563,2.2236429581361,0.0984815884920391,89.6986301369863,0.0492407942460195,0.0492407942460195,2.4419605769957,22.0965517241379,11.048275862069,562.505853032248,2666.53793103448,1333.26896551724,2371.56872327428,0.572007200720072,0.406787737597289,0.575196284418888,0.962928680520202,0.0212050616826389,1,0.74822974036192,0.968192391304242,0.556900666080838,0.5,0.575196284418888,0.973590306869883,1,0.32830269871519,0.509098174217741,0.586314648229651,0.407409201186459,0.980529958988478,0.411791043013985,0.984828685480325,1.67236135400871,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35515,232,35747,95774,222890,233,232,465,321,63790,31663,71893,48174,2.21849353686804,0.108756029820202,152.424892703863,0.0543780149101009,0.0543780149101009,2.67026603631074,44.8965517241379,22.448275862069,999.371975270652,9240.13793103448,4620.06896551724,5784.16657750246,0.571743909551797,0.404890304634573,0.575260973107298,0.959132427257604,0.02336578581363,1,0.749034809629932,0.959495607744343,0.551960377003189,0.5,0.575260973107298,0.965397912915038,1,0.330601207008165,0.502996637918433,0.585428603755849,0.40475978088354,1.91655208388251,0.412391966167494,0.976333110654762,3.27375886929126,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35515,232,35747,95774,222890,233,232,465,321,63790,31663,71893,48174,2.21849353686804,0.108756029820202,152.424892703863,0.0543780149101009,0.0543780149101009,2.67026603631074,44.8965517241379,22.448275862069,999.371975270652,9240.13793103448,4620.06896551724,5784.16657750246,0.571743909551797,0.404890304634573,0.575260973107298,0.959132427257604,0.02336578581363,1,0.749034809629932,0.959495607744343,0.551960377003189,0.5,0.575260973107298,0.965397912915038,1,0.330601207008165,0.502996637918433,0.585428603755849,0.40475978088354,1.91655208388251,0.412391966167494,0.976333110654762,3.27375886929126,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35515,232,35747,95774,222890,233,232,465,321,63790,31663,71893,48174,2.21849353686804,0.108756029820202,152.424892703863,0.0543780149101009,0.0543780149101009,2.67026603631074,44.8965517241379,22.448275862069,999.371975270652,9240.13793103448,4620.06896551724,5784.16657750246,0.571743909551797,0.404890304634573,0.575260973107298,0.959132427257604,0.02336578581363,1,0.749034809629932,0.959495607744343,0.551960377003189,0.5,0.575260973107298,0.965397912915038,1,0.330601207008165,0.502996637918433,0.585428603755849,0.40475978088354,1.91655208388251,0.412391966167494,0.976333110654762,3.27375886929126,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35515,232,35747,95774,222890,233,232,465,321,63790,31663,71893,48174,2.21849353686804,0.108756029820202,152.424892703863,0.0543780149101009,0.0543780149101009,2.67026603631074,44.8965517241379,22.448275862069,999.371975270652,9240.13793103448,4620.06896551724,5784.16657750246,0.571743909551797,0.404890304634573,0.575260973107298,0.959132427257604,0.02336578581363,1,0.749034809629932,0.959495607744343,0.551960377003189,0.5,0.575260973107298,0.965397912915038,1,0.330601207008165,0.502996637918433,0.585428603755849,0.40475978088354,1.91655208388251,0.412391966167494,0.976333110654762,3.27375886929126,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35515,232,35747,95774,222890,233,232,465,321,63790,31663,71893,48174,2.21849353686804,0.108756029820202,152.424892703863,0.0543780149101009,0.0543780149101009,2.67026603631074,44.8965517241379,22.448275862069,999.371975270652,9240.13793103448,4620.06896551724,5784.16657750246,0.571743909551797,0.404890304634573,0.575260973107298,0.959132427257604,0.02336578581363,1,0.749034809629932,0.959495607744343,0.551960377003189,0.5,0.575260973107298,0.965397912915038,1,0.330601207008165,0.502996637918433,0.585428603755849,0.40475978088354,1.91655208388251,0.412391966167494,0.976333110654762,3.27375886929126,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4557,108,4665,9658,22358,109,108,217,79,6458,3121,7254,5023,2.22489128183889,0.0900807620625388,41.8073394495413,0.0450403810312694,0.0450403810312694,2.05359056806002,8.05555555555556,4.02777777777778,346.191854233655,688.888888888889,344.444444444444,1265.72152732061,0.571518024868056,0.409025852044011,0.574413626209978,0.965957113789325,0.0194561230879327,1,0.749726091720144,0.983865343776517,0.565145659820995,0.5,0.574413626209978,0.988850106611192,1,0.323151791261131,0.520086974528888,0.586652514609346,0.413396932162792,0.411261106310719,0.411665389929992,1.0001196251916,0.70103016022113,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4557,108,4665,9658,22358,109,108,217,79,6458,3121,7254,5023,2.22489128183889,0.0900807620625388,41.8073394495413,0.0450403810312694,0.0450403810312694,2.05359056806002,8.05555555555556,4.02777777777778,346.191854233655,688.888888888889,344.444444444444,1265.72152732061,0.571518024868056,0.409025852044011,0.574413626209978,0.965957113789325,0.0194561230879327,1,0.749726091720144,0.983865343776517,0.565145659820995,0.5,0.574413626209978,0.988850106611192,1,0.323151791261131,0.520086974528888,0.586652514609346,0.413396932162792,0.411261106310719,0.411665389929992,1.0001196251916,0.70103016022113,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4557,108,4665,9658,22358,109,108,217,79,6458,3121,7254,5023,2.22489128183889,0.0900807620625388,41.8073394495413,0.0450403810312694,0.0450403810312694,2.05359056806002,8.05555555555556,4.02777777777778,346.191854233655,688.888888888889,344.444444444444,1265.72152732061,0.571518024868056,0.409025852044011,0.574413626209978,0.965957113789325,0.0194561230879327,1,0.749726091720144,0.983865343776517,0.565145659820995,0.5,0.574413626209978,0.988850106611192,1,0.323151791261131,0.520086974528888,0.586652514609346,0.413396932162792,0.411261106310719,0.411665389929992,1.0001196251916,0.70103016022113,"QuBE",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4557,108,4665,9658,22358,109,108,217,79,6458,3121,7254,5023,2.22489128183889,0.0900807620625388,41.8073394495413,0.0450403810312694,0.0450403810312694,2.05359056806002,8.05555555555556,4.02777777777778,346.191854233655,688.888888888889,344.444444444444,1265.72152732061,0.571518024868056,0.409025852044011,0.574413626209978,0.965957113789325,0.0194561230879327,1,0.749726091720144,0.983865343776517,0.565145659820995,0.5,0.574413626209978,0.988850106611192,1,0.323151791261131,0.520086974528888,0.586652514609346,0.413396932162792,0.411261106310719,0.411665389929992,1.0001196251916,0.70103016022113,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF01-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4557,108,4665,9658,22358,109,108,217,79,6458,3121,7254,5023,2.22489128183889,0.0900807620625388,41.8073394495413,0.0450403810312694,0.0450403810312694,2.05359056806002,8.05555555555556,4.02777777777778,346.191854233655,688.888888888889,344.444444444444,1265.72152732061,0.571518024868056,0.409025852044011,0.574413626209978,0.965957113789325,0.0194561230879327,1,0.749726091720144,0.983865343776517,0.565145659820995,0.5,0.574413626209978,0.988850106611192,1,0.323151791261131,0.520086974528888,0.586652514609346,0.413396932162792,0.411261106310719,0.411665389929992,1.0001196251916,0.70103016022113,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1823,32,1855,2954,6726,33,32,65,109,1918,927,2247,1628,2.24441435341909,0.032498307379824,55.2424242424242,0.016249153689912,0.016249153689912,1.53423180592992,3,1.5,78.8258760107817,88,44,302.507953922106,0.576865893547428,0.415997621171573,0.577978883861237,0.987628865979381,0.00713648528099911,1,0.733505154639175,1.00302997235737,0.579730143902482,0.5,0.577978883861237,1.00496519275466,1,0.313811780636425,0.551117129316181,0.585470400462661,0.417744385772507,0.231387246202607,0.413841783691409,1.00775526340883,0.395215959713345,"X2clsQ",0.02,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1823,32,1855,2954,6726,33,32,65,109,1918,927,2247,1628,2.24441435341909,0.032498307379824,55.2424242424242,0.016249153689912,0.016249153689912,1.53423180592992,3,1.5,78.8258760107817,88,44,302.507953922106,0.576865893547428,0.415997621171573,0.577978883861237,0.987628865979381,0.00713648528099911,1,0.733505154639175,1.00302997235737,0.579730143902482,0.5,0.577978883861237,1.00496519275466,1,0.313811780636425,0.551117129316181,0.585470400462661,0.417744385772507,0.231387246202607,0.413841783691409,1.00775526340883,0.395215959713345,"quantor",0.01,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1823,32,1855,2954,6726,33,32,65,109,1918,927,2247,1628,2.24441435341909,0.032498307379824,55.2424242424242,0.016249153689912,0.016249153689912,1.53423180592992,3,1.5,78.8258760107817,88,44,302.507953922106,0.576865893547428,0.415997621171573,0.577978883861237,0.987628865979381,0.00713648528099911,1,0.733505154639175,1.00302997235737,0.579730143902482,0.5,0.577978883861237,1.00496519275466,1,0.313811780636425,0.551117129316181,0.585470400462661,0.417744385772507,0.231387246202607,0.413841783691409,1.00775526340883,0.395215959713345,"QuBE",0.06,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1823,32,1855,2954,6726,33,32,65,109,1918,927,2247,1628,2.24441435341909,0.032498307379824,55.2424242424242,0.016249153689912,0.016249153689912,1.53423180592992,3,1.5,78.8258760107817,88,44,302.507953922106,0.576865893547428,0.415997621171573,0.577978883861237,0.987628865979381,0.00713648528099911,1,0.733505154639175,1.00302997235737,0.579730143902482,0.5,0.577978883861237,1.00496519275466,1,0.313811780636425,0.551117129316181,0.585470400462661,0.417744385772507,0.231387246202607,0.413841783691409,1.00775526340883,0.395215959713345,"sKizzo",0.02,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,1823,32,1855,2954,6726,33,32,65,109,1918,927,2247,1628,2.24441435341909,0.032498307379824,55.2424242424242,0.016249153689912,0.016249153689912,1.53423180592992,3,1.5,78.8258760107817,88,44,302.507953922106,0.576865893547428,0.415997621171573,0.577978883861237,0.987628865979381,0.00713648528099911,1,0.733505154639175,1.00302997235737,0.579730143902482,0.5,0.577978883861237,1.00496519275466,1,0.313811780636425,0.551117129316181,0.585470400462661,0.417744385772507,0.231387246202607,0.413841783691409,1.00775526340883,0.395215959713345,"sSolve",3.17,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-006-shuffled",1,12967,96,13063,31474,72942,97,96,193,325,20830,10319,23669,16062,2.22704454470356,0.0904873864141831,133.680412371134,0.0452436932070916,0.0452436932070916,2.38459771874761,29.6666666666667,14.8333333333333,367.310265635765,2440,1220,1688.40965527878,0.572948369937759,0.407529269830824,0.575912346277855,0.965926493108729,0.0195223602314167,1,0.745357963246554,0.968069624168582,0.55752324861525,0.5,0.575912346277855,0.973077641665715,1,0.327857914469086,0.510325983351338,0.585937611914899,0.406946068015912,1.375284495764,0.41228941202624,0.982813411036681,2.34715175779455,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-006-shuffled",1,12967,96,13063,31474,72942,97,96,193,325,20830,10319,23669,16062,2.22704454470356,0.0904873864141831,133.680412371134,0.0452436932070916,0.0452436932070916,2.38459771874761,29.6666666666667,14.8333333333333,367.310265635765,2440,1220,1688.40965527878,0.572948369937759,0.407529269830824,0.575912346277855,0.965926493108729,0.0195223602314167,1,0.745357963246554,0.968069624168582,0.55752324861525,0.5,0.575912346277855,0.973077641665715,1,0.327857914469086,0.510325983351338,0.585937611914899,0.406946068015912,1.375284495764,0.41228941202624,0.982813411036681,2.34715175779455,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-006-shuffled",1,12967,96,13063,31474,72942,97,96,193,325,20830,10319,23669,16062,2.22704454470356,0.0904873864141831,133.680412371134,0.0452436932070916,0.0452436932070916,2.38459771874761,29.6666666666667,14.8333333333333,367.310265635765,2440,1220,1688.40965527878,0.572948369937759,0.407529269830824,0.575912346277855,0.965926493108729,0.0195223602314167,1,0.745357963246554,0.968069624168582,0.55752324861525,0.5,0.575912346277855,0.973077641665715,1,0.327857914469086,0.510325983351338,0.585937611914899,0.406946068015912,1.375284495764,0.41228941202624,0.982813411036681,2.34715175779455,"QuBE",8.03,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-006-shuffled",1,12967,96,13063,31474,72942,97,96,193,325,20830,10319,23669,16062,2.22704454470356,0.0904873864141831,133.680412371134,0.0452436932070916,0.0452436932070916,2.38459771874761,29.6666666666667,14.8333333333333,367.310265635765,2440,1220,1688.40965527878,0.572948369937759,0.407529269830824,0.575912346277855,0.965926493108729,0.0195223602314167,1,0.745357963246554,0.968069624168582,0.55752324861525,0.5,0.575912346277855,0.973077641665715,1,0.327857914469086,0.510325983351338,0.585937611914899,0.406946068015912,1.375284495764,0.41228941202624,0.982813411036681,2.34715175779455,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-006-shuffled",1,12967,96,13063,31474,72942,97,96,193,325,20830,10319,23669,16062,2.22704454470356,0.0904873864141831,133.680412371134,0.0452436932070916,0.0452436932070916,2.38459771874761,29.6666666666667,14.8333333333333,367.310265635765,2440,1220,1688.40965527878,0.572948369937759,0.407529269830824,0.575912346277855,0.965926493108729,0.0195223602314167,1,0.745357963246554,0.968069624168582,0.55752324861525,0.5,0.575912346277855,0.973077641665715,1,0.327857914469086,0.510325983351338,0.585937611914899,0.406946068015912,1.375284495764,0.41228941202624,0.982813411036681,2.34715175779455,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,2021,58,2079,3350,7702,59,58,117,57,2234,1059,2522,1822,2.23940298507463,0.0597014925373134,34.2542372881356,0.0298507462686567,0.0298507462686567,1.58441558441558,3.44827586206897,1.72413793103448,145.326599326599,196.137931034483,98.0689655172414,553.457694210787,0.572318878213451,0.414697481173721,0.574246867501999,0.977313974591652,0.0129836406128278,1,0.747277676950998,1.00198615652245,0.575387411663382,0.5,0.574246867501999,1.00536157999804,1,0.316119402985075,0.543880597014925,0.585043468706651,0.418829028795592,0.28002002349967,0.41360672160104,1.00933229678341,0.478631141919603,"X2clsQ",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,2021,58,2079,3350,7702,59,58,117,57,2234,1059,2522,1822,2.23940298507463,0.0597014925373134,34.2542372881356,0.0298507462686567,0.0298507462686567,1.58441558441558,3.44827586206897,1.72413793103448,145.326599326599,196.137931034483,98.0689655172414,553.457694210787,0.572318878213451,0.414697481173721,0.574246867501999,0.977313974591652,0.0129836406128278,1,0.747277676950998,1.00198615652245,0.575387411663382,0.5,0.574246867501999,1.00536157999804,1,0.316119402985075,0.543880597014925,0.585043468706651,0.418829028795592,0.28002002349967,0.41360672160104,1.00933229678341,0.478631141919603,"quantor",0.05,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,2021,58,2079,3350,7702,59,58,117,57,2234,1059,2522,1822,2.23940298507463,0.0597014925373134,34.2542372881356,0.0298507462686567,0.0298507462686567,1.58441558441558,3.44827586206897,1.72413793103448,145.326599326599,196.137931034483,98.0689655172414,553.457694210787,0.572318878213451,0.414697481173721,0.574246867501999,0.977313974591652,0.0129836406128278,1,0.747277676950998,1.00198615652245,0.575387411663382,0.5,0.574246867501999,1.00536157999804,1,0.316119402985075,0.543880597014925,0.585043468706651,0.418829028795592,0.28002002349967,0.41360672160104,1.00933229678341,0.478631141919603,"QuBE",0.08,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,2021,58,2079,3350,7702,59,58,117,57,2234,1059,2522,1822,2.23940298507463,0.0597014925373134,34.2542372881356,0.0298507462686567,0.0298507462686567,1.58441558441558,3.44827586206897,1.72413793103448,145.326599326599,196.137931034483,98.0689655172414,553.457694210787,0.572318878213451,0.414697481173721,0.574246867501999,0.977313974591652,0.0129836406128278,1,0.747277676950998,1.00198615652245,0.575387411663382,0.5,0.574246867501999,1.00536157999804,1,0.316119402985075,0.543880597014925,0.585043468706651,0.418829028795592,0.28002002349967,0.41360672160104,1.00933229678341,0.478631141919603,"sKizzo",0.04,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-002-shuffled",1,2021,58,2079,3350,7702,59,58,117,57,2234,1059,2522,1822,2.23940298507463,0.0597014925373134,34.2542372881356,0.0298507462686567,0.0298507462686567,1.58441558441558,3.44827586206897,1.72413793103448,145.326599326599,196.137931034483,98.0689655172414,553.457694210787,0.572318878213451,0.414697481173721,0.574246867501999,0.977313974591652,0.0129836406128278,1,0.747277676950998,1.00198615652245,0.575387411663382,0.5,0.574246867501999,1.00536157999804,1,0.316119402985075,0.543880597014925,0.585043468706651,0.418829028795592,0.28002002349967,0.41360672160104,1.00933229678341,0.478631141919603,"sSolve",4.72,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13250,145,13395,33056,76846,146,145,291,141,22040,10875,24801,16793,2.22537512100678,0.0993465634075508,90.7534246575343,0.0496732817037754,0.0496732817037754,2.45733482642777,22.648275862069,11.3241379310345,564.695931317656,2742.53793103448,1371.26896551724,2367.504,0.571662806131744,0.406969783723291,0.574862021152225,0.962622353744594,0.0213674101449653,1,0.749282950147963,0.967740911865387,0.556317496546634,0.5,0.574862021152225,0.973156711578025,1,0.328987173281704,0.50801669893514,0.586495645962827,0.407040950813092,1.00412568313417,0.411582223200769,0.984369201530828,1.71207696092226,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13250,145,13395,33056,76846,146,145,291,141,22040,10875,24801,16793,2.22537512100678,0.0993465634075508,90.7534246575343,0.0496732817037754,0.0496732817037754,2.45733482642777,22.648275862069,11.3241379310345,564.695931317656,2742.53793103448,1371.26896551724,2367.504,0.571662806131744,0.406969783723291,0.574862021152225,0.962622353744594,0.0213674101449653,1,0.749282950147963,0.967740911865387,0.556317496546634,0.5,0.574862021152225,0.973156711578025,1,0.328987173281704,0.50801669893514,0.586495645962827,0.407040950813092,1.00412568313417,0.411582223200769,0.984369201530828,1.71207696092226,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13250,145,13395,33056,76846,146,145,291,141,22040,10875,24801,16793,2.22537512100678,0.0993465634075508,90.7534246575343,0.0496732817037754,0.0496732817037754,2.45733482642777,22.648275862069,11.3241379310345,564.695931317656,2742.53793103448,1371.26896551724,2367.504,0.571662806131744,0.406969783723291,0.574862021152225,0.962622353744594,0.0213674101449653,1,0.749282950147963,0.967740911865387,0.556317496546634,0.5,0.574862021152225,0.973156711578025,1,0.328987173281704,0.50801669893514,0.586495645962827,0.407040950813092,1.00412568313417,0.411582223200769,0.984369201530828,1.71207696092226,"QuBE",44.15,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13250,145,13395,33056,76846,146,145,291,141,22040,10875,24801,16793,2.22537512100678,0.0993465634075508,90.7534246575343,0.0496732817037754,0.0496732817037754,2.45733482642777,22.648275862069,11.3241379310345,564.695931317656,2742.53793103448,1371.26896551724,2367.504,0.571662806131744,0.406969783723291,0.574862021152225,0.962622353744594,0.0213674101449653,1,0.749282950147963,0.967740911865387,0.556317496546634,0.5,0.574862021152225,0.973156711578025,1,0.328987173281704,0.50801669893514,0.586495645962827,0.407040950813092,1.00412568313417,0.411582223200769,0.984369201530828,1.71207696092226,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13250,145,13395,33056,76846,146,145,291,141,22040,10875,24801,16793,2.22537512100678,0.0993465634075508,90.7534246575343,0.0496732817037754,0.0496732817037754,2.45733482642777,22.648275862069,11.3241379310345,564.695931317656,2742.53793103448,1371.26896551724,2367.504,0.571662806131744,0.406969783723291,0.574862021152225,0.962622353744594,0.0213674101449653,1,0.749282950147963,0.967740911865387,0.556317496546634,0.5,0.574862021152225,0.973156711578025,1,0.328987173281704,0.50801669893514,0.586495645962827,0.407040950813092,1.00412568313417,0.411582223200769,0.984369201530828,1.71207696092226,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,24216,189,24405,63552,147862,190,189,379,225,42344,20983,47733,32151,2.21475327291037,0.111876888217523,127.452631578947,0.0559384441087613,0.0559384441087613,2.59487809875026,37.6190476190476,18.8095238095238,788.477811923786,6222.6455026455,3111.32275132275,4124.57404195573,0.571708755461173,0.40424855608608,0.575331078776856,0.957945915253034,0.0240426884527465,1,0.749142356921475,0.959344085588926,0.551940467680073,0.5,0.575331078776856,0.965422450518265,1,0.33017056898288,0.505900679758308,0.585623856701507,0.404848513298621,1.63512264105993,0.412151304040898,0.977007291191932,2.79210387751221,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,24216,189,24405,63552,147862,190,189,379,225,42344,20983,47733,32151,2.21475327291037,0.111876888217523,127.452631578947,0.0559384441087613,0.0559384441087613,2.59487809875026,37.6190476190476,18.8095238095238,788.477811923786,6222.6455026455,3111.32275132275,4124.57404195573,0.571708755461173,0.40424855608608,0.575331078776856,0.957945915253034,0.0240426884527465,1,0.749142356921475,0.959344085588926,0.551940467680073,0.5,0.575331078776856,0.965422450518265,1,0.33017056898288,0.505900679758308,0.585623856701507,0.404848513298621,1.63512264105993,0.412151304040898,0.977007291191932,2.79210387751221,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,24216,189,24405,63552,147862,190,189,379,225,42344,20983,47733,32151,2.21475327291037,0.111876888217523,127.452631578947,0.0559384441087613,0.0559384441087613,2.59487809875026,37.6190476190476,18.8095238095238,788.477811923786,6222.6455026455,3111.32275132275,4124.57404195573,0.571708755461173,0.40424855608608,0.575331078776856,0.957945915253034,0.0240426884527465,1,0.749142356921475,0.959344085588926,0.551940467680073,0.5,0.575331078776856,0.965422450518265,1,0.33017056898288,0.505900679758308,0.585623856701507,0.404848513298621,1.63512264105993,0.412151304040898,0.977007291191932,2.79210387751221,"QuBE",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,24216,189,24405,63552,147862,190,189,379,225,42344,20983,47733,32151,2.21475327291037,0.111876888217523,127.452631578947,0.0559384441087613,0.0559384441087613,2.59487809875026,37.6190476190476,18.8095238095238,788.477811923786,6222.6455026455,3111.32275132275,4124.57404195573,0.571708755461173,0.40424855608608,0.575331078776856,0.957945915253034,0.0240426884527465,1,0.749142356921475,0.959344085588926,0.551940467680073,0.5,0.575331078776856,0.965422450518265,1,0.33017056898288,0.505900679758308,0.585623856701507,0.404848513298621,1.63512264105993,0.412151304040898,0.977007291191932,2.79210387751221,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,24216,189,24405,63552,147862,190,189,379,225,42344,20983,47733,32151,2.21475327291037,0.111876888217523,127.452631578947,0.0559384441087613,0.0559384441087613,2.59487809875026,37.6190476190476,18.8095238095238,788.477811923786,6222.6455026455,3111.32275132275,4124.57404195573,0.571708755461173,0.40424855608608,0.575331078776856,0.957945915253034,0.0240426884527465,1,0.749142356921475,0.959344085588926,0.551940467680073,0.5,0.575331078776856,0.965422450518265,1,0.33017056898288,0.505900679758308,0.585623856701507,0.404848513298621,1.63512264105993,0.412151304040898,0.977007291191932,2.79210387751221,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,86,2273,3668,8500,87,86,173,1,2502,1165,2741,1970,2.24209378407852,0.0752453653217012,25.1379310344828,0.0376226826608506,0.0376226826608506,1.61372635283766,3.2093023255814,1.6046511627907,215.976242850858,269.255813953488,134.627906976744,811.685413808871,0.568470588235294,0.415294117647059,0.570768482490272,0.971440397350993,0.0162352941176471,1,0.759105960264901,1.0055758358213,0.573950993840609,0.5,0.570768482490272,1.00964061416498,1,0.317611777535442,0.537077426390403,0.585096348884381,0.421048901972532,0.258628492696196,0.413205016361448,1.01481127206374,0.442027186102477,"X2clsQ",0.13,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,86,2273,3668,8500,87,86,173,1,2502,1165,2741,1970,2.24209378407852,0.0752453653217012,25.1379310344828,0.0376226826608506,0.0376226826608506,1.61372635283766,3.2093023255814,1.6046511627907,215.976242850858,269.255813953488,134.627906976744,811.685413808871,0.568470588235294,0.415294117647059,0.570768482490272,0.971440397350993,0.0162352941176471,1,0.759105960264901,1.0055758358213,0.573950993840609,0.5,0.570768482490272,1.00964061416498,1,0.317611777535442,0.537077426390403,0.585096348884381,0.421048901972532,0.258628492696196,0.413205016361448,1.01481127206374,0.442027186102477,"quantor",5.16,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,86,2273,3668,8500,87,86,173,1,2502,1165,2741,1970,2.24209378407852,0.0752453653217012,25.1379310344828,0.0376226826608506,0.0376226826608506,1.61372635283766,3.2093023255814,1.6046511627907,215.976242850858,269.255813953488,134.627906976744,811.685413808871,0.568470588235294,0.415294117647059,0.570768482490272,0.971440397350993,0.0162352941176471,1,0.759105960264901,1.0055758358213,0.573950993840609,0.5,0.570768482490272,1.00964061416498,1,0.317611777535442,0.537077426390403,0.585096348884381,0.421048901972532,0.258628492696196,0.413205016361448,1.01481127206374,0.442027186102477,"QuBE",0.07,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,86,2273,3668,8500,87,86,173,1,2502,1165,2741,1970,2.24209378407852,0.0752453653217012,25.1379310344828,0.0376226826608506,0.0376226826608506,1.61372635283766,3.2093023255814,1.6046511627907,215.976242850858,269.255813953488,134.627906976744,811.685413808871,0.568470588235294,0.415294117647059,0.570768482490272,0.971440397350993,0.0162352941176471,1,0.759105960264901,1.0055758358213,0.573950993840609,0.5,0.570768482490272,1.00964061416498,1,0.317611777535442,0.537077426390403,0.585096348884381,0.421048901972532,0.258628492696196,0.413205016361448,1.01481127206374,0.442027186102477,"sKizzo",19.01,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,86,2273,3668,8500,87,86,173,1,2502,1165,2741,1970,2.24209378407852,0.0752453653217012,25.1379310344828,0.0376226826608506,0.0376226826608506,1.61372635283766,3.2093023255814,1.6046511627907,215.976242850858,269.255813953488,134.627906976744,811.685413808871,0.568470588235294,0.415294117647059,0.570768482490272,0.971440397350993,0.0162352941176471,1,0.759105960264901,1.0055758358213,0.573950993840609,0.5,0.570768482490272,1.00964061416498,1,0.317611777535442,0.537077426390403,0.585096348884381,0.421048901972532,0.258628492696196,0.413205016361448,1.01481127206374,0.442027186102477,"sSolve",68.17,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,49221,344,49565,136268,317728,345,344,689,1,91074,45193,102159,68214,2.21751254880089,0.114128041799982,142.669565217391,0.0570640208999912,0.0570640208999912,2.74927872490669,45.2093023255814,22.6046511627907,1520.35145768183,13781.2558139535,6890.62790697674,8869.01021921538,0.571117433779837,0.404408802497734,0.574777613046701,0.957147580734046,0.0244737637224292,1,0.750953378154965,0.957699270681124,0.550464100818663,0.5,0.574777613046701,0.963836976881473,1,0.331647929080929,0.500587078404321,0.585612687856206,0.404157512987294,1.87811098738241,0.412095680571266,0.975313435385903,3.20708725464563,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,49221,344,49565,136268,317728,345,344,689,1,91074,45193,102159,68214,2.21751254880089,0.114128041799982,142.669565217391,0.0570640208999912,0.0570640208999912,2.74927872490669,45.2093023255814,22.6046511627907,1520.35145768183,13781.2558139535,6890.62790697674,8869.01021921538,0.571117433779837,0.404408802497734,0.574777613046701,0.957147580734046,0.0244737637224292,1,0.750953378154965,0.957699270681124,0.550464100818663,0.5,0.574777613046701,0.963836976881473,1,0.331647929080929,0.500587078404321,0.585612687856206,0.404157512987294,1.87811098738241,0.412095680571266,0.975313435385903,3.20708725464563,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,49221,344,49565,136268,317728,345,344,689,1,91074,45193,102159,68214,2.21751254880089,0.114128041799982,142.669565217391,0.0570640208999912,0.0570640208999912,2.74927872490669,45.2093023255814,22.6046511627907,1520.35145768183,13781.2558139535,6890.62790697674,8869.01021921538,0.571117433779837,0.404408802497734,0.574777613046701,0.957147580734046,0.0244737637224292,1,0.750953378154965,0.957699270681124,0.550464100818663,0.5,0.574777613046701,0.963836976881473,1,0.331647929080929,0.500587078404321,0.585612687856206,0.404157512987294,1.87811098738241,0.412095680571266,0.975313435385903,3.20708725464563,"QuBE",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,49221,344,49565,136268,317728,345,344,689,1,91074,45193,102159,68214,2.21751254880089,0.114128041799982,142.669565217391,0.0570640208999912,0.0570640208999912,2.74927872490669,45.2093023255814,22.6046511627907,1520.35145768183,13781.2558139535,6890.62790697674,8869.01021921538,0.571117433779837,0.404408802497734,0.574777613046701,0.957147580734046,0.0244737637224292,1,0.750953378154965,0.957699270681124,0.550464100818663,0.5,0.574777613046701,0.963836976881473,1,0.331647929080929,0.500587078404321,0.585612687856206,0.404157512987294,1.87811098738241,0.412095680571266,0.975313435385903,3.20708725464563,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,49221,344,49565,136268,317728,345,344,689,1,91074,45193,102159,68214,2.21751254880089,0.114128041799982,142.669565217391,0.0570640208999912,0.0570640208999912,2.74927872490669,45.2093023255814,22.6046511627907,1520.35145768183,13781.2558139535,6890.62790697674,8869.01021921538,0.571117433779837,0.404408802497734,0.574777613046701,0.957147580734046,0.0244737637224292,1,0.750953378154965,0.957699270681124,0.550464100818663,0.5,0.574777613046701,0.963836976881473,1,0.331647929080929,0.500587078404321,0.585612687856206,0.404157512987294,1.87811098738241,0.412095680571266,0.975313435385903,3.20708725464563,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-003-shuffled",1,2608,129,2737,5734,13378,4,3,7,1,3822,1911,4307,2953,2.18590861527729,0.147192186955005,652,0.0735960934775026,0.0735960934775026,2.09499451954695,6.54263565891473,3.27131782945736,11.8143953233467,20.8372093023256,10.4186046511628,42.7212423312883,0.571385857377784,0.397069816116011,0.576192755704484,0.944793301936159,0.0315443265062042,1,0.750130821559393,0.983253971129233,0.566543815182329,0.5,0.576192755704484,0.991525792714443,1,0.333275200558075,0.514998256016742,0.585659004125983,0.416762297098794,0.365389417624261,0.411285399580646,1.00584374041885,0.623894476222653,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-003-shuffled",1,2608,129,2737,5734,13378,4,3,7,1,3822,1911,4307,2953,2.18590861527729,0.147192186955005,652,0.0735960934775026,0.0735960934775026,2.09499451954695,6.54263565891473,3.27131782945736,11.8143953233467,20.8372093023256,10.4186046511628,42.7212423312883,0.571385857377784,0.397069816116011,0.576192755704484,0.944793301936159,0.0315443265062042,1,0.750130821559393,0.983253971129233,0.566543815182329,0.5,0.576192755704484,0.991525792714443,1,0.333275200558075,0.514998256016742,0.585659004125983,0.416762297098794,0.365389417624261,0.411285399580646,1.00584374041885,0.623894476222653,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-003-shuffled",1,2608,129,2737,5734,13378,4,3,7,1,3822,1911,4307,2953,2.18590861527729,0.147192186955005,652,0.0735960934775026,0.0735960934775026,2.09499451954695,6.54263565891473,3.27131782945736,11.8143953233467,20.8372093023256,10.4186046511628,42.7212423312883,0.571385857377784,0.397069816116011,0.576192755704484,0.944793301936159,0.0315443265062042,1,0.750130821559393,0.983253971129233,0.566543815182329,0.5,0.576192755704484,0.991525792714443,1,0.333275200558075,0.514998256016742,0.585659004125983,0.416762297098794,0.365389417624261,0.411285399580646,1.00584374041885,0.623894476222653,"QuBE",0.17,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-003-shuffled",1,2608,129,2737,5734,13378,4,3,7,1,3822,1911,4307,2953,2.18590861527729,0.147192186955005,652,0.0735960934775026,0.0735960934775026,2.09499451954695,6.54263565891473,3.27131782945736,11.8143953233467,20.8372093023256,10.4186046511628,42.7212423312883,0.571385857377784,0.397069816116011,0.576192755704484,0.944793301936159,0.0315443265062042,1,0.750130821559393,0.983253971129233,0.566543815182329,0.5,0.576192755704484,0.991525792714443,1,0.333275200558075,0.514998256016742,0.585659004125983,0.416762297098794,0.365389417624261,0.411285399580646,1.00584374041885,0.623894476222653,"sKizzo",152.83,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF02-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-003-shuffled",1,2608,129,2737,5734,13378,4,3,7,1,3822,1911,4307,2953,2.18590861527729,0.147192186955005,652,0.0735960934775026,0.0735960934775026,2.09499451954695,6.54263565891473,3.27131782945736,11.8143953233467,20.8372093023256,10.4186046511628,42.7212423312883,0.571385857377784,0.397069816116011,0.576192755704484,0.944793301936159,0.0315443265062042,1,0.750130821559393,0.983253971129233,0.566543815182329,0.5,0.576192755704484,0.991525792714443,1,0.333275200558075,0.514998256016742,0.585659004125983,0.416762297098794,0.365389417624261,0.411285399580646,1.00584374041885,0.623894476222653,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-004-shuffled",1,5301,88,5389,11020,25312,89,88,177,257,7234,3529,8324,5780,2.18221415607985,0.11470054446461,59.561797752809,0.0573502722323049,0.0573502722323049,1.9974021154203,14.3636363636364,7.18181818181818,278.531081833364,1020.72727272727,510.363636363636,1038.97868326731,0.574747155499368,0.400284450063211,0.578675981370592,0.956557602419577,0.024968394437421,1,0.7398955182843,0.965834869789375,0.558905441117305,0.5,0.578675981370592,0.972437072144708,1,0.320235934664247,0.524500907441016,0.586126306966074,0.408155043984797,0.758357313595656,0.411686405254777,0.986182622511694,1.29384623174669,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-004-shuffled",1,5301,88,5389,11020,25312,89,88,177,257,7234,3529,8324,5780,2.18221415607985,0.11470054446461,59.561797752809,0.0573502722323049,0.0573502722323049,1.9974021154203,14.3636363636364,7.18181818181818,278.531081833364,1020.72727272727,510.363636363636,1038.97868326731,0.574747155499368,0.400284450063211,0.578675981370592,0.956557602419577,0.024968394437421,1,0.7398955182843,0.965834869789375,0.558905441117305,0.5,0.578675981370592,0.972437072144708,1,0.320235934664247,0.524500907441016,0.586126306966074,0.408155043984797,0.758357313595656,0.411686405254777,0.986182622511694,1.29384623174669,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-004-shuffled",1,5301,88,5389,11020,25312,89,88,177,257,7234,3529,8324,5780,2.18221415607985,0.11470054446461,59.561797752809,0.0573502722323049,0.0573502722323049,1.9974021154203,14.3636363636364,7.18181818181818,278.531081833364,1020.72727272727,510.363636363636,1038.97868326731,0.574747155499368,0.400284450063211,0.578675981370592,0.956557602419577,0.024968394437421,1,0.7398955182843,0.965834869789375,0.558905441117305,0.5,0.578675981370592,0.972437072144708,1,0.320235934664247,0.524500907441016,0.586126306966074,0.408155043984797,0.758357313595656,0.411686405254777,0.986182622511694,1.29384623174669,"QuBE",0.48,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-004-shuffled",1,5301,88,5389,11020,25312,89,88,177,257,7234,3529,8324,5780,2.18221415607985,0.11470054446461,59.561797752809,0.0573502722323049,0.0573502722323049,1.9974021154203,14.3636363636364,7.18181818181818,278.531081833364,1020.72727272727,510.363636363636,1038.97868326731,0.574747155499368,0.400284450063211,0.578675981370592,0.956557602419577,0.024968394437421,1,0.7398955182843,0.965834869789375,0.558905441117305,0.5,0.578675981370592,0.972437072144708,1,0.320235934664247,0.524500907441016,0.586126306966074,0.408155043984797,0.758357313595656,0.411686405254777,0.986182622511694,1.29384623174669,"sKizzo",27.74,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-004-shuffled",1,5301,88,5389,11020,25312,89,88,177,257,7234,3529,8324,5780,2.18221415607985,0.11470054446461,59.561797752809,0.0573502722323049,0.0573502722323049,1.9974021154203,14.3636363636364,7.18181818181818,278.531081833364,1020.72727272727,510.363636363636,1038.97868326731,0.574747155499368,0.400284450063211,0.578675981370592,0.956557602419577,0.024968394437421,1,0.7398955182843,0.965834869789375,0.558905441117305,0.5,0.578675981370592,0.972437072144708,1,0.320235934664247,0.524500907441016,0.586126306966074,0.408155043984797,0.758357313595656,0.411686405254777,0.986182622511694,1.29384623174669,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,29144,153,29297,75952,176230,154,153,307,667,50292,24993,57089,38358,2.21408257847061,0.106198651780072,189.246753246753,0.0530993258900358,0.0530993258900358,2.56975116906168,52.718954248366,26.359477124183,636.223811311738,7261.20261437909,3630.60130718954,3974.72004529234,0.572796913124894,0.404318220507292,0.57628862301087,0.960047154858139,0.0228848663678148,1,0.74581946425741,0.95923977971604,0.552798971789807,0.5,0.57628862301087,0.965087204772128,1,0.329063092479461,0.505029492310933,0.58534872268949,0.404406042620433,2.36620107997454,0.412488483420962,0.975291925406503,4.04237848013506,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,29144,153,29297,75952,176230,154,153,307,667,50292,24993,57089,38358,2.21408257847061,0.106198651780072,189.246753246753,0.0530993258900358,0.0530993258900358,2.56975116906168,52.718954248366,26.359477124183,636.223811311738,7261.20261437909,3630.60130718954,3974.72004529234,0.572796913124894,0.404318220507292,0.57628862301087,0.960047154858139,0.0228848663678148,1,0.74581946425741,0.95923977971604,0.552798971789807,0.5,0.57628862301087,0.965087204772128,1,0.329063092479461,0.505029492310933,0.58534872268949,0.404406042620433,2.36620107997454,0.412488483420962,0.975291925406503,4.04237848013506,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,29144,153,29297,75952,176230,154,153,307,667,50292,24993,57089,38358,2.21408257847061,0.106198651780072,189.246753246753,0.0530993258900358,0.0530993258900358,2.56975116906168,52.718954248366,26.359477124183,636.223811311738,7261.20261437909,3630.60130718954,3974.72004529234,0.572796913124894,0.404318220507292,0.57628862301087,0.960047154858139,0.0228848663678148,1,0.74581946425741,0.95923977971604,0.552798971789807,0.5,0.57628862301087,0.965087204772128,1,0.329063092479461,0.505029492310933,0.58534872268949,0.404406042620433,2.36620107997454,0.412488483420962,0.975291925406503,4.04237848013506,"QuBE",3494.48,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,29144,153,29297,75952,176230,154,153,307,667,50292,24993,57089,38358,2.21408257847061,0.106198651780072,189.246753246753,0.0530993258900358,0.0530993258900358,2.56975116906168,52.718954248366,26.359477124183,636.223811311738,7261.20261437909,3630.60130718954,3974.72004529234,0.572796913124894,0.404318220507292,0.57628862301087,0.960047154858139,0.0228848663678148,1,0.74581946425741,0.95923977971604,0.552798971789807,0.5,0.57628862301087,0.965087204772128,1,0.329063092479461,0.505029492310933,0.58534872268949,0.404406042620433,2.36620107997454,0.412488483420962,0.975291925406503,4.04237848013506,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,29144,153,29297,75952,176230,154,153,307,667,50292,24993,57089,38358,2.21408257847061,0.106198651780072,189.246753246753,0.0530993258900358,0.0530993258900358,2.56975116906168,52.718954248366,26.359477124183,636.223811311738,7261.20261437909,3630.60130718954,3974.72004529234,0.572796913124894,0.404318220507292,0.57628862301087,0.960047154858139,0.0228848663678148,1,0.74581946425741,0.95923977971604,0.552798971789807,0.5,0.57628862301087,0.965087204772128,1,0.329063092479461,0.505029492310933,0.58534872268949,0.404406042620433,2.36620107997454,0.412488483420962,0.975291925406503,4.04237848013506,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4434,117,4551,9256,21398,118,117,235,91,6188,2977,6939,4786,2.21132238547969,0.1004753673293,37.5762711864407,0.05023768366465,0.05023768366465,2.01406284333114,7.94871794871795,3.97435897435897,361.990990990991,734.153846153846,367.076923076923,1247.4548940009,0.571642209552295,0.406626787550238,0.574897400820793,0.961984957488555,0.0217310028974671,1,0.749345977763244,0.981778171318731,0.56442171887373,0.5,0.574897400820794,0.987368863673977,1,0.321629213483146,0.517070008643042,0.587556192686964,0.412290900176799,0.418238595706843,0.410519558407081,0.999629265530174,0.71182739780886,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4434,117,4551,9256,21398,118,117,235,91,6188,2977,6939,4786,2.21132238547969,0.1004753673293,37.5762711864407,0.05023768366465,0.05023768366465,2.01406284333114,7.94871794871795,3.97435897435897,361.990990990991,734.153846153846,367.076923076923,1247.4548940009,0.571642209552295,0.406626787550238,0.574897400820793,0.961984957488555,0.0217310028974671,1,0.749345977763244,0.981778171318731,0.56442171887373,0.5,0.574897400820794,0.987368863673977,1,0.321629213483146,0.517070008643042,0.587556192686964,0.412290900176799,0.418238595706843,0.410519558407081,0.999629265530174,0.71182739780886,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4434,117,4551,9256,21398,118,117,235,91,6188,2977,6939,4786,2.21132238547969,0.1004753673293,37.5762711864407,0.05023768366465,0.05023768366465,2.01406284333114,7.94871794871795,3.97435897435897,361.990990990991,734.153846153846,367.076923076923,1247.4548940009,0.571642209552295,0.406626787550238,0.574897400820793,0.961984957488555,0.0217310028974671,1,0.749345977763244,0.981778171318731,0.56442171887373,0.5,0.574897400820794,0.987368863673977,1,0.321629213483146,0.517070008643042,0.587556192686964,0.412290900176799,0.418238595706843,0.410519558407081,0.999629265530174,0.71182739780886,"QuBE",0.35,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4434,117,4551,9256,21398,118,117,235,91,6188,2977,6939,4786,2.21132238547969,0.1004753673293,37.5762711864407,0.05023768366465,0.05023768366465,2.01406284333114,7.94871794871795,3.97435897435897,361.990990990991,734.153846153846,367.076923076923,1247.4548940009,0.571642209552295,0.406626787550238,0.574897400820793,0.961984957488555,0.0217310028974671,1,0.749345977763244,0.981778171318731,0.56442171887373,0.5,0.574897400820794,0.987368863673977,1,0.321629213483146,0.517070008643042,0.587556192686964,0.412290900176799,0.418238595706843,0.410519558407081,0.999629265530174,0.71182739780886,"sKizzo",65.81,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,4434,117,4551,9256,21398,118,117,235,91,6188,2977,6939,4786,2.21132238547969,0.1004753673293,37.5762711864407,0.05023768366465,0.05023768366465,2.01406284333114,7.94871794871795,3.97435897435897,361.990990990991,734.153846153846,367.076923076923,1247.4548940009,0.571642209552295,0.406626787550238,0.574897400820793,0.961984957488555,0.0217310028974671,1,0.749345977763244,0.981778171318731,0.56442171887373,0.5,0.574897400820794,0.987368863673977,1,0.321629213483146,0.517070008643042,0.587556192686964,0.412290900176799,0.418238595706843,0.410519558407081,0.999629265530174,0.71182739780886,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13728,195,13923,34480,80122,196,195,391,151,23016,11313,25851,17428,2.20034802784223,0.123375870069606,70.0408163265306,0.0616879350348028,0.0616879350348028,2.46570423041011,21.8153846153846,10.9076923076923,757.517704517704,3531.22051282051,1765.61025641026,3100.33326048951,0.57152841916078,0.401924565038317,0.57553909421627,0.953550838574423,0.0265470158009036,1,0.74969426974144,0.960356337267485,0.552722616475784,0.5,0.57553909421627,0.967095594804174,1,0.328103248259861,0.505452436194896,0.586670562111974,0.405516195512485,0.963381701126074,0.410925725677281,0.98109681610034,1.64211699604965,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13728,195,13923,34480,80122,196,195,391,151,23016,11313,25851,17428,2.20034802784223,0.123375870069606,70.0408163265306,0.0616879350348028,0.0616879350348028,2.46570423041011,21.8153846153846,10.9076923076923,757.517704517704,3531.22051282051,1765.61025641026,3100.33326048951,0.57152841916078,0.401924565038317,0.57553909421627,0.953550838574423,0.0265470158009036,1,0.74969426974144,0.960356337267485,0.552722616475784,0.5,0.57553909421627,0.967095594804174,1,0.328103248259861,0.505452436194896,0.586670562111974,0.405516195512485,0.963381701126074,0.410925725677281,0.98109681610034,1.64211699604965,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13728,195,13923,34480,80122,196,195,391,151,23016,11313,25851,17428,2.20034802784223,0.123375870069606,70.0408163265306,0.0616879350348028,0.0616879350348028,2.46570423041011,21.8153846153846,10.9076923076923,757.517704517704,3531.22051282051,1765.61025641026,3100.33326048951,0.57152841916078,0.401924565038317,0.57553909421627,0.953550838574423,0.0265470158009036,1,0.74969426974144,0.960356337267485,0.552722616475784,0.5,0.57553909421627,0.967095594804174,1,0.328103248259861,0.505452436194896,0.586670562111974,0.405516195512485,0.963381701126074,0.410925725677281,0.98109681610034,1.64211699604965,"QuBE",174.86,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13728,195,13923,34480,80122,196,195,391,151,23016,11313,25851,17428,2.20034802784223,0.123375870069606,70.0408163265306,0.0616879350348028,0.0616879350348028,2.46570423041011,21.8153846153846,10.9076923076923,757.517704517704,3531.22051282051,1765.61025641026,3100.33326048951,0.57152841916078,0.401924565038317,0.57553909421627,0.953550838574423,0.0265470158009036,1,0.74969426974144,0.960356337267485,0.552722616475784,0.5,0.57553909421627,0.967095594804174,1,0.328103248259861,0.505452436194896,0.586670562111974,0.405516195512485,0.963381701126074,0.410925725677281,0.98109681610034,1.64211699604965,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-005-shuffled",1,13728,195,13923,34480,80122,196,195,391,151,23016,11313,25851,17428,2.20034802784223,0.123375870069606,70.0408163265306,0.0616879350348028,0.0616879350348028,2.46570423041011,21.8153846153846,10.9076923076923,757.517704517704,3531.22051282051,1765.61025641026,3100.33326048951,0.57152841916078,0.401924565038317,0.57553909421627,0.953550838574423,0.0265470158009036,1,0.74969426974144,0.960356337267485,0.552722616475784,0.5,0.57553909421627,0.967095594804174,1,0.328103248259861,0.505452436194896,0.586670562111974,0.405516195512485,0.963381701126074,0.410925725677281,0.98109681610034,1.64211699604965,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-003-shuffled",1,4230,111,4341,8716,20126,112,111,223,103,5816,2797,6553,4586,2.20032124827903,0.10876548875631,37.7678571428571,0.0543827443781551,0.0543827443781551,1.98433540658834,8.54054054054054,4.27027027027027,344.604469016356,778.162162162162,389.081081081081,1233.04917257683,0.571996422538011,0.404451952698003,0.575555323808531,0.958825573314802,0.0235516247639869,1,0.748262682418346,0.977901842505689,0.562836611616321,0.5,0.575555323808531,0.983986244387602,1,0.320904084442405,0.526158788435062,0.586241994807862,0.412356677147369,0.467159965817124,0.411647195169293,0.996613169951556,0.796872230161938,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-003-shuffled",1,4230,111,4341,8716,20126,112,111,223,103,5816,2797,6553,4586,2.20032124827903,0.10876548875631,37.7678571428571,0.0543827443781551,0.0543827443781551,1.98433540658834,8.54054054054054,4.27027027027027,344.604469016356,778.162162162162,389.081081081081,1233.04917257683,0.571996422538011,0.404451952698003,0.575555323808531,0.958825573314802,0.0235516247639869,1,0.748262682418346,0.977901842505689,0.562836611616321,0.5,0.575555323808531,0.983986244387602,1,0.320904084442405,0.526158788435062,0.586241994807862,0.412356677147369,0.467159965817124,0.411647195169293,0.996613169951556,0.796872230161938,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-003-shuffled",1,4230,111,4341,8716,20126,112,111,223,103,5816,2797,6553,4586,2.20032124827903,0.10876548875631,37.7678571428571,0.0543827443781551,0.0543827443781551,1.98433540658834,8.54054054054054,4.27027027027027,344.604469016356,778.162162162162,389.081081081081,1233.04917257683,0.571996422538011,0.404451952698003,0.575555323808531,0.958825573314802,0.0235516247639869,1,0.748262682418346,0.977901842505689,0.562836611616321,0.5,0.575555323808531,0.983986244387602,1,0.320904084442405,0.526158788435062,0.586241994807862,0.412356677147369,0.467159965817124,0.411647195169293,0.996613169951556,0.796872230161938,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-003-shuffled",1,4230,111,4341,8716,20126,112,111,223,103,5816,2797,6553,4586,2.20032124827903,0.10876548875631,37.7678571428571,0.0543827443781551,0.0543827443781551,1.98433540658834,8.54054054054054,4.27027027027027,344.604469016356,778.162162162162,389.081081081081,1233.04917257683,0.571996422538011,0.404451952698003,0.575555323808531,0.958825573314802,0.0235516247639869,1,0.748262682418346,0.977901842505689,0.562836611616321,0.5,0.575555323808531,0.983986244387602,1,0.320904084442405,0.526158788435062,0.586241994807862,0.412356677147369,0.467159965817124,0.411647195169293,0.996613169951556,0.796872230161938,"sKizzo",204.63,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-003-shuffled",1,4230,111,4341,8716,20126,112,111,223,103,5816,2797,6553,4586,2.20032124827903,0.10876548875631,37.7678571428571,0.0543827443781551,0.0543827443781551,1.98433540658834,8.54054054054054,4.27027027027027,344.604469016356,778.162162162162,389.081081081081,1233.04917257683,0.571996422538011,0.404451952698003,0.575555323808531,0.958825573314802,0.0235516247639869,1,0.748262682418346,0.977901842505689,0.562836611616321,0.5,0.575555323808531,0.983986244387602,1,0.320904084442405,0.526158788435062,0.586241994807862,0.412356677147369,0.467159965817124,0.411647195169293,0.996613169951556,0.796872230161938,"sSolve",202.38,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12880,185,13065,32056,74446,186,185,371,171,21380,10505,24069,16354,2.19272523084602,0.129648115797355,69.247311827957,0.0648240578986773,0.0648240578986773,2.44056639877535,22.4648648648649,11.2324324324324,716.804898584003,3486.4,1743.2,2990.36847826087,0.571689546785589,0.400397603632163,0.575928297055058,0.951174812030075,0.0279128495822475,1,0.749201127819549,0.957735810591294,0.551587154422489,0.5,0.575928297055058,0.964836872606594,1,0.327707761417519,0.510169703019716,0.586116325321848,0.405371358083502,1.00652495975431,0.411356928275235,0.979433069928962,1.71727849280022,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12880,185,13065,32056,74446,186,185,371,171,21380,10505,24069,16354,2.19272523084602,0.129648115797355,69.247311827957,0.0648240578986773,0.0648240578986773,2.44056639877535,22.4648648648649,11.2324324324324,716.804898584003,3486.4,1743.2,2990.36847826087,0.571689546785589,0.400397603632163,0.575928297055058,0.951174812030075,0.0279128495822475,1,0.749201127819549,0.957735810591294,0.551587154422489,0.5,0.575928297055058,0.964836872606594,1,0.327707761417519,0.510169703019716,0.586116325321848,0.405371358083502,1.00652495975431,0.411356928275235,0.979433069928962,1.71727849280022,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12880,185,13065,32056,74446,186,185,371,171,21380,10505,24069,16354,2.19272523084602,0.129648115797355,69.247311827957,0.0648240578986773,0.0648240578986773,2.44056639877535,22.4648648648649,11.2324324324324,716.804898584003,3486.4,1743.2,2990.36847826087,0.571689546785589,0.400397603632163,0.575928297055058,0.951174812030075,0.0279128495822475,1,0.749201127819549,0.957735810591294,0.551587154422489,0.5,0.575928297055058,0.964836872606594,1,0.327707761417519,0.510169703019716,0.586116325321848,0.405371358083502,1.00652495975431,0.411356928275235,0.979433069928962,1.71727849280022,"QuBE",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12880,185,13065,32056,74446,186,185,371,171,21380,10505,24069,16354,2.19272523084602,0.129648115797355,69.247311827957,0.0648240578986773,0.0648240578986773,2.44056639877535,22.4648648648649,11.2324324324324,716.804898584003,3486.4,1743.2,2990.36847826087,0.571689546785589,0.400397603632163,0.575928297055058,0.951174812030075,0.0279128495822475,1,0.749201127819549,0.957735810591294,0.551587154422489,0.5,0.575928297055058,0.964836872606594,1,0.327707761417519,0.510169703019716,0.586116325321848,0.405371358083502,1.00652495975431,0.411356928275235,0.979433069928962,1.71727849280022,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12880,185,13065,32056,74446,186,185,371,171,21380,10505,24069,16354,2.19272523084602,0.129648115797355,69.247311827957,0.0648240578986773,0.0648240578986773,2.44056639877535,22.4648648648649,11.2324324324324,716.804898584003,3486.4,1743.2,2990.36847826087,0.571689546785589,0.400397603632163,0.575928297055058,0.951174812030075,0.0279128495822475,1,0.749201127819549,0.957735810591294,0.551587154422489,0.5,0.575928297055058,0.964836872606594,1,0.327707761417519,0.510169703019716,0.586116325321848,0.405371358083502,1.00652495975431,0.411356928275235,0.979433069928962,1.71727849280022,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35695,296,35991,96586,224806,297,296,593,273,64406,31907,72483,48646,2.18870229639906,0.138819290580415,120.185185185185,0.0694096452902077,0.0694096452902077,2.67605790336473,45.2972972972973,22.6486486486486,1277.69936928677,11917.2837837838,5958.64189189189,7287.61081383947,0.571568374509577,0.398610357374803,0.576107626373003,0.947825545559257,0.0298212681156197,1,0.749571957787255,0.948155357290978,0.546239532321752,0.5,0.576107626373003,0.955685367984962,1,0.330348083573189,0.503654773983807,0.585310705239516,0.402090999660515,1.9339330231166,0.411886364163456,0.969619917226834,3.30411353458025,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35695,296,35991,96586,224806,297,296,593,273,64406,31907,72483,48646,2.18870229639906,0.138819290580415,120.185185185185,0.0694096452902077,0.0694096452902077,2.67605790336473,45.2972972972973,22.6486486486486,1277.69936928677,11917.2837837838,5958.64189189189,7287.61081383947,0.571568374509577,0.398610357374803,0.576107626373003,0.947825545559257,0.0298212681156197,1,0.749571957787255,0.948155357290978,0.546239532321752,0.5,0.576107626373003,0.955685367984962,1,0.330348083573189,0.503654773983807,0.585310705239516,0.402090999660515,1.9339330231166,0.411886364163456,0.969619917226834,3.30411353458025,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35695,296,35991,96586,224806,297,296,593,273,64406,31907,72483,48646,2.18870229639906,0.138819290580415,120.185185185185,0.0694096452902077,0.0694096452902077,2.67605790336473,45.2972972972973,22.6486486486486,1277.69936928677,11917.2837837838,5958.64189189189,7287.61081383947,0.571568374509577,0.398610357374803,0.576107626373003,0.947825545559257,0.0298212681156197,1,0.749571957787255,0.948155357290978,0.546239532321752,0.5,0.576107626373003,0.955685367984962,1,0.330348083573189,0.503654773983807,0.585310705239516,0.402090999660515,1.9339330231166,0.411886364163456,0.969619917226834,3.30411353458025,"QuBE",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35695,296,35991,96586,224806,297,296,593,273,64406,31907,72483,48646,2.18870229639906,0.138819290580415,120.185185185185,0.0694096452902077,0.0694096452902077,2.67605790336473,45.2972972972973,22.6486486486486,1277.69936928677,11917.2837837838,5958.64189189189,7287.61081383947,0.571568374509577,0.398610357374803,0.576107626373003,0.947825545559257,0.0298212681156197,1,0.749571957787255,0.948155357290978,0.546239532321752,0.5,0.576107626373003,0.955685367984962,1,0.330348083573189,0.503654773983807,0.585310705239516,0.402090999660515,1.9339330231166,0.411886364163456,0.969619917226834,3.30411353458025,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,35695,296,35991,96586,224806,297,296,593,273,64406,31907,72483,48646,2.18870229639906,0.138819290580415,120.185185185185,0.0694096452902077,0.0694096452902077,2.67605790336473,45.2972972972973,22.6486486486486,1277.69936928677,11917.2837837838,5958.64189189189,7287.61081383947,0.571568374509577,0.398610357374803,0.576107626373003,0.947825545559257,0.0298212681156197,1,0.749571957787255,0.948155357290978,0.546239532321752,0.5,0.576107626373003,0.955685367984962,1,0.330348083573189,0.503654773983807,0.585310705239516,0.402090999660515,1.9339330231166,0.411886364163456,0.969619917226834,3.30411353458025,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,57465,370,57835,159286,370966,371,370,741,341,106210,52735,119519,79934,2.18744899112289,0.141481360571551,154.89218328841,0.0707406802857753,0.0707406802857753,2.74826662055849,60.9081081081081,30.4540540540541,1650.19877236967,20483.5783783784,10241.7891891892,11289.1000783085,0.57153485764194,0.39809039103314,0.576161639353672,0.94685407037072,0.0303747513249193,1,0.749674559003867,0.945298051235475,0.544644474877663,0.5,0.576161639353672,0.952950581395468,1,0.331071155029318,0.501826902552641,0.584965059600471,0.401121956636394,2.57587172944972,0.412139194893157,0.966477560299521,4.40346254391507,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,57465,370,57835,159286,370966,371,370,741,341,106210,52735,119519,79934,2.18744899112289,0.141481360571551,154.89218328841,0.0707406802857753,0.0707406802857753,2.74826662055849,60.9081081081081,30.4540540540541,1650.19877236967,20483.5783783784,10241.7891891892,11289.1000783085,0.57153485764194,0.39809039103314,0.576161639353672,0.94685407037072,0.0303747513249193,1,0.749674559003867,0.945298051235475,0.544644474877663,0.5,0.576161639353672,0.952950581395468,1,0.331071155029318,0.501826902552641,0.584965059600471,0.401121956636394,2.57587172944972,0.412139194893157,0.966477560299521,4.40346254391507,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,57465,370,57835,159286,370966,371,370,741,341,106210,52735,119519,79934,2.18744899112289,0.141481360571551,154.89218328841,0.0707406802857753,0.0707406802857753,2.74826662055849,60.9081081081081,30.4540540540541,1650.19877236967,20483.5783783784,10241.7891891892,11289.1000783085,0.57153485764194,0.39809039103314,0.576161639353672,0.94685407037072,0.0303747513249193,1,0.749674559003867,0.945298051235475,0.544644474877663,0.5,0.576161639353672,0.952950581395468,1,0.331071155029318,0.501826902552641,0.584965059600471,0.401121956636394,2.57587172944972,0.412139194893157,0.966477560299521,4.40346254391507,"QuBE",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,57465,370,57835,159286,370966,371,370,741,341,106210,52735,119519,79934,2.18744899112289,0.141481360571551,154.89218328841,0.0707406802857753,0.0707406802857753,2.74826662055849,60.9081081081081,30.4540540540541,1650.19877236967,20483.5783783784,10241.7891891892,11289.1000783085,0.57153485764194,0.39809039103314,0.576161639353672,0.94685407037072,0.0303747513249193,1,0.749674559003867,0.945298051235475,0.544644474877663,0.5,0.576161639353672,0.952950581395468,1,0.331071155029318,0.501826902552641,0.584965059600471,0.401121956636394,2.57587172944972,0.412139194893157,0.966477560299521,4.40346254391507,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,57465,370,57835,159286,370966,371,370,741,341,106210,52735,119519,79934,2.18744899112289,0.141481360571551,154.89218328841,0.0707406802857753,0.0707406802857753,2.74826662055849,60.9081081081081,30.4540540540541,1650.19877236967,20483.5783783784,10241.7891891892,11289.1000783085,0.57153485764194,0.39809039103314,0.576161639353672,0.94685407037072,0.0303747513249193,1,0.749674559003867,0.945298051235475,0.544644474877663,0.5,0.576161639353672,0.952950581395468,1,0.331071155029318,0.501826902552641,0.584965059600471,0.401121956636394,2.57587172944972,0.412139194893157,0.966477560299521,4.40346254391507,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,37781,256,38037,102340,238152,257,256,513,353,68162,33825,76800,51486,2.21276138362322,0.114305256986516,147.007782101167,0.0571526284932578,0.0571526284932578,2.68128401293477,45.6953125,22.84765625,1105.70147487972,10404.328125,5202.1640625,6399.36584526614,0.571752494205382,0.403687560885485,0.575459033622722,0.957044446402867,0.0245599449091337,1,0.749008548515026,0.957323171726068,0.550900267266123,0.5,0.575459033622723,0.963529276827661,1,0.330515927301153,0.503087746726598,0.585505780892856,0.404088883352687,1.95013480558823,0.41218919050493,0.974896306691873,3.33068411829241,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,37781,256,38037,102340,238152,257,256,513,353,68162,33825,76800,51486,2.21276138362322,0.114305256986516,147.007782101167,0.0571526284932578,0.0571526284932578,2.68128401293477,45.6953125,22.84765625,1105.70147487972,10404.328125,5202.1640625,6399.36584526614,0.571752494205382,0.403687560885485,0.575459033622722,0.957044446402867,0.0245599449091337,1,0.749008548515026,0.957323171726068,0.550900267266123,0.5,0.575459033622723,0.963529276827661,1,0.330515927301153,0.503087746726598,0.585505780892856,0.404088883352687,1.95013480558823,0.41218919050493,0.974896306691873,3.33068411829241,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,37781,256,38037,102340,238152,257,256,513,353,68162,33825,76800,51486,2.21276138362322,0.114305256986516,147.007782101167,0.0571526284932578,0.0571526284932578,2.68128401293477,45.6953125,22.84765625,1105.70147487972,10404.328125,5202.1640625,6399.36584526614,0.571752494205382,0.403687560885485,0.575459033622722,0.957044446402867,0.0245599449091337,1,0.749008548515026,0.957323171726068,0.550900267266123,0.5,0.575459033622723,0.963529276827661,1,0.330515927301153,0.503087746726598,0.585505780892856,0.404088883352687,1.95013480558823,0.41218919050493,0.974896306691873,3.33068411829241,"QuBE",49.08,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,37781,256,38037,102340,238152,257,256,513,353,68162,33825,76800,51486,2.21276138362322,0.114305256986516,147.007782101167,0.0571526284932578,0.0571526284932578,2.68128401293477,45.6953125,22.84765625,1105.70147487972,10404.328125,5202.1640625,6399.36584526614,0.571752494205382,0.403687560885485,0.575459033622722,0.957044446402867,0.0245599449091337,1,0.749008548515026,0.957323171726068,0.550900267266123,0.5,0.575459033622723,0.963529276827661,1,0.330515927301153,0.503087746726598,0.585505780892856,0.404088883352687,1.95013480558823,0.41218919050493,0.974896306691873,3.33068411829241,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-008-shuffled",1,37781,256,38037,102340,238152,257,256,513,353,68162,33825,76800,51486,2.21276138362322,0.114305256986516,147.007782101167,0.0571526284932578,0.0571526284932578,2.68128401293477,45.6953125,22.84765625,1105.70147487972,10404.328125,5202.1640625,6399.36584526614,0.571752494205382,0.403687560885485,0.575459033622722,0.957044446402867,0.0245599449091337,1,0.749008548515026,0.957323171726068,0.550900267266123,0.5,0.575459033622723,0.963529276827661,1,0.330515927301153,0.503087746726598,0.585505780892856,0.404088883352687,1.95013480558823,0.41218919050493,0.974896306691873,3.33068411829241,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,108,2295,3646,8434,109,108,217,1,2502,1143,2714,1947,2.22216127262754,0.0910586944596818,20.0642201834862,0.0455293472298409,0.0455293472298409,1.58867102396514,3.07407407407407,1.53703703703704,264.77037037037,352.148148148148,176.074074074074,961.909465020576,0.567702157932179,0.412615603509604,0.570476425573932,0.965329991645781,0.0196822385582167,1,0.761487050960735,1.00807431413708,0.575082631441812,0.5,0.570476425573932,1.01300060851718,1,0.313494240263302,0.534009873834339,0.584687412429687,0.42218305316318,0.276185659314747,0.413052478531,1.01654287830056,0.472364640393144,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,108,2295,3646,8434,109,108,217,1,2502,1143,2714,1947,2.22216127262754,0.0910586944596818,20.0642201834862,0.0455293472298409,0.0455293472298409,1.58867102396514,3.07407407407407,1.53703703703704,264.77037037037,352.148148148148,176.074074074074,961.909465020576,0.567702157932179,0.412615603509604,0.570476425573932,0.965329991645781,0.0196822385582167,1,0.761487050960735,1.00807431413708,0.575082631441812,0.5,0.570476425573932,1.01300060851718,1,0.313494240263302,0.534009873834339,0.584687412429687,0.42218305316318,0.276185659314747,0.413052478531,1.01654287830056,0.472364640393144,"quantor",1.37,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,108,2295,3646,8434,109,108,217,1,2502,1143,2714,1947,2.22216127262754,0.0910586944596818,20.0642201834862,0.0455293472298409,0.0455293472298409,1.58867102396514,3.07407407407407,1.53703703703704,264.77037037037,352.148148148148,176.074074074074,961.909465020576,0.567702157932179,0.412615603509604,0.570476425573932,0.965329991645781,0.0196822385582167,1,0.761487050960735,1.00807431413708,0.575082631441812,0.5,0.570476425573932,1.01300060851718,1,0.313494240263302,0.534009873834339,0.584687412429687,0.42218305316318,0.276185659314747,0.413052478531,1.01654287830056,0.472364640393144,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,108,2295,3646,8434,109,108,217,1,2502,1143,2714,1947,2.22216127262754,0.0910586944596818,20.0642201834862,0.0455293472298409,0.0455293472298409,1.58867102396514,3.07407407407407,1.53703703703704,264.77037037037,352.148148148148,176.074074074074,961.909465020576,0.567702157932179,0.412615603509604,0.570476425573932,0.965329991645781,0.0196822385582167,1,0.761487050960735,1.00807431413708,0.575082631441812,0.5,0.570476425573932,1.01300060851718,1,0.313494240263302,0.534009873834339,0.584687412429687,0.42218305316318,0.276185659314747,0.413052478531,1.01654287830056,0.472364640393144,"sKizzo",4.15,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,2187,108,2295,3646,8434,109,108,217,1,2502,1143,2714,1947,2.22216127262754,0.0910586944596818,20.0642201834862,0.0455293472298409,0.0455293472298409,1.58867102396514,3.07407407407407,1.53703703703704,264.77037037037,352.148148148148,176.074074074074,961.909465020576,0.567702157932179,0.412615603509604,0.570476425573932,0.965329991645781,0.0196822385582167,1,0.761487050960735,1.00807431413708,0.575082631441812,0.5,0.570476425573932,1.01300060851718,1,0.313494240263302,0.534009873834339,0.584687412429687,0.42218305316318,0.276185659314747,0.413052478531,1.01654287830056,0.472364640393144,"sSolve",80.99,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5553,162,5715,12316,28628,163,162,325,1,8318,3997,9204,6329,2.21321857746021,0.111237414745047,34.0674846625767,0.0556187073725236,0.0556187073725236,2.15503062117235,8.45679012345679,4.22839506172839,536.501662292213,1106.79012345679,553.395061728395,1865.04718170358,0.569791812211821,0.406280564482325,0.573299581774158,0.95800637567435,0.0239276233058544,1,0.755026974006866,0.979922071963638,0.56178891402802,0.5,0.573299581774158,0.9859546978172,1,0.324537187398506,0.51388437804482,0.587384943494165,0.412236022311329,0.42560750639528,0.410454417457962,0.999081385449878,0.72458021117034,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5553,162,5715,12316,28628,163,162,325,1,8318,3997,9204,6329,2.21321857746021,0.111237414745047,34.0674846625767,0.0556187073725236,0.0556187073725236,2.15503062117235,8.45679012345679,4.22839506172839,536.501662292213,1106.79012345679,553.395061728395,1865.04718170358,0.569791812211821,0.406280564482325,0.573299581774158,0.95800637567435,0.0239276233058544,1,0.755026974006866,0.979922071963638,0.56178891402802,0.5,0.573299581774158,0.9859546978172,1,0.324537187398506,0.51388437804482,0.587384943494165,0.412236022311329,0.42560750639528,0.410454417457962,0.999081385449878,0.72458021117034,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5553,162,5715,12316,28628,163,162,325,1,8318,3997,9204,6329,2.21321857746021,0.111237414745047,34.0674846625767,0.0556187073725236,0.0556187073725236,2.15503062117235,8.45679012345679,4.22839506172839,536.501662292213,1106.79012345679,553.395061728395,1865.04718170358,0.569791812211821,0.406280564482325,0.573299581774158,0.95800637567435,0.0239276233058544,1,0.755026974006866,0.979922071963638,0.56178891402802,0.5,0.573299581774158,0.9859546978172,1,0.324537187398506,0.51388437804482,0.587384943494165,0.412236022311329,0.42560750639528,0.410454417457962,0.999081385449878,0.72458021117034,"QuBE",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5553,162,5715,12316,28628,163,162,325,1,8318,3997,9204,6329,2.21321857746021,0.111237414745047,34.0674846625767,0.0556187073725236,0.0556187073725236,2.15503062117235,8.45679012345679,4.22839506172839,536.501662292213,1106.79012345679,553.395061728395,1865.04718170358,0.569791812211821,0.406280564482325,0.573299581774158,0.95800637567435,0.0239276233058544,1,0.755026974006866,0.979922071963638,0.56178891402802,0.5,0.573299581774158,0.9859546978172,1,0.324537187398506,0.51388437804482,0.587384943494165,0.412236022311329,0.42560750639528,0.410454417457962,0.999081385449878,0.72458021117034,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5553,162,5715,12316,28628,163,162,325,1,8318,3997,9204,6329,2.21321857746021,0.111237414745047,34.0674846625767,0.0556187073725236,0.0556187073725236,2.15503062117235,8.45679012345679,4.22839506172839,536.501662292213,1106.79012345679,553.395061728395,1865.04718170358,0.569791812211821,0.406280564482325,0.573299581774158,0.95800637567435,0.0239276233058544,1,0.755026974006866,0.979922071963638,0.56178891402802,0.5,0.573299581774158,0.9859546978172,1,0.324537187398506,0.51388437804482,0.587384943494165,0.412236022311329,0.42560750639528,0.410454417457962,0.999081385449878,0.72458021117034,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-009-shuffled",1,68757,486,69243,193360,450848,487,486,973,1,129230,64129,144924,96641,2.19852089366984,0.133129913115432,141.184804928131,0.0665649565577162,0.0665649565577162,2.79248443886025,52.9670781893004,26.4835390946502,2190.22995826293,23122.3127572016,11561.1563786008,13905.1007606498,0.571119312939173,0.40033226275818,0.575425893777081,0.95001320449885,0.0285484243026475,1,0.750947616976325,0.949567940893634,0.546405981090782,0.5,0.575425893777081,0.956728250492516,1,0.331655978485726,0.499798303682251,0.585308137892821,0.402150703058708,2.1889562092177,0.41198745517057,0.969757884843109,3.73983559685024,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-009-shuffled",1,68757,486,69243,193360,450848,487,486,973,1,129230,64129,144924,96641,2.19852089366984,0.133129913115432,141.184804928131,0.0665649565577162,0.0665649565577162,2.79248443886025,52.9670781893004,26.4835390946502,2190.22995826293,23122.3127572016,11561.1563786008,13905.1007606498,0.571119312939173,0.40033226275818,0.575425893777081,0.95001320449885,0.0285484243026475,1,0.750947616976325,0.949567940893634,0.546405981090782,0.5,0.575425893777081,0.956728250492516,1,0.331655978485726,0.499798303682251,0.585308137892821,0.402150703058708,2.1889562092177,0.41198745517057,0.969757884843109,3.73983559685024,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-009-shuffled",1,68757,486,69243,193360,450848,487,486,973,1,129230,64129,144924,96641,2.19852089366984,0.133129913115432,141.184804928131,0.0665649565577162,0.0665649565577162,2.79248443886025,52.9670781893004,26.4835390946502,2190.22995826293,23122.3127572016,11561.1563786008,13905.1007606498,0.571119312939173,0.40033226275818,0.575425893777081,0.95001320449885,0.0285484243026475,1,0.750947616976325,0.949567940893634,0.546405981090782,0.5,0.575425893777081,0.956728250492516,1,0.331655978485726,0.499798303682251,0.585308137892821,0.402150703058708,2.1889562092177,0.41198745517057,0.969757884843109,3.73983559685024,"QuBE",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-009-shuffled",1,68757,486,69243,193360,450848,487,486,973,1,129230,64129,144924,96641,2.19852089366984,0.133129913115432,141.184804928131,0.0665649565577162,0.0665649565577162,2.79248443886025,52.9670781893004,26.4835390946502,2190.22995826293,23122.3127572016,11561.1563786008,13905.1007606498,0.571119312939173,0.40033226275818,0.575425893777081,0.95001320449885,0.0285484243026475,1,0.750947616976325,0.949567940893634,0.546405981090782,0.5,0.575425893777081,0.956728250492516,1,0.331655978485726,0.499798303682251,0.585308137892821,0.402150703058708,2.1889562092177,0.41198745517057,0.969757884843109,3.73983559685024,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-009-shuffled",1,68757,486,69243,193360,450848,487,486,973,1,129230,64129,144924,96641,2.19852089366984,0.133129913115432,141.184804928131,0.0665649565577162,0.0665649565577162,2.79248443886025,52.9670781893004,26.4835390946502,2190.22995826293,23122.3127572016,11561.1563786008,13905.1007606498,0.571119312939173,0.40033226275818,0.575425893777081,0.95001320449885,0.0285484243026475,1,0.750947616976325,0.949567940893634,0.546405981090782,0.5,0.575425893777081,0.956728250492516,1,0.331655978485726,0.499798303682251,0.585308137892821,0.402150703058708,2.1889562092177,0.41198745517057,0.969757884843109,3.73983559685024,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,9079,270,9349,23773,55469,6,5,11,1,15848,7924,17857,11965,2.10200647793716,0.231270769360199,1513.16666666667,0.115635384680099,0.115635384680099,2.54283880628944,20.362962962963,10.1814814814815,22.610332655899,102.740740740741,51.3703703703704,88.4257076770569,0.571418269664137,0.379022517081613,0.57927598006844,0.913269813225644,0.0495592132542501,1,0.750031549722363,0.927672852800092,0.537378600988658,0.5,0.57927598006844,0.940429505875817,1,0.333319311824339,0.503302065368275,0.585457355158936,0.398861511013137,0.941835289373437,0.409626260678044,0.962172447097841,1.60871715262293,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,9079,270,9349,23773,55469,6,5,11,1,15848,7924,17857,11965,2.10200647793716,0.231270769360199,1513.16666666667,0.115635384680099,0.115635384680099,2.54283880628944,20.362962962963,10.1814814814815,22.610332655899,102.740740740741,51.3703703703704,88.4257076770569,0.571418269664137,0.379022517081613,0.57927598006844,0.913269813225644,0.0495592132542501,1,0.750031549722363,0.927672852800092,0.537378600988658,0.5,0.57927598006844,0.940429505875817,1,0.333319311824339,0.503302065368275,0.585457355158936,0.398861511013137,0.941835289373437,0.409626260678044,0.962172447097841,1.60871715262293,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,9079,270,9349,23773,55469,6,5,11,1,15848,7924,17857,11965,2.10200647793716,0.231270769360199,1513.16666666667,0.115635384680099,0.115635384680099,2.54283880628944,20.362962962963,10.1814814814815,22.610332655899,102.740740740741,51.3703703703704,88.4257076770569,0.571418269664137,0.379022517081613,0.57927598006844,0.913269813225644,0.0495592132542501,1,0.750031549722363,0.927672852800092,0.537378600988658,0.5,0.57927598006844,0.940429505875817,1,0.333319311824339,0.503302065368275,0.585457355158936,0.398861511013137,0.941835289373437,0.409626260678044,0.962172447097841,1.60871715262293,"QuBE",5.03,"ok" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,9079,270,9349,23773,55469,6,5,11,1,15848,7924,17857,11965,2.10200647793716,0.231270769360199,1513.16666666667,0.115635384680099,0.115635384680099,2.54283880628944,20.362962962963,10.1814814814815,22.610332655899,102.740740740741,51.3703703703704,88.4257076770569,0.571418269664137,0.379022517081613,0.57927598006844,0.913269813225644,0.0495592132542501,1,0.750031549722363,0.927672852800092,0.537378600988658,0.5,0.57927598006844,0.940429505875817,1,0.333319311824339,0.503302065368275,0.585457355158936,0.398861511013137,0.941835289373437,0.409626260678044,0.962172447097841,1.60871715262293,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-IPF03-c03.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-005-shuffled",1,9079,270,9349,23773,55469,6,5,11,1,15848,7924,17857,11965,2.10200647793716,0.231270769360199,1513.16666666667,0.115635384680099,0.115635384680099,2.54283880628944,20.362962962963,10.1814814814815,22.610332655899,102.740740740741,51.3703703703704,88.4257076770569,0.571418269664137,0.379022517081613,0.57927598006844,0.913269813225644,0.0495592132542501,1,0.750031549722363,0.927672852800092,0.537378600988658,0.5,0.57927598006844,0.940429505875817,1,0.333319311824339,0.503302065368275,0.585457355158936,0.398861511013137,0.941835289373437,0.409626260678044,0.962172447097841,1.60871715262293,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7183,0,7183,9910,21602,1,0,1,1141,5846,2923,7796,5941,2.17981836528759,0,7183,0,0,1.22093832660448,0,0,1.22093832660448,0,0,5.48294584435473,0.59401907230812,0.40598092769188,0.59401907230812,1,0,0,0.683447630922693,1,0.59401907230812,0,0.59401907230812,1,0,0.294954591321897,0.59949545913219,0.59401907230812,0.40598092769188,0,0.40598092769188,1,0,"X2clsQ",0.11,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7183,0,7183,9910,21602,1,0,1,1141,5846,2923,7796,5941,2.17981836528759,0,7183,0,0,1.22093832660448,0,0,1.22093832660448,0,0,5.48294584435473,0.59401907230812,0.40598092769188,0.59401907230812,1,0,0,0.683447630922693,1,0.59401907230812,0,0.59401907230812,1,0,0.294954591321897,0.59949545913219,0.59401907230812,0.40598092769188,0,0.40598092769188,1,0,"quantor",0.01,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7183,0,7183,9910,21602,1,0,1,1141,5846,2923,7796,5941,2.17981836528759,0,7183,0,0,1.22093832660448,0,0,1.22093832660448,0,0,5.48294584435473,0.59401907230812,0.40598092769188,0.59401907230812,1,0,0,0.683447630922693,1,0.59401907230812,0,0.59401907230812,1,0,0.294954591321897,0.59949545913219,0.59401907230812,0.40598092769188,0,0.40598092769188,1,0,"QuBE",0.11,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7183,0,7183,9910,21602,1,0,1,1141,5846,2923,7796,5941,2.17981836528759,0,7183,0,0,1.22093832660448,0,0,1.22093832660448,0,0,5.48294584435473,0.59401907230812,0.40598092769188,0.59401907230812,1,0,0,0.683447630922693,1,0.59401907230812,0,0.59401907230812,1,0,0.294954591321897,0.59949545913219,0.59401907230812,0.40598092769188,0,0.40598092769188,1,0,"sKizzo",0.02,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf00.01X-QBF.BB1-01X.BB2-01X.BB3-01X.with-IOC.unfold-010-shuffled",1,7183,0,7183,9910,21602,1,0,1,1141,5846,2923,7796,5941,2.17981836528759,0,7183,0,0,1.22093832660448,0,0,1.22093832660448,0,0,5.48294584435473,0.59401907230812,0.40598092769188,0.59401907230812,1,0,0,0.683447630922693,1,0.59401907230812,0,0.59401907230812,1,0,0.294954591321897,0.59949545913219,0.59401907230812,0.40598092769188,0,0.40598092769188,1,0,"sSolve",0.82,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-002-shuffled",1,1647,42,1689,2440,5472,43,42,85,145,1558,737,1880,1406,2.2,0.0426229508196721,38.3023255813954,0.0213114754098361,0.0213114754098361,1.35938425103612,2.47619047619048,1.23809523809524,94.5814091178212,114.095238095238,57.0476190476191,389.502125075896,0.580409356725146,0.410087719298246,0.581967213114754,0.983627204030227,0.00950292397660819,1,0.722921914357683,1.00601039636127,0.585465066734839,0.5,0.581967213114754,1.00871059356834,1,0.302049180327869,0.576229508196721,0.582030350601779,0.42219942257413,0.252102115571503,0.416928099033407,1.01011980937372,0.433142559165252,"X2clsQ",0.03,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-002-shuffled",1,1647,42,1689,2440,5472,43,42,85,145,1558,737,1880,1406,2.2,0.0426229508196721,38.3023255813954,0.0213114754098361,0.0213114754098361,1.35938425103612,2.47619047619048,1.23809523809524,94.5814091178212,114.095238095238,57.0476190476191,389.502125075896,0.580409356725146,0.410087719298246,0.581967213114754,0.983627204030227,0.00950292397660819,1,0.722921914357683,1.00601039636127,0.585465066734839,0.5,0.581967213114754,1.00871059356834,1,0.302049180327869,0.576229508196721,0.582030350601779,0.42219942257413,0.252102115571503,0.416928099033407,1.01011980937372,0.433142559165252,"quantor",0,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-002-shuffled",1,1647,42,1689,2440,5472,43,42,85,145,1558,737,1880,1406,2.2,0.0426229508196721,38.3023255813954,0.0213114754098361,0.0213114754098361,1.35938425103612,2.47619047619048,1.23809523809524,94.5814091178212,114.095238095238,57.0476190476191,389.502125075896,0.580409356725146,0.410087719298246,0.581967213114754,0.983627204030227,0.00950292397660819,1,0.722921914357683,1.00601039636127,0.585465066734839,0.5,0.581967213114754,1.00871059356834,1,0.302049180327869,0.576229508196721,0.582030350601779,0.42219942257413,0.252102115571503,0.416928099033407,1.01011980937372,0.433142559165252,"QuBE",0.07,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-002-shuffled",1,1647,42,1689,2440,5472,43,42,85,145,1558,737,1880,1406,2.2,0.0426229508196721,38.3023255813954,0.0213114754098361,0.0213114754098361,1.35938425103612,2.47619047619048,1.23809523809524,94.5814091178212,114.095238095238,57.0476190476191,389.502125075896,0.580409356725146,0.410087719298246,0.581967213114754,0.983627204030227,0.00950292397660819,1,0.722921914357683,1.00601039636127,0.585465066734839,0.5,0.581967213114754,1.00871059356834,1,0.302049180327869,0.576229508196721,0.582030350601779,0.42219942257413,0.252102115571503,0.416928099033407,1.01011980937372,0.433142559165252,"sKizzo",0,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-002-shuffled",1,1647,42,1689,2440,5472,43,42,85,145,1558,737,1880,1406,2.2,0.0426229508196721,38.3023255813954,0.0213114754098361,0.0213114754098361,1.35938425103612,2.47619047619048,1.23809523809524,94.5814091178212,114.095238095238,57.0476190476191,389.502125075896,0.580409356725146,0.410087719298246,0.581967213114754,0.983627204030227,0.00950292397660819,1,0.722921914357683,1.00601039636127,0.585465066734839,0.5,0.581967213114754,1.00871059356834,1,0.302049180327869,0.576229508196721,0.582030350601779,0.42219942257413,0.252102115571503,0.416928099033407,1.01011980937372,0.433142559165252,"sSolve",0.87,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-009-shuffled",1,26294,189,26483,67834,157288,190,189,379,649,44916,22269,51067,34486,2.17312851962143,0.145590706725241,138.389473684211,0.0727953533626205,0.0727953533626205,2.5369482309406,52.2539682539683,26.1269841269841,779.97205754635,8890.53968253968,4445.26984126984,4727.80603940062,0.572847261075225,0.395758099791465,0.577727729085827,0.945195445162149,0.0313946391333096,1,0.745666022951766,0.943947347062643,0.545344557195092,0.5,0.577727729085827,0.951989464297148,1,0.328286699885013,0.508388123949642,0.58482254661199,0.401153543059177,2.36620760252204,0.412212554575533,0.966221888461446,4.04602663873002,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-009-shuffled",1,26294,189,26483,67834,157288,190,189,379,649,44916,22269,51067,34486,2.17312851962143,0.145590706725241,138.389473684211,0.0727953533626205,0.0727953533626205,2.5369482309406,52.2539682539683,26.1269841269841,779.97205754635,8890.53968253968,4445.26984126984,4727.80603940062,0.572847261075225,0.395758099791465,0.577727729085827,0.945195445162149,0.0313946391333096,1,0.745666022951766,0.943947347062643,0.545344557195092,0.5,0.577727729085827,0.951989464297148,1,0.328286699885013,0.508388123949642,0.58482254661199,0.401153543059177,2.36620760252204,0.412212554575533,0.966221888461446,4.04602663873002,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-009-shuffled",1,26294,189,26483,67834,157288,190,189,379,649,44916,22269,51067,34486,2.17312851962143,0.145590706725241,138.389473684211,0.0727953533626205,0.0727953533626205,2.5369482309406,52.2539682539683,26.1269841269841,779.97205754635,8890.53968253968,4445.26984126984,4727.80603940062,0.572847261075225,0.395758099791465,0.577727729085827,0.945195445162149,0.0313946391333096,1,0.745666022951766,0.943947347062643,0.545344557195092,0.5,0.577727729085827,0.951989464297148,1,0.328286699885013,0.508388123949642,0.58482254661199,0.401153543059177,2.36620760252204,0.412212554575533,0.966221888461446,4.04602663873002,"QuBE",3.51,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-009-shuffled",1,26294,189,26483,67834,157288,190,189,379,649,44916,22269,51067,34486,2.17312851962143,0.145590706725241,138.389473684211,0.0727953533626205,0.0727953533626205,2.5369482309406,52.2539682539683,26.1269841269841,779.97205754635,8890.53968253968,4445.26984126984,4727.80603940062,0.572847261075225,0.395758099791465,0.577727729085827,0.945195445162149,0.0313946391333096,1,0.745666022951766,0.943947347062643,0.545344557195092,0.5,0.577727729085827,0.951989464297148,1,0.328286699885013,0.508388123949642,0.58482254661199,0.401153543059177,2.36620760252204,0.412212554575533,0.966221888461446,4.04602663873002,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-009-shuffled",1,26294,189,26483,67834,157288,190,189,379,649,44916,22269,51067,34486,2.17312851962143,0.145590706725241,138.389473684211,0.0727953533626205,0.0727953533626205,2.5369482309406,52.2539682539683,26.1269841269841,779.97205754635,8890.53968253968,4445.26984126984,4727.80603940062,0.572847261075225,0.395758099791465,0.577727729085827,0.945195445162149,0.0313946391333096,1,0.745666022951766,0.943947347062643,0.545344557195092,0.5,0.577727729085827,0.951989464297148,1,0.328286699885013,0.508388123949642,0.58482254661199,0.401153543059177,2.36620760252204,0.412212554575533,0.966221888461446,4.04602663873002,"sSolve",1.47,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,3033,66,3099,5530,12578,67,66,133,211,3590,1729,4211,3006,2.16817359855335,0.106329113924051,45.2686567164179,0.0531645569620253,0.0531645569620253,1.71668280090352,8.90909090909091,4.45454545454545,184.49241690868,483.939393939394,241.969696969697,710.090339597758,0.577039274924471,0.399586579742407,0.580817347789825,0.959492973270873,0.0233741453331213,1,0.732984293193717,0.973995069972078,0.565713233301548,0.5,0.580817347789825,0.980372147763415,1,0.312658227848101,0.543580470162749,0.583738982670777,0.413439030681808,0.545944130989977,0.414267377670176,0.993220631935411,0.935253850089166,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,3033,66,3099,5530,12578,67,66,133,211,3590,1729,4211,3006,2.16817359855335,0.106329113924051,45.2686567164179,0.0531645569620253,0.0531645569620253,1.71668280090352,8.90909090909091,4.45454545454545,184.49241690868,483.939393939394,241.969696969697,710.090339597758,0.577039274924471,0.399586579742407,0.580817347789825,0.959492973270873,0.0233741453331213,1,0.732984293193717,0.973995069972078,0.565713233301548,0.5,0.580817347789825,0.980372147763415,1,0.312658227848101,0.543580470162749,0.583738982670777,0.413439030681808,0.545944130989977,0.414267377670176,0.993220631935411,0.935253850089166,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,3033,66,3099,5530,12578,67,66,133,211,3590,1729,4211,3006,2.16817359855335,0.106329113924051,45.2686567164179,0.0531645569620253,0.0531645569620253,1.71668280090352,8.90909090909091,4.45454545454545,184.49241690868,483.939393939394,241.969696969697,710.090339597758,0.577039274924471,0.399586579742407,0.580817347789825,0.959492973270873,0.0233741453331213,1,0.732984293193717,0.973995069972078,0.565713233301548,0.5,0.580817347789825,0.980372147763415,1,0.312658227848101,0.543580470162749,0.583738982670777,0.413439030681808,0.545944130989977,0.414267377670176,0.993220631935411,0.935253850089166,"QuBE",0.13,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,3033,66,3099,5530,12578,67,66,133,211,3590,1729,4211,3006,2.16817359855335,0.106329113924051,45.2686567164179,0.0531645569620253,0.0531645569620253,1.71668280090352,8.90909090909091,4.45454545454545,184.49241690868,483.939393939394,241.969696969697,710.090339597758,0.577039274924471,0.399586579742407,0.580817347789825,0.959492973270873,0.0233741453331213,1,0.732984293193717,0.973995069972078,0.565713233301548,0.5,0.580817347789825,0.980372147763415,1,0.312658227848101,0.543580470162749,0.583738982670777,0.413439030681808,0.545944130989977,0.414267377670176,0.993220631935411,0.935253850089166,"sKizzo",38.26,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-003-shuffled",1,3033,66,3099,5530,12578,67,66,133,211,3590,1729,4211,3006,2.16817359855335,0.106329113924051,45.2686567164179,0.0531645569620253,0.0531645569620253,1.71668280090352,8.90909090909091,4.45454545454545,184.49241690868,483.939393939394,241.969696969697,710.090339597758,0.577039274924471,0.399586579742407,0.580817347789825,0.959492973270873,0.0233741453331213,1,0.732984293193717,0.973995069972078,0.565713233301548,0.5,0.580817347789825,0.980372147763415,1,0.312658227848101,0.543580470162749,0.583738982670777,0.413439030681808,0.545944130989977,0.414267377670176,0.993220631935411,0.935253850089166,"sSolve",1156.58,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-002-shuffled",1,1665,28,1693,2452,5472,29,28,57,173,1538,741,1901,1431,2.20065252854812,0.0309951060358891,57.4137931034483,0.0154975530179445,0.0154975530179445,1.34672179562906,2.71428571428571,1.35714285714286,63.3963378617838,85.1428571428571,42.5714285714286,262.546546546547,0.583333333333333,0.409722222222222,0.584507042253521,0.988095238095238,0.00694444444444444,1,0.714285714285714,1.00269436102769,0.586081915248582,0.5,0.584507042253521,1.00471185471185,1,0.302202283849918,0.583605220228385,0.582393040013696,0.419913869015078,0.280428262382412,0.416835540474732,1.00552411537597,0.481510325700008,"X2clsQ",0.01,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-002-shuffled",1,1665,28,1693,2452,5472,29,28,57,173,1538,741,1901,1431,2.20065252854812,0.0309951060358891,57.4137931034483,0.0154975530179445,0.0154975530179445,1.34672179562906,2.71428571428571,1.35714285714286,63.3963378617838,85.1428571428571,42.5714285714286,262.546546546547,0.583333333333333,0.409722222222222,0.584507042253521,0.988095238095238,0.00694444444444444,1,0.714285714285714,1.00269436102769,0.586081915248582,0.5,0.584507042253521,1.00471185471185,1,0.302202283849918,0.583605220228385,0.582393040013696,0.419913869015078,0.280428262382412,0.416835540474732,1.00552411537597,0.481510325700008,"quantor",0,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-002-shuffled",1,1665,28,1693,2452,5472,29,28,57,173,1538,741,1901,1431,2.20065252854812,0.0309951060358891,57.4137931034483,0.0154975530179445,0.0154975530179445,1.34672179562906,2.71428571428571,1.35714285714286,63.3963378617838,85.1428571428571,42.5714285714286,262.546546546547,0.583333333333333,0.409722222222222,0.584507042253521,0.988095238095238,0.00694444444444444,1,0.714285714285714,1.00269436102769,0.586081915248582,0.5,0.584507042253521,1.00471185471185,1,0.302202283849918,0.583605220228385,0.582393040013696,0.419913869015078,0.280428262382412,0.416835540474732,1.00552411537597,0.481510325700008,"QuBE",0.06,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-002-shuffled",1,1665,28,1693,2452,5472,29,28,57,173,1538,741,1901,1431,2.20065252854812,0.0309951060358891,57.4137931034483,0.0154975530179445,0.0154975530179445,1.34672179562906,2.71428571428571,1.35714285714286,63.3963378617838,85.1428571428571,42.5714285714286,262.546546546547,0.583333333333333,0.409722222222222,0.584507042253521,0.988095238095238,0.00694444444444444,1,0.714285714285714,1.00269436102769,0.586081915248582,0.5,0.584507042253521,1.00471185471185,1,0.302202283849918,0.583605220228385,0.582393040013696,0.419913869015078,0.280428262382412,0.416835540474732,1.00552411537597,0.481510325700008,"sKizzo",0,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-002-shuffled",1,1665,28,1693,2452,5472,29,28,57,173,1538,741,1901,1431,2.20065252854812,0.0309951060358891,57.4137931034483,0.0154975530179445,0.0154975530179445,1.34672179562906,2.71428571428571,1.35714285714286,63.3963378617838,85.1428571428571,42.5714285714286,262.546546546547,0.583333333333333,0.409722222222222,0.584507042253521,0.988095238095238,0.00694444444444444,1,0.714285714285714,1.00269436102769,0.586081915248582,0.5,0.584507042253521,1.00471185471185,1,0.302202283849918,0.583605220228385,0.582393040013696,0.419913869015078,0.280428262382412,0.416835540474732,1.00552411537597,0.481510325700008,"sSolve",0.91,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12102,175,12277,29722,68940,176,175,351,221,19784,9717,22353,15204,2.1913061032232,0.128187874301864,68.7613636363636,0.064093937150932,0.064093937150932,2.40303005620266,21.7714285714286,10.8857142857143,668.221633949662,3168.91428571429,1584.45714285714,2805.12386382416,0.572062663185378,0.400304612706701,0.576278212805159,0.951696333485471,0.0276327241079199,1,0.748060246462802,0.958395809969768,0.552302624529331,0.5,0.576278212805159,0.965458261956794,1,0.326929547136801,0.511540273198304,0.586046205353201,0.405746134694393,0.981548656041192,0.411476684650601,0.980172521526444,1.67486564553324,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12102,175,12277,29722,68940,176,175,351,221,19784,9717,22353,15204,2.1913061032232,0.128187874301864,68.7613636363636,0.064093937150932,0.064093937150932,2.40303005620266,21.7714285714286,10.8857142857143,668.221633949662,3168.91428571429,1584.45714285714,2805.12386382416,0.572062663185378,0.400304612706701,0.576278212805159,0.951696333485471,0.0276327241079199,1,0.748060246462802,0.958395809969768,0.552302624529331,0.5,0.576278212805159,0.965458261956794,1,0.326929547136801,0.511540273198304,0.586046205353201,0.405746134694393,0.981548656041192,0.411476684650601,0.980172521526444,1.67486564553324,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12102,175,12277,29722,68940,176,175,351,221,19784,9717,22353,15204,2.1913061032232,0.128187874301864,68.7613636363636,0.064093937150932,0.064093937150932,2.40303005620266,21.7714285714286,10.8857142857143,668.221633949662,3168.91428571429,1584.45714285714,2805.12386382416,0.572062663185378,0.400304612706701,0.576278212805159,0.951696333485471,0.0276327241079199,1,0.748060246462802,0.958395809969768,0.552302624529331,0.5,0.576278212805159,0.965458261956794,1,0.326929547136801,0.511540273198304,0.586046205353201,0.405746134694393,0.981548656041192,0.411476684650601,0.980172521526444,1.67486564553324,"QuBE",0.25,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12102,175,12277,29722,68940,176,175,351,221,19784,9717,22353,15204,2.1913061032232,0.128187874301864,68.7613636363636,0.064093937150932,0.064093937150932,2.40303005620266,21.7714285714286,10.8857142857143,668.221633949662,3168.91428571429,1584.45714285714,2805.12386382416,0.572062663185378,0.400304612706701,0.576278212805159,0.951696333485471,0.0276327241079199,1,0.748060246462802,0.958395809969768,0.552302624529331,0.5,0.576278212805159,0.965458261956794,1,0.326929547136801,0.511540273198304,0.586046205353201,0.405746134694393,0.981548656041192,0.411476684650601,0.980172521526444,1.67486564553324,"sKizzo",243.34,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-005-shuffled",1,12102,175,12277,29722,68940,176,175,351,221,19784,9717,22353,15204,2.1913061032232,0.128187874301864,68.7613636363636,0.064093937150932,0.064093937150932,2.40303005620266,21.7714285714286,10.8857142857143,668.221633949662,3168.91428571429,1584.45714285714,2805.12386382416,0.572062663185378,0.400304612706701,0.576278212805159,0.951696333485471,0.0276327241079199,1,0.748060246462802,0.958395809969768,0.552302624529331,0.5,0.576278212805159,0.965458261956794,1,0.326929547136801,0.511540273198304,0.586046205353201,0.405746134694393,0.981548656041192,0.411476684650601,0.980172521526444,1.67486564553324,"sSolve",0.83,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3889,108,3997,7846,18066,109,108,217,127,5218,2501,5917,4146,2.18455263828703,0.118021921998471,35.6788990825688,0.0590109609992353,0.0590109609992353,1.93144858643983,8.57407407407407,4.28703703703704,330.099824868651,736.222222222222,368.111111111111,1225.89483157624,0.572677958596258,0.401693789438725,0.576604434072345,0.955248405180746,0.0256282519650172,1,0.746182099362072,0.975090705526267,0.562241624429177,0.5,0.576604434072345,0.981776260094482,1,0.318761152179454,0.528422125924038,0.58527982890788,0.413393973475328,0.46247556490421,0.412534188370345,0.99680218684975,0.790178547186874,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3889,108,3997,7846,18066,109,108,217,127,5218,2501,5917,4146,2.18455263828703,0.118021921998471,35.6788990825688,0.0590109609992353,0.0590109609992353,1.93144858643983,8.57407407407407,4.28703703703704,330.099824868651,736.222222222222,368.111111111111,1225.89483157624,0.572677958596258,0.401693789438725,0.576604434072345,0.955248405180746,0.0256282519650172,1,0.746182099362072,0.975090705526267,0.562241624429177,0.5,0.576604434072345,0.981776260094482,1,0.318761152179454,0.528422125924038,0.58527982890788,0.413393973475328,0.46247556490421,0.412534188370345,0.99680218684975,0.790178547186874,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3889,108,3997,7846,18066,109,108,217,127,5218,2501,5917,4146,2.18455263828703,0.118021921998471,35.6788990825688,0.0590109609992353,0.0590109609992353,1.93144858643983,8.57407407407407,4.28703703703704,330.099824868651,736.222222222222,368.111111111111,1225.89483157624,0.572677958596258,0.401693789438725,0.576604434072345,0.955248405180746,0.0256282519650172,1,0.746182099362072,0.975090705526267,0.562241624429177,0.5,0.576604434072345,0.981776260094482,1,0.318761152179454,0.528422125924038,0.58527982890788,0.413393973475328,0.46247556490421,0.412534188370345,0.99680218684975,0.790178547186874,"QuBE",0.24,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3889,108,3997,7846,18066,109,108,217,127,5218,2501,5917,4146,2.18455263828703,0.118021921998471,35.6788990825688,0.0590109609992353,0.0590109609992353,1.93144858643983,8.57407407407407,4.28703703703704,330.099824868651,736.222222222222,368.111111111111,1225.89483157624,0.572677958596258,0.401693789438725,0.576604434072345,0.955248405180746,0.0256282519650172,1,0.746182099362072,0.975090705526267,0.562241624429177,0.5,0.576604434072345,0.981776260094482,1,0.318761152179454,0.528422125924038,0.58527982890788,0.413393973475328,0.46247556490421,0.412534188370345,0.99680218684975,0.790178547186874,"sKizzo",103.37,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3889,108,3997,7846,18066,109,108,217,127,5218,2501,5917,4146,2.18455263828703,0.118021921998471,35.6788990825688,0.0590109609992353,0.0590109609992353,1.93144858643983,8.57407407407407,4.28703703703704,330.099824868651,736.222222222222,368.111111111111,1225.89483157624,0.572677958596258,0.401693789438725,0.576604434072345,0.955248405180746,0.0256282519650172,1,0.746182099362072,0.975090705526267,0.562241624429177,0.5,0.576604434072345,0.981776260094482,1,0.318761152179454,0.528422125924038,0.58527982890788,0.413393973475328,0.46247556490421,0.412534188370345,0.99680218684975,0.790178547186874,"sSolve",1358,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1941,114,2055,3130,7226,115,114,229,1,2162,967,2337,1697,2.20255591054313,0.106070287539936,16.8782608695652,0.0530351437699681,0.0530351437699681,1.52311435523114,2.91228070175439,1.45614035087719,275.577615571776,341.649122807018,170.824561403509,1091.92890262751,0.566841959590368,0.410185441461389,0.57006092254134,0.95947265625,0.0229725989482425,1,0.76416015625,1.01008893267528,0.575812228809669,0.5,0.57006092254134,1.01582499154753,1,0.308945686900958,0.542172523961661,0.581698844322585,0.427639957004666,0.259295775184483,0.415881176372168,1.0223255451258,0.445756043208999,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1941,114,2055,3130,7226,115,114,229,1,2162,967,2337,1697,2.20255591054313,0.106070287539936,16.8782608695652,0.0530351437699681,0.0530351437699681,1.52311435523114,2.91228070175439,1.45614035087719,275.577615571776,341.649122807018,170.824561403509,1091.92890262751,0.566841959590368,0.410185441461389,0.57006092254134,0.95947265625,0.0229725989482425,1,0.76416015625,1.01008893267528,0.575812228809669,0.5,0.57006092254134,1.01582499154753,1,0.308945686900958,0.542172523961661,0.581698844322585,0.427639957004666,0.259295775184483,0.415881176372168,1.0223255451258,0.445756043208999,"quantor",3.53,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1941,114,2055,3130,7226,115,114,229,1,2162,967,2337,1697,2.20255591054313,0.106070287539936,16.8782608695652,0.0530351437699681,0.0530351437699681,1.52311435523114,2.91228070175439,1.45614035087719,275.577615571776,341.649122807018,170.824561403509,1091.92890262751,0.566841959590368,0.410185441461389,0.57006092254134,0.95947265625,0.0229725989482425,1,0.76416015625,1.01008893267528,0.575812228809669,0.5,0.57006092254134,1.01582499154753,1,0.308945686900958,0.542172523961661,0.581698844322585,0.427639957004666,0.259295775184483,0.415881176372168,1.0223255451258,0.445756043208999,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1941,114,2055,3130,7226,115,114,229,1,2162,967,2337,1697,2.20255591054313,0.106070287539936,16.8782608695652,0.0530351437699681,0.0530351437699681,1.52311435523114,2.91228070175439,1.45614035087719,275.577615571776,341.649122807018,170.824561403509,1091.92890262751,0.566841959590368,0.410185441461389,0.57006092254134,0.95947265625,0.0229725989482425,1,0.76416015625,1.01008893267528,0.575812228809669,0.5,0.57006092254134,1.01582499154753,1,0.308945686900958,0.542172523961661,0.581698844322585,0.427639957004666,0.259295775184483,0.415881176372168,1.0223255451258,0.445756043208999,"sKizzo",33.39,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1941,114,2055,3130,7226,115,114,229,1,2162,967,2337,1697,2.20255591054313,0.106070287539936,16.8782608695652,0.0530351437699681,0.0530351437699681,1.52311435523114,2.91228070175439,1.45614035087719,275.577615571776,341.649122807018,170.824561403509,1091.92890262751,0.566841959590368,0.410185441461389,0.57006092254134,0.95947265625,0.0229725989482425,1,0.76416015625,1.01008893267528,0.575812228809669,0.5,0.57006092254134,1.01582499154753,1,0.308945686900958,0.542172523961661,0.581698844322585,0.427639957004666,0.259295775184483,0.415881176372168,1.0223255451258,0.445756043208999,"sSolve",41.84,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4828,171,4999,10474,24324,172,171,343,1,7096,3377,7839,5412,2.1869390872637,0.135382852778308,28.0697674418605,0.0676914263891541,0.0676914263891541,2.09521904380876,8.29239766081871,4.14619883040936,557.402880576115,1127.29824561404,563.649122807018,2019.00849212925,0.569396480841967,0.401455352738037,0.573692482319043,0.948808664259928,0.0291481664199967,1,0.756245487364621,0.97505729745917,0.559383041382649,0.5,0.573692482319043,0.982413942136574,1,0.322417414550315,0.516708038953599,0.586019038812447,0.413816653141506,0.418620021107528,0.411444798912959,0.999603102409406,0.714345428018604,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4828,171,4999,10474,24324,172,171,343,1,7096,3377,7839,5412,2.1869390872637,0.135382852778308,28.0697674418605,0.0676914263891541,0.0676914263891541,2.09521904380876,8.29239766081871,4.14619883040936,557.402880576115,1127.29824561404,563.649122807018,2019.00849212925,0.569396480841967,0.401455352738037,0.573692482319043,0.948808664259928,0.0291481664199967,1,0.756245487364621,0.97505729745917,0.559383041382649,0.5,0.573692482319043,0.982413942136574,1,0.322417414550315,0.516708038953599,0.586019038812447,0.413816653141506,0.418620021107528,0.411444798912959,0.999603102409406,0.714345428018604,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4828,171,4999,10474,24324,172,171,343,1,7096,3377,7839,5412,2.1869390872637,0.135382852778308,28.0697674418605,0.0676914263891541,0.0676914263891541,2.09521904380876,8.29239766081871,4.14619883040936,557.402880576115,1127.29824561404,563.649122807018,2019.00849212925,0.569396480841967,0.401455352738037,0.573692482319043,0.948808664259928,0.0291481664199967,1,0.756245487364621,0.97505729745917,0.559383041382649,0.5,0.573692482319043,0.982413942136574,1,0.322417414550315,0.516708038953599,0.586019038812447,0.413816653141506,0.418620021107528,0.411444798912959,0.999603102409406,0.714345428018604,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4828,171,4999,10474,24324,172,171,343,1,7096,3377,7839,5412,2.1869390872637,0.135382852778308,28.0697674418605,0.0676914263891541,0.0676914263891541,2.09521904380876,8.29239766081871,4.14619883040936,557.402880576115,1127.29824561404,563.649122807018,2019.00849212925,0.569396480841967,0.401455352738037,0.573692482319043,0.948808664259928,0.0291481664199967,1,0.756245487364621,0.97505729745917,0.559383041382649,0.5,0.573692482319043,0.982413942136574,1,0.322417414550315,0.516708038953599,0.586019038812447,0.413816653141506,0.418620021107528,0.411444798912959,0.999603102409406,0.714345428018604,"sKizzo",70.62,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,4828,171,4999,10474,24324,172,171,343,1,7096,3377,7839,5412,2.1869390872637,0.135382852778308,28.0697674418605,0.0676914263891541,0.0676914263891541,2.09521904380876,8.29239766081871,4.14619883040936,557.402880576115,1127.29824561404,563.649122807018,2019.00849212925,0.569396480841967,0.401455352738037,0.573692482319043,0.948808664259928,0.0291481664199967,1,0.756245487364621,0.97505729745917,0.559383041382649,0.5,0.573692482319043,0.982413942136574,1,0.322417414550315,0.516708038953599,0.586019038812447,0.413816653141506,0.418620021107528,0.411444798912959,0.999603102409406,0.714345428018604,"sSolve",2664.8,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-007-shuffled",1,16948,399,17347,46405,108277,8,7,15,1,30936,15468,34830,23274,2.02980282297166,0.303501777825665,2118.5,0.151750888912833,0.151750888912833,2.67510232316827,35.2982456140351,17.6491228070175,32.7749466766588,247.859649122807,123.929824561404,143.74946896389,0.571423293959013,0.363539809931934,0.582102704022592,0.88618438065684,0.0650368961090536,1,0.750016162399793,0.890406533301422,0.51830805071414,0.5,0.582102704022592,0.907047465851676,1,0.333326150199332,0.501540782243293,0.584729080950318,0.388080000484231,1.57023755525836,0.408674046589403,0.934522459151063,2.68541040015722,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-007-shuffled",1,16948,399,17347,46405,108277,8,7,15,1,30936,15468,34830,23274,2.02980282297166,0.303501777825665,2118.5,0.151750888912833,0.151750888912833,2.67510232316827,35.2982456140351,17.6491228070175,32.7749466766588,247.859649122807,123.929824561404,143.74946896389,0.571423293959013,0.363539809931934,0.582102704022592,0.88618438065684,0.0650368961090536,1,0.750016162399793,0.890406533301422,0.51830805071414,0.5,0.582102704022592,0.907047465851676,1,0.333326150199332,0.501540782243293,0.584729080950318,0.388080000484231,1.57023755525836,0.408674046589403,0.934522459151063,2.68541040015722,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-007-shuffled",1,16948,399,17347,46405,108277,8,7,15,1,30936,15468,34830,23274,2.02980282297166,0.303501777825665,2118.5,0.151750888912833,0.151750888912833,2.67510232316827,35.2982456140351,17.6491228070175,32.7749466766588,247.859649122807,123.929824561404,143.74946896389,0.571423293959013,0.363539809931934,0.582102704022592,0.88618438065684,0.0650368961090536,1,0.750016162399793,0.890406533301422,0.51830805071414,0.5,0.582102704022592,0.907047465851676,1,0.333326150199332,0.501540782243293,0.584729080950318,0.388080000484231,1.57023755525836,0.408674046589403,0.934522459151063,2.68541040015722,"QuBE",96.91,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-007-shuffled",1,16948,399,17347,46405,108277,8,7,15,1,30936,15468,34830,23274,2.02980282297166,0.303501777825665,2118.5,0.151750888912833,0.151750888912833,2.67510232316827,35.2982456140351,17.6491228070175,32.7749466766588,247.859649122807,123.929824561404,143.74946896389,0.571423293959013,0.363539809931934,0.582102704022592,0.88618438065684,0.0650368961090536,1,0.750016162399793,0.890406533301422,0.51830805071414,0.5,0.582102704022592,0.907047465851676,1,0.333326150199332,0.501540782243293,0.584729080950318,0.388080000484231,1.57023755525836,0.408674046589403,0.934522459151063,2.68541040015722,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF02-c01.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-007-shuffled",1,16948,399,17347,46405,108277,8,7,15,1,30936,15468,34830,23274,2.02980282297166,0.303501777825665,2118.5,0.151750888912833,0.151750888912833,2.67510232316827,35.2982456140351,17.6491228070175,32.7749466766588,247.859649122807,123.929824561404,143.74946896389,0.571423293959013,0.363539809931934,0.582102704022592,0.88618438065684,0.0650368961090536,1,0.750016162399793,0.890406533301422,0.51830805071414,0.5,0.582102704022592,0.907047465851676,1,0.333326150199332,0.501540782243293,0.584729080950318,0.388080000484231,1.57023755525836,0.408674046589403,0.934522459151063,2.68541040015722,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-003-shuffled",1,3055,48,3103,5302,12042,49,48,97,223,3418,1661,4028,2775,2.19577517917767,0.0754432289701999,62.3469387755102,0.0377216144851,0.0377216144851,1.63712536255237,8.33333333333333,4.16666666666667,120.507895584918,310,155,390.448445171849,0.578143165587112,0.405248297624979,0.580828036419859,0.971272622809538,0.016608536787909,1,0.729675380637748,0.981972969130683,0.570357431477554,0.5,0.580828036419859,0.986533207390506,1,0.313278008298755,0.523387400980762,0.588680847506473,0.40946940203038,0.529048062179221,0.409843508522378,0.995502882732464,0.898700992940666,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-003-shuffled",1,3055,48,3103,5302,12042,49,48,97,223,3418,1661,4028,2775,2.19577517917767,0.0754432289701999,62.3469387755102,0.0377216144851,0.0377216144851,1.63712536255237,8.33333333333333,4.16666666666667,120.507895584918,310,155,390.448445171849,0.578143165587112,0.405248297624979,0.580828036419859,0.971272622809538,0.016608536787909,1,0.729675380637748,0.981972969130683,0.570357431477554,0.5,0.580828036419859,0.986533207390506,1,0.313278008298755,0.523387400980762,0.588680847506473,0.40946940203038,0.529048062179221,0.409843508522378,0.995502882732464,0.898700992940666,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-003-shuffled",1,3055,48,3103,5302,12042,49,48,97,223,3418,1661,4028,2775,2.19577517917767,0.0754432289701999,62.3469387755102,0.0377216144851,0.0377216144851,1.63712536255237,8.33333333333333,4.16666666666667,120.507895584918,310,155,390.448445171849,0.578143165587112,0.405248297624979,0.580828036419859,0.971272622809538,0.016608536787909,1,0.729675380637748,0.981972969130683,0.570357431477554,0.5,0.580828036419859,0.986533207390506,1,0.313278008298755,0.523387400980762,0.588680847506473,0.40946940203038,0.529048062179221,0.409843508522378,0.995502882732464,0.898700992940666,"QuBE",0.07,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-003-shuffled",1,3055,48,3103,5302,12042,49,48,97,223,3418,1661,4028,2775,2.19577517917767,0.0754432289701999,62.3469387755102,0.0377216144851,0.0377216144851,1.63712536255237,8.33333333333333,4.16666666666667,120.507895584918,310,155,390.448445171849,0.578143165587112,0.405248297624979,0.580828036419859,0.971272622809538,0.016608536787909,1,0.729675380637748,0.981972969130683,0.570357431477554,0.5,0.580828036419859,0.986533207390506,1,0.313278008298755,0.523387400980762,0.588680847506473,0.40946940203038,0.529048062179221,0.409843508522378,0.995502882732464,0.898700992940666,"sKizzo",0.07,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-003-shuffled",1,3055,48,3103,5302,12042,49,48,97,223,3418,1661,4028,2775,2.19577517917767,0.0754432289701999,62.3469387755102,0.0377216144851,0.0377216144851,1.63712536255237,8.33333333333333,4.16666666666667,120.507895584918,310,155,390.448445171849,0.578143165587112,0.405248297624979,0.580828036419859,0.971272622809538,0.016608536787909,1,0.729675380637748,0.981972969130683,0.570357431477554,0.5,0.580828036419859,0.986533207390506,1,0.313278008298755,0.523387400980762,0.588680847506473,0.40946940203038,0.529048062179221,0.409843508522378,0.995502882732464,0.898700992940666,"sSolve",0.8,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-006-shuffled",1,12019,96,12115,28390,65586,97,96,193,445,18694,9251,21383,14358,2.2098626276858,0.100317013032758,123.907216494845,0.050158506516379,0.050158506516379,2.30672719768882,29.6666666666667,14.8333333333333,351.508212959142,2438,1219,1606.65180131459,0.573902967096636,0.404385082182173,0.577257802288884,0.962167906482466,0.0217119507211905,1,0.742454835281615,0.964216609869835,0.556601561143899,0.5,0.577257802288884,0.969853081540483,1,0.325854174004931,0.505741458259951,0.586661138039228,0.40519108686973,1.43342332882773,0.411324412609783,0.980287904572073,2.44335824530427,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-006-shuffled",1,12019,96,12115,28390,65586,97,96,193,445,18694,9251,21383,14358,2.2098626276858,0.100317013032758,123.907216494845,0.050158506516379,0.050158506516379,2.30672719768882,29.6666666666667,14.8333333333333,351.508212959142,2438,1219,1606.65180131459,0.573902967096636,0.404385082182173,0.577257802288884,0.962167906482466,0.0217119507211905,1,0.742454835281615,0.964216609869835,0.556601561143899,0.5,0.577257802288884,0.969853081540483,1,0.325854174004931,0.505741458259951,0.586661138039228,0.40519108686973,1.43342332882773,0.411324412609783,0.980287904572073,2.44335824530427,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-006-shuffled",1,12019,96,12115,28390,65586,97,96,193,445,18694,9251,21383,14358,2.2098626276858,0.100317013032758,123.907216494845,0.050158506516379,0.050158506516379,2.30672719768882,29.6666666666667,14.8333333333333,351.508212959142,2438,1219,1606.65180131459,0.573902967096636,0.404385082182173,0.577257802288884,0.962167906482466,0.0217119507211905,1,0.742454835281615,0.964216609869835,0.556601561143899,0.5,0.577257802288884,0.969853081540483,1,0.325854174004931,0.505741458259951,0.586661138039228,0.40519108686973,1.43342332882773,0.411324412609783,0.980287904572073,2.44335824530427,"QuBE",0.46,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-006-shuffled",1,12019,96,12115,28390,65586,97,96,193,445,18694,9251,21383,14358,2.2098626276858,0.100317013032758,123.907216494845,0.050158506516379,0.050158506516379,2.30672719768882,29.6666666666667,14.8333333333333,351.508212959142,2438,1219,1606.65180131459,0.573902967096636,0.404385082182173,0.577257802288884,0.962167906482466,0.0217119507211905,1,0.742454835281615,0.964216609869835,0.556601561143899,0.5,0.577257802288884,0.969853081540483,1,0.325854174004931,0.505741458259951,0.586661138039228,0.40519108686973,1.43342332882773,0.411324412609783,0.980287904572073,2.44335824530427,"sKizzo",2.8,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-006-shuffled",1,12019,96,12115,28390,65586,97,96,193,445,18694,9251,21383,14358,2.2098626276858,0.100317013032758,123.907216494845,0.050158506516379,0.050158506516379,2.30672719768882,29.6666666666667,14.8333333333333,351.508212959142,2438,1219,1606.65180131459,0.573902967096636,0.404385082182173,0.577257802288884,0.962167906482466,0.0217119507211905,1,0.742454835281615,0.964216609869835,0.556601561143899,0.5,0.577257802288884,0.969853081540483,1,0.325854174004931,0.505741458259951,0.586661138039228,0.40519108686973,1.43342332882773,0.411324412609783,0.980287904572073,2.44335824530427,"sSolve",0.93,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-008-shuffled",1,21595,128,21723,54582,126482,129,128,257,593,36078,17911,41053,27440,2.21175479095673,0.105529295372101,167.403100775194,0.0527646476860503,0.0527646476860503,2.48538415504304,45,22.5,510.846844358514,5120,2560,2935.79347071081,0.573140842175171,0.404089119400389,0.576630605854774,0.960271478232081,0.0227700384244398,1,0.744771836892347,0.960117291530993,0.553633015507161,0.5,0.576630605854774,0.965963293430678,1,0.32814847385585,0.502729837675424,0.585892811603258,0.404261337947793,2.07520984812361,0.411953950283131,0.976223908386938,3.54196161315742,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-008-shuffled",1,21595,128,21723,54582,126482,129,128,257,593,36078,17911,41053,27440,2.21175479095673,0.105529295372101,167.403100775194,0.0527646476860503,0.0527646476860503,2.48538415504304,45,22.5,510.846844358514,5120,2560,2935.79347071081,0.573140842175171,0.404089119400389,0.576630605854774,0.960271478232081,0.0227700384244398,1,0.744771836892347,0.960117291530993,0.553633015507161,0.5,0.576630605854774,0.965963293430678,1,0.32814847385585,0.502729837675424,0.585892811603258,0.404261337947793,2.07520984812361,0.411953950283131,0.976223908386938,3.54196161315742,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-008-shuffled",1,21595,128,21723,54582,126482,129,128,257,593,36078,17911,41053,27440,2.21175479095673,0.105529295372101,167.403100775194,0.0527646476860503,0.0527646476860503,2.48538415504304,45,22.5,510.846844358514,5120,2560,2935.79347071081,0.573140842175171,0.404089119400389,0.576630605854774,0.960271478232081,0.0227700384244398,1,0.744771836892347,0.960117291530993,0.553633015507161,0.5,0.576630605854774,0.965963293430678,1,0.32814847385585,0.502729837675424,0.585892811603258,0.404261337947793,2.07520984812361,0.411953950283131,0.976223908386938,3.54196161315742,"QuBE",2.11,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-008-shuffled",1,21595,128,21723,54582,126482,129,128,257,593,36078,17911,41053,27440,2.21175479095673,0.105529295372101,167.403100775194,0.0527646476860503,0.0527646476860503,2.48538415504304,45,22.5,510.846844358514,5120,2560,2935.79347071081,0.573140842175171,0.404089119400389,0.576630605854774,0.960271478232081,0.0227700384244398,1,0.744771836892347,0.960117291530993,0.553633015507161,0.5,0.576630605854774,0.965963293430678,1,0.32814847385585,0.502729837675424,0.585892811603258,0.404261337947793,2.07520984812361,0.411953950283131,0.976223908386938,3.54196161315742,"sKizzo",2874.15,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf01.01X-QBF.BB1-Zi.BB2-01X.BB3-01X.with-IOC.unfold-008-shuffled",1,21595,128,21723,54582,126482,129,128,257,593,36078,17911,41053,27440,2.21175479095673,0.105529295372101,167.403100775194,0.0527646476860503,0.0527646476860503,2.48538415504304,45,22.5,510.846844358514,5120,2560,2935.79347071081,0.573140842175171,0.404089119400389,0.576630605854774,0.960271478232081,0.0227700384244398,1,0.744771836892347,0.960117291530993,0.553633015507161,0.5,0.576630605854774,0.965963293430678,1,0.32814847385585,0.502729837675424,0.585892811603258,0.404261337947793,2.07520984812361,0.411953950283131,0.976223908386938,3.54196161315742,"sSolve",1.32,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,21929,126,22055,54694,126598,127,126,253,703,36078,17913,41223,27640,2.1953779207957,0.119281822503382,172.669291338583,0.0596409112516912,0.0596409112516912,2.44806166402176,51.7777777777778,25.8888888888889,497.800498753117,5878.88888888889,2939.44444444444,3099.40644808245,0.573516169291774,0.40071723091992,0.577510535169979,0.955072583533041,0.0257665997883063,1,0.743630003030053,0.953916516181213,0.550896837767293,0.5,0.577510535169978,0.960560254905432,1,0.327513072731927,0.505357077558782,0.585548631206952,0.402771114407735,2.44727913399563,0.411990394598332,0.971817551431118,4.17946350408371,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,21929,126,22055,54694,126598,127,126,253,703,36078,17913,41223,27640,2.1953779207957,0.119281822503382,172.669291338583,0.0596409112516912,0.0596409112516912,2.44806166402176,51.7777777777778,25.8888888888889,497.800498753117,5878.88888888889,2939.44444444444,3099.40644808245,0.573516169291774,0.40071723091992,0.577510535169979,0.955072583533041,0.0257665997883063,1,0.743630003030053,0.953916516181213,0.550896837767293,0.5,0.577510535169978,0.960560254905432,1,0.327513072731927,0.505357077558782,0.585548631206952,0.402771114407735,2.44727913399563,0.411990394598332,0.971817551431118,4.17946350408371,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,21929,126,22055,54694,126598,127,126,253,703,36078,17913,41223,27640,2.1953779207957,0.119281822503382,172.669291338583,0.0596409112516912,0.0596409112516912,2.44806166402176,51.7777777777778,25.8888888888889,497.800498753117,5878.88888888889,2939.44444444444,3099.40644808245,0.573516169291774,0.40071723091992,0.577510535169979,0.955072583533041,0.0257665997883063,1,0.743630003030053,0.953916516181213,0.550896837767293,0.5,0.577510535169978,0.960560254905432,1,0.327513072731927,0.505357077558782,0.585548631206952,0.402771114407735,2.44727913399563,0.411990394598332,0.971817551431118,4.17946350408371,"QuBE",0.49,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,21929,126,22055,54694,126598,127,126,253,703,36078,17913,41223,27640,2.1953779207957,0.119281822503382,172.669291338583,0.0596409112516912,0.0596409112516912,2.44806166402176,51.7777777777778,25.8888888888889,497.800498753117,5878.88888888889,2939.44444444444,3099.40644808245,0.573516169291774,0.40071723091992,0.577510535169979,0.955072583533041,0.0257665997883063,1,0.743630003030053,0.953916516181213,0.550896837767293,0.5,0.577510535169978,0.960560254905432,1,0.327513072731927,0.505357077558782,0.585548631206952,0.402771114407735,2.44727913399563,0.411990394598332,0.971817551431118,4.17946350408371,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf02.01X-QBF.BB1-01X.BB2-Zi.BB3-01X.with-IOC.unfold-009-shuffled",1,21929,126,22055,54694,126598,127,126,253,703,36078,17913,41223,27640,2.1953779207957,0.119281822503382,172.669291338583,0.0596409112516912,0.0596409112516912,2.44806166402176,51.7777777777778,25.8888888888889,497.800498753117,5878.88888888889,2939.44444444444,3099.40644808245,0.573516169291774,0.40071723091992,0.577510535169979,0.955072583533041,0.0257665997883063,1,0.743630003030053,0.953916516181213,0.550896837767293,0.5,0.577510535169978,0.960560254905432,1,0.327513072731927,0.505357077558782,0.585548631206952,0.402771114407735,2.44727913399563,0.411990394598332,0.971817551431118,4.17946350408371,"sSolve",1.15,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,7463,120,7583,16922,39158,121,120,241,185,11238,5499,12724,8583,2.21096797068904,0.103061103888429,61.6776859504132,0.0515305519442146,0.0515305519442146,2.20730581564025,14.5333333333333,7.26666666666667,408.477119873401,1384.13333333333,692.066666666667,1464.67425968109,0.572552224321978,0.405179018335972,0.575934142299674,0.961106155218555,0.0222687573420502,1,0.746565566458519,0.970825676825736,0.559131653505131,0.5,0.575934142299674,0.976560093129077,1,0.324961588464721,0.507209549698617,0.588109890127425,0.407292081448382,0.697849160953805,0.409900096137241,0.988836759334632,1.18659654032107,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,7463,120,7583,16922,39158,121,120,241,185,11238,5499,12724,8583,2.21096797068904,0.103061103888429,61.6776859504132,0.0515305519442146,0.0515305519442146,2.20730581564025,14.5333333333333,7.26666666666667,408.477119873401,1384.13333333333,692.066666666667,1464.67425968109,0.572552224321978,0.405179018335972,0.575934142299674,0.961106155218555,0.0222687573420502,1,0.746565566458519,0.970825676825736,0.559131653505131,0.5,0.575934142299674,0.976560093129077,1,0.324961588464721,0.507209549698617,0.588109890127425,0.407292081448382,0.697849160953805,0.409900096137241,0.988836759334632,1.18659654032107,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,7463,120,7583,16922,39158,121,120,241,185,11238,5499,12724,8583,2.21096797068904,0.103061103888429,61.6776859504132,0.0515305519442146,0.0515305519442146,2.20730581564025,14.5333333333333,7.26666666666667,408.477119873401,1384.13333333333,692.066666666667,1464.67425968109,0.572552224321978,0.405179018335972,0.575934142299674,0.961106155218555,0.0222687573420502,1,0.746565566458519,0.970825676825736,0.559131653505131,0.5,0.575934142299674,0.976560093129077,1,0.324961588464721,0.507209549698617,0.588109890127425,0.407292081448382,0.697849160953805,0.409900096137241,0.988836759334632,1.18659654032107,"QuBE",0.2,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,7463,120,7583,16922,39158,121,120,241,185,11238,5499,12724,8583,2.21096797068904,0.103061103888429,61.6776859504132,0.0515305519442146,0.0515305519442146,2.20730581564025,14.5333333333333,7.26666666666667,408.477119873401,1384.13333333333,692.066666666667,1464.67425968109,0.572552224321978,0.405179018335972,0.575934142299674,0.961106155218555,0.0222687573420502,1,0.746565566458519,0.970825676825736,0.559131653505131,0.5,0.575934142299674,0.976560093129077,1,0.324961588464721,0.507209549698617,0.588109890127425,0.407292081448382,0.697849160953805,0.409900096137241,0.988836759334632,1.18659654032107,"sKizzo",1.42,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-004-shuffled",1,7463,120,7583,16922,39158,121,120,241,185,11238,5499,12724,8583,2.21096797068904,0.103061103888429,61.6776859504132,0.0515305519442146,0.0515305519442146,2.20730581564025,14.5333333333333,7.26666666666667,408.477119873401,1384.13333333333,692.066666666667,1464.67425968109,0.572552224321978,0.405179018335972,0.575934142299674,0.961106155218555,0.0222687573420502,1,0.746565566458519,0.970825676825736,0.559131653505131,0.5,0.575934142299674,0.976560093129077,1,0.324961588464721,0.507209549698617,0.588109890127425,0.407292081448382,0.697849160953805,0.409900096137241,0.988836759334632,1.18659654032107,"sSolve",0.79,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-007-shuffled",1,25739,210,25949,67694,157382,211,210,421,323,45054,22317,50824,33903,2.2106833692794,0.114219871775933,121.985781990521,0.0571099358879664,0.0571099358879664,2.59632355774789,36.8190476190476,18.4095238095238,868.451732244017,6725.84761904762,3362.92380952381,4503.52057189479,0.571920549999365,0.403515014423505,0.575636485131975,0.957049216753694,0.0245644355771308,1,0.74849461170981,0.958629120106378,0.551821897243193,0.5,0.575636485131975,0.964857613953207,1,0.329674712677638,0.500827252045972,0.586249330916131,0.404054519762066,1.60217537095958,0.41145449269853,0.97656523591062,2.73292486058085,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-007-shuffled",1,25739,210,25949,67694,157382,211,210,421,323,45054,22317,50824,33903,2.2106833692794,0.114219871775933,121.985781990521,0.0571099358879664,0.0571099358879664,2.59632355774789,36.8190476190476,18.4095238095238,868.451732244017,6725.84761904762,3362.92380952381,4503.52057189479,0.571920549999365,0.403515014423505,0.575636485131975,0.957049216753694,0.0245644355771308,1,0.74849461170981,0.958629120106378,0.551821897243193,0.5,0.575636485131975,0.964857613953207,1,0.329674712677638,0.500827252045972,0.586249330916131,0.404054519762066,1.60217537095958,0.41145449269853,0.97656523591062,2.73292486058085,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-007-shuffled",1,25739,210,25949,67694,157382,211,210,421,323,45054,22317,50824,33903,2.2106833692794,0.114219871775933,121.985781990521,0.0571099358879664,0.0571099358879664,2.59632355774789,36.8190476190476,18.4095238095238,868.451732244017,6725.84761904762,3362.92380952381,4503.52057189479,0.571920549999365,0.403515014423505,0.575636485131975,0.957049216753694,0.0245644355771308,1,0.74849461170981,0.958629120106378,0.551821897243193,0.5,0.575636485131975,0.964857613953207,1,0.329674712677638,0.500827252045972,0.586249330916131,0.404054519762066,1.60217537095958,0.41145449269853,0.97656523591062,2.73292486058085,"QuBE",0.61,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-007-shuffled",1,25739,210,25949,67694,157382,211,210,421,323,45054,22317,50824,33903,2.2106833692794,0.114219871775933,121.985781990521,0.0571099358879664,0.0571099358879664,2.59632355774789,36.8190476190476,18.4095238095238,868.451732244017,6725.84761904762,3362.92380952381,4503.52057189479,0.571920549999365,0.403515014423505,0.575636485131975,0.957049216753694,0.0245644355771308,1,0.74849461170981,0.958629120106378,0.551821897243193,0.5,0.575636485131975,0.964857613953207,1,0.329674712677638,0.500827252045972,0.586249330916131,0.404054519762066,1.60217537095958,0.41145449269853,0.97656523591062,2.73292486058085,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf03.01X-QBF.BB1-Zi.BB2-Zi.BB3-01X.with-IOC.unfold-007-shuffled",1,25739,210,25949,67694,157382,211,210,421,323,45054,22317,50824,33903,2.2106833692794,0.114219871775933,121.985781990521,0.0571099358879664,0.0571099358879664,2.59632355774789,36.8190476190476,18.4095238095238,868.451732244017,6725.84761904762,3362.92380952381,4503.52057189479,0.571920549999365,0.403515014423505,0.575636485131975,0.957049216753694,0.0245644355771308,1,0.74849461170981,0.958629120106378,0.551821897243193,0.5,0.575636485131975,0.964857613953207,1,0.329674712677638,0.500827252045972,0.586249330916131,0.404054519762066,1.60217537095958,0.41145449269853,0.97656523591062,2.73292486058085,"sSolve",1.39,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,5119,92,5211,10622,24402,93,92,185,241,6982,3399,8020,5555,2.16851816983619,0.12878930521559,55.0430107526882,0.0643946526077951,0.0643946526077951,1.99232393014776,14.8695652173913,7.43478260869565,291.88984839762,1092.60869565217,546.304347826087,1115.62375463958,0.574543070240144,0.3974264404557,0.578970217938699,0.951212553495007,0.0280304893041554,1,0.740513552068474,0.960903739103749,0.556334647247009,0.5,0.578970217938699,0.968307993018652,1,0.319996234230842,0.522971191865939,0.585655032789913,0.407854325539748,0.77549273580209,0.411933477965935,0.984335174349909,1.32414594323187,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,5119,92,5211,10622,24402,93,92,185,241,6982,3399,8020,5555,2.16851816983619,0.12878930521559,55.0430107526882,0.0643946526077951,0.0643946526077951,1.99232393014776,14.8695652173913,7.43478260869565,291.88984839762,1092.60869565217,546.304347826087,1115.62375463958,0.574543070240144,0.3974264404557,0.578970217938699,0.951212553495007,0.0280304893041554,1,0.740513552068474,0.960903739103749,0.556334647247009,0.5,0.578970217938699,0.968307993018652,1,0.319996234230842,0.522971191865939,0.585655032789913,0.407854325539748,0.77549273580209,0.411933477965935,0.984335174349909,1.32414594323187,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,5119,92,5211,10622,24402,93,92,185,241,6982,3399,8020,5555,2.16851816983619,0.12878930521559,55.0430107526882,0.0643946526077951,0.0643946526077951,1.99232393014776,14.8695652173913,7.43478260869565,291.88984839762,1092.60869565217,546.304347826087,1115.62375463958,0.574543070240144,0.3974264404557,0.578970217938699,0.951212553495007,0.0280304893041554,1,0.740513552068474,0.960903739103749,0.556334647247009,0.5,0.578970217938699,0.968307993018652,1,0.319996234230842,0.522971191865939,0.585655032789913,0.407854325539748,0.77549273580209,0.411933477965935,0.984335174349909,1.32414594323187,"QuBE",0.14,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,5119,92,5211,10622,24402,93,92,185,241,6982,3399,8020,5555,2.16851816983619,0.12878930521559,55.0430107526882,0.0643946526077951,0.0643946526077951,1.99232393014776,14.8695652173913,7.43478260869565,291.88984839762,1092.60869565217,546.304347826087,1115.62375463958,0.574543070240144,0.3974264404557,0.578970217938699,0.951212553495007,0.0280304893041554,1,0.740513552068474,0.960903739103749,0.556334647247009,0.5,0.578970217938699,0.968307993018652,1,0.319996234230842,0.522971191865939,0.585655032789913,0.407854325539748,0.77549273580209,0.411933477965935,0.984335174349909,1.32414594323187,"sKizzo",0.78,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,5119,92,5211,10622,24402,93,92,185,241,6982,3399,8020,5555,2.16851816983619,0.12878930521559,55.0430107526882,0.0643946526077951,0.0643946526077951,1.99232393014776,14.8695652173913,7.43478260869565,291.88984839762,1092.60869565217,546.304347826087,1115.62375463958,0.574543070240144,0.3974264404557,0.578970217938699,0.951212553495007,0.0280304893041554,1,0.740513552068474,0.960903739103749,0.556334647247009,0.5,0.578970217938699,0.968307993018652,1,0.319996234230842,0.522971191865939,0.585655032789913,0.407854325539748,0.77549273580209,0.411933477965935,0.984335174349909,1.32414594323187,"sSolve",1.28,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,30913,230,31143,80990,188022,231,230,461,601,53746,26643,60868,40907,2.1498209655513,0.171724904309174,133.822510822511,0.085862452154587,0.085862452154587,2.58131843431911,60.4695652173913,30.2347826086957,968.098127990239,12629.4086956522,6314.70434782609,6183.19380196034,0.572443650211146,0.390571316122581,0.578230354825,0.935390961795748,0.0369850336662731,1,0.746896833655418,0.932919813446204,0.539442554752271,0.5,0.578230354825,0.942350490835732,1,0.328966539078899,0.505087047783677,0.584612182698511,0.398319164032905,2.70948902813512,0.411860408315783,0.958909114428372,4.63467766892642,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,30913,230,31143,80990,188022,231,230,461,601,53746,26643,60868,40907,2.1498209655513,0.171724904309174,133.822510822511,0.085862452154587,0.085862452154587,2.58131843431911,60.4695652173913,30.2347826086957,968.098127990239,12629.4086956522,6314.70434782609,6183.19380196034,0.572443650211146,0.390571316122581,0.578230354825,0.935390961795748,0.0369850336662731,1,0.746896833655418,0.932919813446204,0.539442554752271,0.5,0.578230354825,0.942350490835732,1,0.328966539078899,0.505087047783677,0.584612182698511,0.398319164032905,2.70948902813512,0.411860408315783,0.958909114428372,4.63467766892642,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,30913,230,31143,80990,188022,231,230,461,601,53746,26643,60868,40907,2.1498209655513,0.171724904309174,133.822510822511,0.085862452154587,0.085862452154587,2.58131843431911,60.4695652173913,30.2347826086957,968.098127990239,12629.4086956522,6314.70434782609,6183.19380196034,0.572443650211146,0.390571316122581,0.578230354825,0.935390961795748,0.0369850336662731,1,0.746896833655418,0.932919813446204,0.539442554752271,0.5,0.578230354825,0.942350490835732,1,0.328966539078899,0.505087047783677,0.584612182698511,0.398319164032905,2.70948902813512,0.411860408315783,0.958909114428372,4.63467766892642,"QuBE",3.26,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,30913,230,31143,80990,188022,231,230,461,601,53746,26643,60868,40907,2.1498209655513,0.171724904309174,133.822510822511,0.085862452154587,0.085862452154587,2.58131843431911,60.4695652173913,30.2347826086957,968.098127990239,12629.4086956522,6314.70434782609,6183.19380196034,0.572443650211146,0.390571316122581,0.578230354825,0.935390961795748,0.0369850336662731,1,0.746896833655418,0.932919813446204,0.539442554752271,0.5,0.578230354825,0.942350490835732,1,0.328966539078899,0.505087047783677,0.584612182698511,0.398319164032905,2.70948902813512,0.411860408315783,0.958909114428372,4.63467766892642,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf04.01X-QBF.BB1-01X.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,30913,230,31143,80990,188022,231,230,461,601,53746,26643,60868,40907,2.1498209655513,0.171724904309174,133.822510822511,0.085862452154587,0.085862452154587,2.58131843431911,60.4695652173913,30.2347826086957,968.098127990239,12629.4086956522,6314.70434782609,6183.19380196034,0.572443650211146,0.390571316122581,0.578230354825,0.935390961795748,0.0369850336662731,1,0.746896833655418,0.932919813446204,0.539442554752271,0.5,0.578230354825,0.942350490835732,1,0.328966539078899,0.505087047783677,0.584612182698511,0.398319164032905,2.70948902813512,0.411860408315783,0.958909114428372,4.63467766892642,"sSolve",1.02,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,8143,156,8299,19190,44522,157,156,313,113,12822,6255,14386,9753,2.19874934861907,0.121313183949974,51.8662420382166,0.060656591974987,0.060656591974987,2.29883118448006,14.9230769230769,7.46153846153846,560.084588504639,1859.94871794872,929.974358974359,2090.00638585288,0.571492745159696,0.402362876780019,0.575437265961985,0.954252476025782,0.0261443780602848,1,0.749803490017293,0.965867077607196,0.555795910420977,0.5,0.575437265961985,0.972533623791964,1,0.325951016154247,0.50823345492444,0.586974930819526,0.407799479873706,0.685794131520315,0.410723305702266,0.987348009366267,1.16835335806049,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,8143,156,8299,19190,44522,157,156,313,113,12822,6255,14386,9753,2.19874934861907,0.121313183949974,51.8662420382166,0.060656591974987,0.060656591974987,2.29883118448006,14.9230769230769,7.46153846153846,560.084588504639,1859.94871794872,929.974358974359,2090.00638585288,0.571492745159696,0.402362876780019,0.575437265961985,0.954252476025782,0.0261443780602848,1,0.749803490017293,0.965867077607196,0.555795910420977,0.5,0.575437265961985,0.972533623791964,1,0.325951016154247,0.50823345492444,0.586974930819526,0.407799479873706,0.685794131520315,0.410723305702266,0.987348009366267,1.16835335806049,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,8143,156,8299,19190,44522,157,156,313,113,12822,6255,14386,9753,2.19874934861907,0.121313183949974,51.8662420382166,0.060656591974987,0.060656591974987,2.29883118448006,14.9230769230769,7.46153846153846,560.084588504639,1859.94871794872,929.974358974359,2090.00638585288,0.571492745159696,0.402362876780019,0.575437265961985,0.954252476025782,0.0261443780602848,1,0.749803490017293,0.965867077607196,0.555795910420977,0.5,0.575437265961985,0.972533623791964,1,0.325951016154247,0.50823345492444,0.586974930819526,0.407799479873706,0.685794131520315,0.410723305702266,0.987348009366267,1.16835335806049,"QuBE",0.41,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,8143,156,8299,19190,44522,157,156,313,113,12822,6255,14386,9753,2.19874934861907,0.121313183949974,51.8662420382166,0.060656591974987,0.060656591974987,2.29883118448006,14.9230769230769,7.46153846153846,560.084588504639,1859.94871794872,929.974358974359,2090.00638585288,0.571492745159696,0.402362876780019,0.575437265961985,0.954252476025782,0.0261443780602848,1,0.749803490017293,0.965867077607196,0.555795910420977,0.5,0.575437265961985,0.972533623791964,1,0.325951016154247,0.50823345492444,0.586974930819526,0.407799479873706,0.685794131520315,0.410723305702266,0.987348009366267,1.16835335806049,"sKizzo",4.27,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-004-shuffled",1,8143,156,8299,19190,44522,157,156,313,113,12822,6255,14386,9753,2.19874934861907,0.121313183949974,51.8662420382166,0.060656591974987,0.060656591974987,2.29883118448006,14.9230769230769,7.46153846153846,560.084588504639,1859.94871794872,929.974358974359,2090.00638585288,0.571492745159696,0.402362876780019,0.575437265961985,0.954252476025782,0.0261443780602848,1,0.749803490017293,0.965867077607196,0.555795910420977,0.5,0.575437265961985,0.972533623791964,1,0.325951016154247,0.50823345492444,0.586974930819526,0.407799479873706,0.685794131520315,0.410723305702266,0.987348009366267,1.16835335806049,"sSolve",0.82,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,27694,273,27967,73958,172124,274,273,547,197,49356,24405,55453,37116,2.18997268720084,0.137348224668055,101.07299270073,0.0686741123340274,0.0686741123340274,2.6374655844388,37.2087912087912,18.6043956043956,1153.95870132656,8843.09157509158,4421.54578754579,5899.80006499603,0.571460110153145,0.399032093142153,0.575941864341899,0.948364205689189,0.0295077967047013,1,0.749903417986621,0.950260377667337,0.547294733523963,0.5,0.575941864341899,0.95771292483966,1,0.329984585846021,0.501852402715054,0.585687359257131,0.402747736322968,1.58749382391042,0.411572022809692,0.97208652770245,2.71047991529807,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,27694,273,27967,73958,172124,274,273,547,197,49356,24405,55453,37116,2.18997268720084,0.137348224668055,101.07299270073,0.0686741123340274,0.0686741123340274,2.6374655844388,37.2087912087912,18.6043956043956,1153.95870132656,8843.09157509158,4421.54578754579,5899.80006499603,0.571460110153145,0.399032093142153,0.575941864341899,0.948364205689189,0.0295077967047013,1,0.749903417986621,0.950260377667337,0.547294733523963,0.5,0.575941864341899,0.95771292483966,1,0.329984585846021,0.501852402715054,0.585687359257131,0.402747736322968,1.58749382391042,0.411572022809692,0.97208652770245,2.71047991529807,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,27694,273,27967,73958,172124,274,273,547,197,49356,24405,55453,37116,2.18997268720084,0.137348224668055,101.07299270073,0.0686741123340274,0.0686741123340274,2.6374655844388,37.2087912087912,18.6043956043956,1153.95870132656,8843.09157509158,4421.54578754579,5899.80006499603,0.571460110153145,0.399032093142153,0.575941864341899,0.948364205689189,0.0295077967047013,1,0.749903417986621,0.950260377667337,0.547294733523963,0.5,0.575941864341899,0.95771292483966,1,0.329984585846021,0.501852402715054,0.585687359257131,0.402747736322968,1.58749382391042,0.411572022809692,0.97208652770245,2.71047991529807,"QuBE",22.85,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,27694,273,27967,73958,172124,274,273,547,197,49356,24405,55453,37116,2.18997268720084,0.137348224668055,101.07299270073,0.0686741123340274,0.0686741123340274,2.6374655844388,37.2087912087912,18.6043956043956,1153.95870132656,8843.09157509158,4421.54578754579,5899.80006499603,0.571460110153145,0.399032093142153,0.575941864341899,0.948364205689189,0.0295077967047013,1,0.749903417986621,0.950260377667337,0.547294733523963,0.5,0.575941864341899,0.95771292483966,1,0.329984585846021,0.501852402715054,0.585687359257131,0.402747736322968,1.58749382391042,0.411572022809692,0.97208652770245,2.71047991529807,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-007-shuffled",1,27694,273,27967,73958,172124,274,273,547,197,49356,24405,55453,37116,2.18997268720084,0.137348224668055,101.07299270073,0.0686741123340274,0.0686741123340274,2.6374655844388,37.2087912087912,18.6043956043956,1153.95870132656,8843.09157509158,4421.54578754579,5899.80006499603,0.571460110153145,0.399032093142153,0.575941864341899,0.948364205689189,0.0295077967047013,1,0.749903417986621,0.950260377667337,0.547294733523963,0.5,0.575941864341899,0.95771292483966,1,0.329984585846021,0.501852402715054,0.585687359257131,0.402747736322968,1.58749382391042,0.411572022809692,0.97208652770245,2.71047991529807,"sSolve",1.33,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,36899,312,37211,100278,233474,313,312,625,225,66910,33143,75190,50237,2.18849598117234,0.139771435409561,117.888178913738,0.0698857177047807,0.0698857177047807,2.68882857219639,44.9230769230769,22.4615384615385,1350.82620730429,12407.9487179487,6203.97435897436,7637.07986666305,0.571455493973633,0.398528315786769,0.576019101604863,0.947474141807825,0.0300161902395984,1,0.749917553590166,0.947915528604803,0.546017451184237,0.5,0.576019101604863,0.955485522393858,1,0.330511178922595,0.500977283152835,0.58542185790473,0.401983945455457,1.90404372482751,0.411760718623927,0.969621657870909,3.25243019049926,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,36899,312,37211,100278,233474,313,312,625,225,66910,33143,75190,50237,2.18849598117234,0.139771435409561,117.888178913738,0.0698857177047807,0.0698857177047807,2.68882857219639,44.9230769230769,22.4615384615385,1350.82620730429,12407.9487179487,6203.97435897436,7637.07986666305,0.571455493973633,0.398528315786769,0.576019101604863,0.947474141807825,0.0300161902395984,1,0.749917553590166,0.947915528604803,0.546017451184237,0.5,0.576019101604863,0.955485522393858,1,0.330511178922595,0.500977283152835,0.58542185790473,0.401983945455457,1.90404372482751,0.411760718623927,0.969621657870909,3.25243019049926,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,36899,312,37211,100278,233474,313,312,625,225,66910,33143,75190,50237,2.18849598117234,0.139771435409561,117.888178913738,0.0698857177047807,0.0698857177047807,2.68882857219639,44.9230769230769,22.4615384615385,1350.82620730429,12407.9487179487,6203.97435897436,7637.07986666305,0.571455493973633,0.398528315786769,0.576019101604863,0.947474141807825,0.0300161902395984,1,0.749917553590166,0.947915528604803,0.546017451184237,0.5,0.576019101604863,0.955485522393858,1,0.330511178922595,0.500977283152835,0.58542185790473,0.401983945455457,1.90404372482751,0.411760718623927,0.969621657870909,3.25243019049926,"QuBE",40.31,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,36899,312,37211,100278,233474,313,312,625,225,66910,33143,75190,50237,2.18849598117234,0.139771435409561,117.888178913738,0.0698857177047807,0.0698857177047807,2.68882857219639,44.9230769230769,22.4615384615385,1350.82620730429,12407.9487179487,6203.97435897436,7637.07986666305,0.571455493973633,0.398528315786769,0.576019101604863,0.947474141807825,0.0300161902395984,1,0.749917553590166,0.947915528604803,0.546017451184237,0.5,0.576019101604863,0.955485522393858,1,0.330511178922595,0.500977283152835,0.58542185790473,0.401983945455457,1.90404372482751,0.411760718623927,0.969621657870909,3.25243019049926,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-008-shuffled",1,36899,312,37211,100278,233474,313,312,625,225,66910,33143,75190,50237,2.18849598117234,0.139771435409561,117.888178913738,0.0698857177047807,0.0698857177047807,2.68882857219639,44.9230769230769,22.4615384615385,1350.82620730429,12407.9487179487,6203.97435897436,7637.07986666305,0.571455493973633,0.398528315786769,0.576019101604863,0.947474141807825,0.0300161902395984,1,0.749917553590166,0.947915528604803,0.546017451184237,0.5,0.576019101604863,0.955485522393858,1,0.330511178922595,0.500977283152835,0.58542185790473,0.401983945455457,1.90404372482751,0.411760718623927,0.969621657870909,3.25243019049926,"sSolve",1.32,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,47448,351,47799,130630,304232,352,351,703,253,87152,43225,97951,65358,2.1873535941208,0.141606062925821,134.795454545455,0.0708030314629105,0.0708030314629105,2.72763028515241,52.7008547008547,26.3504273504273,1547.33649239524,16594.3931623932,8297.19658119658,9611.58546197943,0.571452049751505,0.398146809014173,0.576077750635206,0.946800188664051,0.0304011412343212,1,0.74992810059015,0.946145500343141,0.545053371611298,0.5,0.576077750635206,0.953804211304017,1,0.330896425017224,0.500329174002909,0.58520804990447,0.401406606381518,2.22421584907687,0.411914555780667,0.967729981956185,3.8007266807761,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,47448,351,47799,130630,304232,352,351,703,253,87152,43225,97951,65358,2.1873535941208,0.141606062925821,134.795454545455,0.0708030314629105,0.0708030314629105,2.72763028515241,52.7008547008547,26.3504273504273,1547.33649239524,16594.3931623932,8297.19658119658,9611.58546197943,0.571452049751505,0.398146809014173,0.576077750635206,0.946800188664051,0.0304011412343212,1,0.74992810059015,0.946145500343141,0.545053371611298,0.5,0.576077750635206,0.953804211304017,1,0.330896425017224,0.500329174002909,0.58520804990447,0.401406606381518,2.22421584907687,0.411914555780667,0.967729981956185,3.8007266807761,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,47448,351,47799,130630,304232,352,351,703,253,87152,43225,97951,65358,2.1873535941208,0.141606062925821,134.795454545455,0.0708030314629105,0.0708030314629105,2.72763028515241,52.7008547008547,26.3504273504273,1547.33649239524,16594.3931623932,8297.19658119658,9611.58546197943,0.571452049751505,0.398146809014173,0.576077750635206,0.946800188664051,0.0304011412343212,1,0.74992810059015,0.946145500343141,0.545053371611298,0.5,0.576077750635206,0.953804211304017,1,0.330896425017224,0.500329174002909,0.58520804990447,0.401406606381518,2.22421584907687,0.411914555780667,0.967729981956185,3.8007266807761,"QuBE",319.34,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,47448,351,47799,130630,304232,352,351,703,253,87152,43225,97951,65358,2.1873535941208,0.141606062925821,134.795454545455,0.0708030314629105,0.0708030314629105,2.72763028515241,52.7008547008547,26.3504273504273,1547.33649239524,16594.3931623932,8297.19658119658,9611.58546197943,0.571452049751505,0.398146809014173,0.576077750635206,0.946800188664051,0.0304011412343212,1,0.74992810059015,0.946145500343141,0.545053371611298,0.5,0.576077750635206,0.953804211304017,1,0.330896425017224,0.500329174002909,0.58520804990447,0.401406606381518,2.22421584907687,0.411914555780667,0.967729981956185,3.8007266807761,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-009-shuffled",1,47448,351,47799,130630,304232,352,351,703,253,87152,43225,97951,65358,2.1873535941208,0.141606062925821,134.795454545455,0.0708030314629105,0.0708030314629105,2.72763028515241,52.7008547008547,26.3504273504273,1547.33649239524,16594.3931623932,8297.19658119658,9611.58546197943,0.571452049751505,0.398146809014173,0.576077750635206,0.946800188664051,0.0304011412343212,1,0.74992810059015,0.946145500343141,0.545053371611298,0.5,0.576077750635206,0.953804211304017,1,0.330896425017224,0.500329174002909,0.58520804990447,0.401406606381518,2.22421584907687,0.411914555780667,0.967729981956185,3.8007266807761,"sSolve",3.71,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,59341,390,59731,165014,384398,391,390,781,281,110082,54651,123736,82479,2.18644478650296,0.143042408522913,151.76726342711,0.0715212042614566,0.0715212042614566,2.7579313924093,60.5230769230769,30.2615384615385,1743.57757278465,21403.1487179487,10701.5743589744,11824.087393202,0.57144938319138,0.397848063725618,0.576123771459614,0.946272488892126,0.0307025530830025,1,0.749936266297618,0.944763512640474,0.544300718039863,0.5,0.576123771459614,0.95249156626979,1,0.331190080841626,0.499830317427612,0.58503284617719,0.40095538070267,2.54694825311064,0.412041710325432,0.966234018786645,4.3535132595602,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,59341,390,59731,165014,384398,391,390,781,281,110082,54651,123736,82479,2.18644478650296,0.143042408522913,151.76726342711,0.0715212042614566,0.0715212042614566,2.7579313924093,60.5230769230769,30.2615384615385,1743.57757278465,21403.1487179487,10701.5743589744,11824.087393202,0.57144938319138,0.397848063725618,0.576123771459614,0.946272488892126,0.0307025530830025,1,0.749936266297618,0.944763512640474,0.544300718039863,0.5,0.576123771459614,0.95249156626979,1,0.331190080841626,0.499830317427612,0.58503284617719,0.40095538070267,2.54694825311064,0.412041710325432,0.966234018786645,4.3535132595602,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,59341,390,59731,165014,384398,391,390,781,281,110082,54651,123736,82479,2.18644478650296,0.143042408522913,151.76726342711,0.0715212042614566,0.0715212042614566,2.7579313924093,60.5230769230769,30.2615384615385,1743.57757278465,21403.1487179487,10701.5743589744,11824.087393202,0.57144938319138,0.397848063725618,0.576123771459614,0.946272488892126,0.0307025530830025,1,0.749936266297618,0.944763512640474,0.544300718039863,0.5,0.576123771459614,0.95249156626979,1,0.331190080841626,0.499830317427612,0.58503284617719,0.40095538070267,2.54694825311064,0.412041710325432,0.966234018786645,4.3535132595602,"QuBE",2017.96,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,59341,390,59731,165014,384398,391,390,781,281,110082,54651,123736,82479,2.18644478650296,0.143042408522913,151.76726342711,0.0715212042614566,0.0715212042614566,2.7579313924093,60.5230769230769,30.2615384615385,1743.57757278465,21403.1487179487,10701.5743589744,11824.087393202,0.57144938319138,0.397848063725618,0.576123771459614,0.946272488892126,0.0307025530830025,1,0.749936266297618,0.944763512640474,0.544300718039863,0.5,0.576123771459614,0.95249156626979,1,0.331190080841626,0.499830317427612,0.58503284617719,0.40095538070267,2.54694825311064,0.412041710325432,0.966234018786645,4.3535132595602,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf05.01X-QBF.BB1-Zi.BB2-01X.BB3-Zi.with-IOC.unfold-010-shuffled",1,59341,390,59731,165014,384398,391,390,781,281,110082,54651,123736,82479,2.18644478650296,0.143042408522913,151.76726342711,0.0715212042614566,0.0715212042614566,2.7579313924093,60.5230769230769,30.2615384615385,1743.57757278465,21403.1487179487,10701.5743589744,11824.087393202,0.57144938319138,0.397848063725618,0.576123771459614,0.946272488892126,0.0307025530830025,1,0.749936266297618,0.944763512640474,0.544300718039863,0.5,0.576123771459614,0.95249156626979,1,0.331190080841626,0.499830317427612,0.58503284617719,0.40095538070267,2.54694825311064,0.412041710325432,0.966234018786645,4.3535132595602,"sSolve",2.25,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1681,74,1755,2478,5646,75,74,149,65,1658,755,1869,1356,2.19209039548023,0.0863599677158999,22.4133333333333,0.04317998385795,0.04317998385795,1.37549857549858,2.89189189189189,1.44594594594595,158.433618233618,198.27027027027,99.1351351351351,579.157049375372,0.572440665958201,0.408607863974495,0.575294550810015,0.966893564356436,0.0189514700673043,1,0.746905940594059,1.00444993460069,0.577854573937253,0.5,0.575294550810015,1.00945758801044,1,0.304681194511703,0.547215496368039,0.584074781006175,0.422778136495337,0.26025286331758,0.414038602716261,1.01647632119565,0.445581408033484,"X2clsQ",0.03,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1681,74,1755,2478,5646,75,74,149,65,1658,755,1869,1356,2.19209039548023,0.0863599677158999,22.4133333333333,0.04317998385795,0.04317998385795,1.37549857549858,2.89189189189189,1.44594594594595,158.433618233618,198.27027027027,99.1351351351351,579.157049375372,0.572440665958201,0.408607863974495,0.575294550810015,0.966893564356436,0.0189514700673043,1,0.746905940594059,1.00444993460069,0.577854573937253,0.5,0.575294550810015,1.00945758801044,1,0.304681194511703,0.547215496368039,0.584074781006175,0.422778136495337,0.26025286331758,0.414038602716261,1.01647632119565,0.445581408033484,"quantor",0,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1681,74,1755,2478,5646,75,74,149,65,1658,755,1869,1356,2.19209039548023,0.0863599677158999,22.4133333333333,0.04317998385795,0.04317998385795,1.37549857549858,2.89189189189189,1.44594594594595,158.433618233618,198.27027027027,99.1351351351351,579.157049375372,0.572440665958201,0.408607863974495,0.575294550810015,0.966893564356436,0.0189514700673043,1,0.746905940594059,1.00444993460069,0.577854573937253,0.5,0.575294550810015,1.00945758801044,1,0.304681194511703,0.547215496368039,0.584074781006175,0.422778136495337,0.26025286331758,0.414038602716261,1.01647632119565,0.445581408033484,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1681,74,1755,2478,5646,75,74,149,65,1658,755,1869,1356,2.19209039548023,0.0863599677158999,22.4133333333333,0.04317998385795,0.04317998385795,1.37549857549858,2.89189189189189,1.44594594594595,158.433618233618,198.27027027027,99.1351351351351,579.157049375372,0.572440665958201,0.408607863974495,0.575294550810015,0.966893564356436,0.0189514700673043,1,0.746905940594059,1.00444993460069,0.577854573937253,0.5,0.575294550810015,1.00945758801044,1,0.304681194511703,0.547215496368039,0.584074781006175,0.422778136495337,0.26025286331758,0.414038602716261,1.01647632119565,0.445581408033484,"sKizzo",0.01,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-002-shuffled",1,1681,74,1755,2478,5646,75,74,149,65,1658,755,1869,1356,2.19209039548023,0.0863599677158999,22.4133333333333,0.04317998385795,0.04317998385795,1.37549857549858,2.89189189189189,1.44594594594595,158.433618233618,198.27027027027,99.1351351351351,579.157049375372,0.572440665958201,0.408607863974495,0.575294550810015,0.966893564356436,0.0189514700673043,1,0.746905940594059,1.00444993460069,0.577854573937253,0.5,0.575294550810015,1.00945758801044,1,0.304681194511703,0.547215496368039,0.584074781006175,0.422778136495337,0.26025286331758,0.414038602716261,1.01647632119565,0.445581408033484,"sSolve",0.86,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3872,111,3983,7798,17992,112,111,223,97,5208,2493,5862,4075,2.18850987432675,0.118748397024878,34.5714285714286,0.0593741985124391,0.0593741985124391,1.93371830278684,8.34234234234234,4.17117117117117,333.46899322119,712.612612612613,356.306306306306,1198.68775826446,0.571920853712761,0.402345486883059,0.575823274346654,0.955004859086492,0.0257336594041796,1,0.748493683187561,0.975724604645223,0.561844936707407,0.5,0.575823274346654,0.982382322763816,1,0.319697358296999,0.522569889715312,0.586515083309829,0.412673139490026,0.441802045689817,0.411300726494881,0.998036742895865,0.753266298279379,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3872,111,3983,7798,17992,112,111,223,97,5208,2493,5862,4075,2.18850987432675,0.118748397024878,34.5714285714286,0.0593741985124391,0.0593741985124391,1.93371830278684,8.34234234234234,4.17117117117117,333.46899322119,712.612612612613,356.306306306306,1198.68775826446,0.571920853712761,0.402345486883059,0.575823274346654,0.955004859086492,0.0257336594041796,1,0.748493683187561,0.975724604645223,0.561844936707407,0.5,0.575823274346654,0.982382322763816,1,0.319697358296999,0.522569889715312,0.586515083309829,0.412673139490026,0.441802045689817,0.411300726494881,0.998036742895865,0.753266298279379,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3872,111,3983,7798,17992,112,111,223,97,5208,2493,5862,4075,2.18850987432675,0.118748397024878,34.5714285714286,0.0593741985124391,0.0593741985124391,1.93371830278684,8.34234234234234,4.17117117117117,333.46899322119,712.612612612613,356.306306306306,1198.68775826446,0.571920853712761,0.402345486883059,0.575823274346654,0.955004859086492,0.0257336594041796,1,0.748493683187561,0.975724604645223,0.561844936707407,0.5,0.575823274346654,0.982382322763816,1,0.319697358296999,0.522569889715312,0.586515083309829,0.412673139490026,0.441802045689817,0.411300726494881,0.998036742895865,0.753266298279379,"QuBE",0.12,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3872,111,3983,7798,17992,112,111,223,97,5208,2493,5862,4075,2.18850987432675,0.118748397024878,34.5714285714286,0.0593741985124391,0.0593741985124391,1.93371830278684,8.34234234234234,4.17117117117117,333.46899322119,712.612612612613,356.306306306306,1198.68775826446,0.571920853712761,0.402345486883059,0.575823274346654,0.955004859086492,0.0257336594041796,1,0.748493683187561,0.975724604645223,0.561844936707407,0.5,0.575823274346654,0.982382322763816,1,0.319697358296999,0.522569889715312,0.586515083309829,0.412673139490026,0.441802045689817,0.411300726494881,0.998036742895865,0.753266298279379,"sKizzo",0.57,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,3872,111,3983,7798,17992,112,111,223,97,5208,2493,5862,4075,2.18850987432675,0.118748397024878,34.5714285714286,0.0593741985124391,0.0593741985124391,1.93371830278684,8.34234234234234,4.17117117117117,333.46899322119,712.612612612613,356.306306306306,1198.68775826446,0.571920853712761,0.402345486883059,0.575823274346654,0.955004859086492,0.0257336594041796,1,0.748493683187561,0.975724604645223,0.561844936707407,0.5,0.575823274346654,0.982382322763816,1,0.319697358296999,0.522569889715312,0.586515083309829,0.412673139490026,0.441802045689817,0.411300726494881,0.998036742895865,0.753266298279379,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-007-shuffled",1,24476,259,24735,64598,150256,260,259,519,225,43088,21285,48482,32559,2.17594971980557,0.150066565528345,94.1384615384615,0.0750332827641723,0.0750332827641723,2.60254699818072,37.4285714285714,18.7142857142857,1083.52015362846,8431.861003861,4215.9305019305,5539.14622487335,0.571571185177297,0.39617053561921,0.576507164098405,0.943562096830535,0.0322582792034927,1,0.749563354369949,0.945382535046708,0.545019804267938,0.5,0.576507164098405,0.953546676953067,1,0.329499365305427,0.50402489241153,0.585436194036904,0.401881671348609,1.61305000991751,0.411576829787026,0.969408485661152,2.75529601064575,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-007-shuffled",1,24476,259,24735,64598,150256,260,259,519,225,43088,21285,48482,32559,2.17594971980557,0.150066565528345,94.1384615384615,0.0750332827641723,0.0750332827641723,2.60254699818072,37.4285714285714,18.7142857142857,1083.52015362846,8431.861003861,4215.9305019305,5539.14622487335,0.571571185177297,0.39617053561921,0.576507164098405,0.943562096830535,0.0322582792034927,1,0.749563354369949,0.945382535046708,0.545019804267938,0.5,0.576507164098405,0.953546676953067,1,0.329499365305427,0.50402489241153,0.585436194036904,0.401881671348609,1.61305000991751,0.411576829787026,0.969408485661152,2.75529601064575,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-007-shuffled",1,24476,259,24735,64598,150256,260,259,519,225,43088,21285,48482,32559,2.17594971980557,0.150066565528345,94.1384615384615,0.0750332827641723,0.0750332827641723,2.60254699818072,37.4285714285714,18.7142857142857,1083.52015362846,8431.861003861,4215.9305019305,5539.14622487335,0.571571185177297,0.39617053561921,0.576507164098405,0.943562096830535,0.0322582792034927,1,0.749563354369949,0.945382535046708,0.545019804267938,0.5,0.576507164098405,0.953546676953067,1,0.329499365305427,0.50402489241153,0.585436194036904,0.401881671348609,1.61305000991751,0.411576829787026,0.969408485661152,2.75529601064575,"QuBE",6.44,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-007-shuffled",1,24476,259,24735,64598,150256,260,259,519,225,43088,21285,48482,32559,2.17594971980557,0.150066565528345,94.1384615384615,0.0750332827641723,0.0750332827641723,2.60254699818072,37.4285714285714,18.7142857142857,1083.52015362846,8431.861003861,4215.9305019305,5539.14622487335,0.571571185177297,0.39617053561921,0.576507164098405,0.943562096830535,0.0322582792034927,1,0.749563354369949,0.945382535046708,0.545019804267938,0.5,0.576507164098405,0.953546676953067,1,0.329499365305427,0.50402489241153,0.585436194036904,0.401881671348609,1.61305000991751,0.411576829787026,0.969408485661152,2.75529601064575,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf06.01X-QBF.BB1-01X.BB2-Zi.BB3-Zi.with-IOC.unfold-007-shuffled",1,24476,259,24735,64598,150256,260,259,519,225,43088,21285,48482,32559,2.17594971980557,0.150066565528345,94.1384615384615,0.0750332827641723,0.0750332827641723,2.60254699818072,37.4285714285714,18.7142857142857,1083.52015362846,8431.861003861,4215.9305019305,5539.14622487335,0.571571185177297,0.39617053561921,0.576507164098405,0.943562096830535,0.0322582792034927,1,0.749563354369949,0.945382535046708,0.545019804267938,0.5,0.576507164098405,0.953546676953067,1,0.329499365305427,0.50402489241153,0.585436194036904,0.401881671348609,1.61305000991751,0.411576829787026,0.969408485661152,2.75529601064575,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5182,159,5341,11350,26376,160,159,319,1,7672,3677,8481,5796,2.20704845814978,0.116828193832599,32.3875,0.0584140969162996,0.0584140969162996,2.12507021157087,8.33962264150943,4.16981132075472,516.190788241902,1025.58490566038,512.792452830189,1795.5968737939,0.569684561722778,0.405178950561116,0.573373253493014,0.955876480766671,0.0251364877161055,1,0.755357380540397,0.978867626054913,0.561256515490088,0.5,0.573373253493014,0.985205766841912,1,0.323964757709251,0.510660792951542,0.587686197187985,0.412397091031962,0.409599340197122,0.410121918729227,1.00020200201735,0.696969474792859,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5182,159,5341,11350,26376,160,159,319,1,7672,3677,8481,5796,2.20704845814978,0.116828193832599,32.3875,0.0584140969162996,0.0584140969162996,2.12507021157087,8.33962264150943,4.16981132075472,516.190788241902,1025.58490566038,512.792452830189,1795.5968737939,0.569684561722778,0.405178950561116,0.573373253493014,0.955876480766671,0.0251364877161055,1,0.755357380540397,0.978867626054913,0.561256515490088,0.5,0.573373253493014,0.985205766841912,1,0.323964757709251,0.510660792951542,0.587686197187985,0.412397091031962,0.409599340197122,0.410121918729227,1.00020200201735,0.696969474792859,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5182,159,5341,11350,26376,160,159,319,1,7672,3677,8481,5796,2.20704845814978,0.116828193832599,32.3875,0.0584140969162996,0.0584140969162996,2.12507021157087,8.33962264150943,4.16981132075472,516.190788241902,1025.58490566038,512.792452830189,1795.5968737939,0.569684561722778,0.405178950561116,0.573373253493014,0.955876480766671,0.0251364877161055,1,0.755357380540397,0.978867626054913,0.561256515490088,0.5,0.573373253493014,0.985205766841912,1,0.323964757709251,0.510660792951542,0.587686197187985,0.412397091031962,0.409599340197122,0.410121918729227,1.00020200201735,0.696969474792859,"QuBE",0.15,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5182,159,5341,11350,26376,160,159,319,1,7672,3677,8481,5796,2.20704845814978,0.116828193832599,32.3875,0.0584140969162996,0.0584140969162996,2.12507021157087,8.33962264150943,4.16981132075472,516.190788241902,1025.58490566038,512.792452830189,1795.5968737939,0.569684561722778,0.405178950561116,0.573373253493014,0.955876480766671,0.0251364877161055,1,0.755357380540397,0.978867626054913,0.561256515490088,0.5,0.573373253493014,0.985205766841912,1,0.323964757709251,0.510660792951542,0.587686197187985,0.412397091031962,0.409599340197122,0.410121918729227,1.00020200201735,0.696969474792859,"sKizzo",2.03,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-003-shuffled",1,5182,159,5341,11350,26376,160,159,319,1,7672,3677,8481,5796,2.20704845814978,0.116828193832599,32.3875,0.0584140969162996,0.0584140969162996,2.12507021157087,8.33962264150943,4.16981132075472,516.190788241902,1025.58490566038,512.792452830189,1795.5968737939,0.569684561722778,0.405178950561116,0.573373253493014,0.955876480766671,0.0251364877161055,1,0.755357380540397,0.978867626054913,0.561256515490088,0.5,0.573373253493014,0.985205766841912,1,0.323964757709251,0.510660792951542,0.587686197187985,0.412397091031962,0.409599340197122,0.410121918729227,1.00020200201735,0.696969474792859,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-006-shuffled",1,26161,318,26479,70150,163470,319,318,637,1,46978,23171,52551,35166,2.19540983606557,0.13488239486814,82.0094043887147,0.0674411974340698,0.0674411974340698,2.64926923222176,29.7547169811321,14.877358490566,1339.2935911477,8048.30188679245,4024.15094339623,6226.74939795879,0.570869272649416,0.400189637242307,0.575223365019999,0.949303471924561,0.0289410901082767,1,0.751714530647235,0.953569731663387,0.548515589828631,0.5,0.575223365019999,0.960842728989352,1,0.330306486101212,0.501297220242338,0.586124681393373,0.403790043881074,1.24356359563554,0.411223640092467,0.975632094323704,2.12167075557928,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-006-shuffled",1,26161,318,26479,70150,163470,319,318,637,1,46978,23171,52551,35166,2.19540983606557,0.13488239486814,82.0094043887147,0.0674411974340698,0.0674411974340698,2.64926923222176,29.7547169811321,14.877358490566,1339.2935911477,8048.30188679245,4024.15094339623,6226.74939795879,0.570869272649416,0.400189637242307,0.575223365019999,0.949303471924561,0.0289410901082767,1,0.751714530647235,0.953569731663387,0.548515589828631,0.5,0.575223365019999,0.960842728989352,1,0.330306486101212,0.501297220242338,0.586124681393373,0.403790043881074,1.24356359563554,0.411223640092467,0.975632094323704,2.12167075557928,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-006-shuffled",1,26161,318,26479,70150,163470,319,318,637,1,46978,23171,52551,35166,2.19540983606557,0.13488239486814,82.0094043887147,0.0674411974340698,0.0674411974340698,2.64926923222176,29.7547169811321,14.877358490566,1339.2935911477,8048.30188679245,4024.15094339623,6226.74939795879,0.570869272649416,0.400189637242307,0.575223365019999,0.949303471924561,0.0289410901082767,1,0.751714530647235,0.953569731663387,0.548515589828631,0.5,0.575223365019999,0.960842728989352,1,0.330306486101212,0.501297220242338,0.586124681393373,0.403790043881074,1.24356359563554,0.411223640092467,0.975632094323704,2.12167075557928,"QuBE",3.14,"ok" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-006-shuffled",1,26161,318,26479,70150,163470,319,318,637,1,46978,23171,52551,35166,2.19540983606557,0.13488239486814,82.0094043887147,0.0674411974340698,0.0674411974340698,2.64926923222176,29.7547169811321,14.877358490566,1339.2935911477,8048.30188679245,4024.15094339623,6226.74939795879,0.570869272649416,0.400189637242307,0.575223365019999,0.949303471924561,0.0289410901082767,1,0.751714530647235,0.953569731663387,0.548515589828631,0.5,0.575223365019999,0.960842728989352,1,0.330306486101212,0.501297220242338,0.586124681393373,0.403790043881074,1.24356359563554,0.411223640092467,0.975632094323704,2.12167075557928,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.conf07.01X-QBF.BB1-Zi.BB2-Zi.BB3-Zi.with-IOC.unfold-006-shuffled",1,26161,318,26479,70150,163470,319,318,637,1,46978,23171,52551,35166,2.19540983606557,0.13488239486814,82.0094043887147,0.0674411974340698,0.0674411974340698,2.64926923222176,29.7547169811321,14.877358490566,1339.2935911477,8048.30188679245,4024.15094339623,6226.74939795879,0.570869272649416,0.400189637242307,0.575223365019999,0.949303471924561,0.0289410901082767,1,0.751714530647235,0.953569731663387,0.548515589828631,0.5,0.575223365019999,0.960842728989352,1,0.330306486101212,0.501297220242338,0.586124681393373,0.403790043881074,1.24356359563554,0.411223640092467,0.975632094323704,2.12167075557928,"sSolve",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-008-shuffled",1,24621,424,25045,68530,159902,9,8,17,1,45686,22843,51396,34259,2.06659856996936,0.266715307164745,2735.66666666667,0.133357653582373,0.133357653582373,2.73627470553005,43.1084905660377,21.5542452830189,37.9668995807546,345.547169811321,172.77358490566,188.273709435035,0.571424997811159,0.371421245512877,0.580643111337061,0.899980300310817,0.057153756675964,1,0.750010944271768,0.900945060237235,0.523127542919904,0.5,0.580643111337061,0.915478925359832,1,0.333328469283525,0.499912447103458,0.584781902434679,0.389829264541976,1.8895069132912,0.409423278241284,0.938854223425676,3.23113096596259,"X2clsQ",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-008-shuffled",1,24621,424,25045,68530,159902,9,8,17,1,45686,22843,51396,34259,2.06659856996936,0.266715307164745,2735.66666666667,0.133357653582373,0.133357653582373,2.73627470553005,43.1084905660377,21.5542452830189,37.9668995807546,345.547169811321,172.77358490566,188.273709435035,0.571424997811159,0.371421245512877,0.580643111337061,0.899980300310817,0.057153756675964,1,0.750010944271768,0.900945060237235,0.523127542919904,0.5,0.580643111337061,0.915478925359832,1,0.333328469283525,0.499912447103458,0.584781902434679,0.389829264541976,1.8895069132912,0.409423278241284,0.938854223425676,3.23113096596259,"quantor",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-008-shuffled",1,24621,424,25045,68530,159902,9,8,17,1,45686,22843,51396,34259,2.06659856996936,0.266715307164745,2735.66666666667,0.133357653582373,0.133357653582373,2.73627470553005,43.1084905660377,21.5542452830189,37.9668995807546,345.547169811321,172.77358490566,188.273709435035,0.571424997811159,0.371421245512877,0.580643111337061,0.899980300310817,0.057153756675964,1,0.750010944271768,0.900945060237235,0.523127542919904,0.5,0.580643111337061,0.915478925359832,1,0.333328469283525,0.499912447103458,0.584781902434679,0.389829264541976,1.8895069132912,0.409423278241284,0.938854223425676,3.23113096596259,"QuBE",3600,"timeout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-008-shuffled",1,24621,424,25045,68530,159902,9,8,17,1,45686,22843,51396,34259,2.06659856996936,0.266715307164745,2735.66666666667,0.133357653582373,0.133357653582373,2.73627470553005,43.1084905660377,21.5542452830189,37.9668995807546,345.547169811321,172.77358490566,188.273709435035,0.571424997811159,0.371421245512877,0.580643111337061,0.899980300310817,0.057153756675964,1,0.750010944271768,0.900945060237235,0.523127542919904,0.5,0.580643111337061,0.915478925359832,1,0.333328469283525,0.499912447103458,0.584781902434679,0.389829264541976,1.8895069132912,0.409423278241284,0.938854223425676,3.23113096596259,"sKizzo",3600,"memout" "biu.mv.xl_ao.bb-b003-p020-MIF04-c05.blif-biu.inv.prop.bb-bmc.with-IOC.unfold-008-shuffled",1,24621,424,25045,68530,159902,9,8,17,1,45686,22843,51396,34259,2.06659856996936,0.266715307164745,2735.66666666667,0.133357653582373,0.133357653582373,2.73627470553005,43.1084905660377,21.5542452830189,37.9668995807546,345.547169811321,172.77358490566,188.273709435035,0.571424997811159,0.371421245512877,0.580643111337061,0.899980300310817,0.057153756675964,1,0.750010944271768,0.900945060237235,0.523127542919904,0.5,0.580643111337061,0.915478925359832,1,0.333328469283525,0.499912447103458,0.584781902434679,0.389829264541976,1.8895069132912,0.409423278241284,0.938854223425676,3.23113096596259,"sSolve",3600,"timeout" "blocks_enc_2_b3_ser--opt-9_-shuffled",1,444,4,448,4839,13743,2,1,3,3,3375,1461,4472,2255,2.71109733415995,0.128952262864228,222,0.0743955362678239,0.0545567265964042,9.26116071428571,156,90,23.8459821428571,312,180,781.925675675676,0.698100851342502,0.275703994760969,0.711182254745026,0.972482801751094,0.0192097795241214,1.36363636363636,0.432457786116323,0.963195022134118,0.685007207600528,0.576923076923077,0.711182254745026,0.981243908073176,1.36363636363636,0.301921884686919,0.466005373010953,0.661212063552469,0.318801152974876,1.87536866140234,0.328974739970282,0.94100503199071,2.83625899280576,"X2clsQ",3600,"timeout" "blocks_enc_2_b3_ser--opt-9_-shuffled",1,444,4,448,4839,13743,2,1,3,3,3375,1461,4472,2255,2.71109733415995,0.128952262864228,222,0.0743955362678239,0.0545567265964042,9.26116071428571,156,90,23.8459821428571,312,180,781.925675675676,0.698100851342502,0.275703994760969,0.711182254745026,0.972482801751094,0.0192097795241214,1.36363636363636,0.432457786116323,0.963195022134118,0.685007207600528,0.576923076923077,0.711182254745026,0.981243908073176,1.36363636363636,0.301921884686919,0.466005373010953,0.661212063552469,0.318801152974876,1.87536866140234,0.328974739970282,0.94100503199071,2.83625899280576,"quantor",0.31,"ok" "blocks_enc_2_b3_ser--opt-9_-shuffled",1,444,4,448,4839,13743,2,1,3,3,3375,1461,4472,2255,2.71109733415995,0.128952262864228,222,0.0743955362678239,0.0545567265964042,9.26116071428571,156,90,23.8459821428571,312,180,781.925675675676,0.698100851342502,0.275703994760969,0.711182254745026,0.972482801751094,0.0192097795241214,1.36363636363636,0.432457786116323,0.963195022134118,0.685007207600528,0.576923076923077,0.711182254745026,0.981243908073176,1.36363636363636,0.301921884686919,0.466005373010953,0.661212063552469,0.318801152974876,1.87536866140234,0.328974739970282,0.94100503199071,2.83625899280576,"QuBE",3600,"timeout" "blocks_enc_2_b3_ser--opt-9_-shuffled",1,444,4,448,4839,13743,2,1,3,3,3375,1461,4472,2255,2.71109733415995,0.128952262864228,222,0.0743955362678239,0.0545567265964042,9.26116071428571,156,90,23.8459821428571,312,180,781.925675675676,0.698100851342502,0.275703994760969,0.711182254745026,0.972482801751094,0.0192097795241214,1.36363636363636,0.432457786116323,0.963195022134118,0.685007207600528,0.576923076923077,0.711182254745026,0.981243908073176,1.36363636363636,0.301921884686919,0.466005373010953,0.661212063552469,0.318801152974876,1.87536866140234,0.328974739970282,0.94100503199071,2.83625899280576,"sKizzo",0.19,"ok" "blocks_enc_2_b3_ser--opt-9_-shuffled",1,444,4,448,4839,13743,2,1,3,3,3375,1461,4472,2255,2.71109733415995,0.128952262864228,222,0.0743955362678239,0.0545567265964042,9.26116071428571,156,90,23.8459821428571,312,180,781.925675675676,0.698100851342502,0.275703994760969,0.711182254745026,0.972482801751094,0.0192097795241214,1.36363636363636,0.432457786116323,0.963195022134118,0.685007207600528,0.576923076923077,0.711182254745026,0.981243908073176,1.36363636363636,0.301921884686919,0.466005373010953,0.661212063552469,0.318801152974876,1.87536866140234,0.328974739970282,0.94100503199071,2.83625899280576,"sSolve",3600,"timeout" "blocks_enc_2_b4_ser--opt-26_-shuffled",1,3036,7,3043,57130,152916,2,1,3,4,45864,11262,54618,17663,2.49774199194819,0.178890250306319,1518,0.103973394013653,0.0749168562926659,11.8685507722642,1460,848.571428571429,29.9625369700953,2920,1697.14285714286,1863.89328063241,0.763818043893379,0.197337100107248,0.78852946123227,0.963356164383562,0.0279892228412985,1.38785046728972,0.309212328767123,0.935317489488748,0.737525396067682,0.581213307240705,0.78852946123227,0.965577341310665,1.38785046728972,0.197129354104674,0.309172063714336,0.698603691754377,0.262729511687225,12.3008485141558,0.281119714115545,0.871707796344715,17.6077633991099,"X2clsQ",3600,"timeout" "blocks_enc_2_b4_ser--opt-26_-shuffled",1,3036,7,3043,57130,152916,2,1,3,4,45864,11262,54618,17663,2.49774199194819,0.178890250306319,1518,0.103973394013653,0.0749168562926659,11.8685507722642,1460,848.571428571429,29.9625369700953,2920,1697.14285714286,1863.89328063241,0.763818043893379,0.197337100107248,0.78852946123227,0.963356164383562,0.0279892228412985,1.38785046728972,0.309212328767123,0.935317489488748,0.737525396067682,0.581213307240705,0.78852946123227,0.965577341310665,1.38785046728972,0.197129354104674,0.309172063714336,0.698603691754377,0.262729511687225,12.3008485141558,0.281119714115545,0.871707796344715,17.6077633991099,"quantor",3600,"timeout" "blocks_enc_2_b4_ser--opt-26_-shuffled",1,3036,7,3043,57130,152916,2,1,3,4,45864,11262,54618,17663,2.49774199194819,0.178890250306319,1518,0.103973394013653,0.0749168562926659,11.8685507722642,1460,848.571428571429,29.9625369700953,2920,1697.14285714286,1863.89328063241,0.763818043893379,0.197337100107248,0.78852946123227,0.963356164383562,0.0279892228412985,1.38785046728972,0.309212328767123,0.935317489488748,0.737525396067682,0.581213307240705,0.78852946123227,0.965577341310665,1.38785046728972,0.197129354104674,0.309172063714336,0.698603691754377,0.262729511687225,12.3008485141558,0.281119714115545,0.871707796344715,17.6077633991099,"QuBE",3600,"timeout" "blocks_enc_2_b4_ser--opt-26_-shuffled",1,3036,7,3043,57130,152916,2,1,3,4,45864,11262,54618,17663,2.49774199194819,0.178890250306319,1518,0.103973394013653,0.0749168562926659,11.8685507722642,1460,848.571428571429,29.9625369700953,2920,1697.14285714286,1863.89328063241,0.763818043893379,0.197337100107248,0.78852946123227,0.963356164383562,0.0279892228412985,1.38785046728972,0.309212328767123,0.935317489488748,0.737525396067682,0.581213307240705,0.78852946123227,0.965577341310665,1.38785046728972,0.197129354104674,0.309172063714336,0.698603691754377,0.262729511687225,12.3008485141558,0.281119714115545,0.871707796344715,17.6077633991099,"sKizzo",3600,"memout" "blocks_enc_2_b4_ser--opt-26_-shuffled",1,3036,7,3043,57130,152916,2,1,3,4,45864,11262,54618,17663,2.49774199194819,0.178890250306319,1518,0.103973394013653,0.0749168562926659,11.8685507722642,1460,848.571428571429,29.9625369700953,2920,1697.14285714286,1863.89328063241,0.763818043893379,0.197337100107248,0.78852946123227,0.963356164383562,0.0279892228412985,1.38785046728972,0.309212328767123,0.935317489488748,0.737525396067682,0.581213307240705,0.78852946123227,0.965577341310665,1.38785046728972,0.197129354104674,0.309172063714336,0.698603691754377,0.262729511687225,12.3008485141558,0.281119714115545,0.871707796344715,17.6077633991099,"sSolve",3600,"timeout" "BLOCKS3i.5.3-shuffled",1,282,4,286,2892,9165,2,1,3,3,1578,1311,1989,1273,3.08609958506224,0.0829875518672199,141,0.0494467496542185,0.0335408022130014,12.5944055944056,60,35.75,30.9895104895105,120,71.5,969.021276595745,0.606983087834152,0.377414075286416,0.612436974789916,0.982563365090778,0.0105837424986361,1.47422680412371,0.647492360237282,0.98762637694281,0.60485891051758,0.595833333333333,0.612436974789916,0.996500434099158,1.47422680412371,0.453319502074689,0.440179806362379,0.6224494142705,0.370549928624839,0.590883919062833,0.372994129158513,0.98145769767213,0.949288256227758,"X2clsQ",3600,"timeout" "BLOCKS3i.5.3-shuffled",1,282,4,286,2892,9165,2,1,3,3,1578,1311,1989,1273,3.08609958506224,0.0829875518672199,141,0.0494467496542185,0.0335408022130014,12.5944055944056,60,35.75,30.9895104895105,120,71.5,969.021276595745,0.606983087834152,0.377414075286416,0.612436974789916,0.982563365090778,0.0105837424986361,1.47422680412371,0.647492360237282,0.98762637694281,0.60485891051758,0.595833333333333,0.612436974789916,0.996500434099158,1.47422680412371,0.453319502074689,0.440179806362379,0.6224494142705,0.370549928624839,0.590883919062833,0.372994129158513,0.98145769767213,0.949288256227758,"quantor",0.26,"ok" "BLOCKS3i.5.3-shuffled",1,282,4,286,2892,9165,2,1,3,3,1578,1311,1989,1273,3.08609958506224,0.0829875518672199,141,0.0494467496542185,0.0335408022130014,12.5944055944056,60,35.75,30.9895104895105,120,71.5,969.021276595745,0.606983087834152,0.377414075286416,0.612436974789916,0.982563365090778,0.0105837424986361,1.47422680412371,0.647492360237282,0.98762637694281,0.60485891051758,0.595833333333333,0.612436974789916,0.996500434099158,1.47422680412371,0.453319502074689,0.440179806362379,0.6224494142705,0.370549928624839,0.590883919062833,0.372994129158513,0.98145769767213,0.949288256227758,"QuBE",524.71,"ok" "BLOCKS3i.5.3-shuffled",1,282,4,286,2892,9165,2,1,3,3,1578,1311,1989,1273,3.08609958506224,0.0829875518672199,141,0.0494467496542185,0.0335408022130014,12.5944055944056,60,35.75,30.9895104895105,120,71.5,969.021276595745,0.606983087834152,0.377414075286416,0.612436974789916,0.982563365090778,0.0105837424986361,1.47422680412371,0.647492360237282,0.98762637694281,0.60485891051758,0.595833333333333,0.612436974789916,0.996500434099158,1.47422680412371,0.453319502074689,0.440179806362379,0.6224494142705,0.370549928624839,0.590883919062833,0.372994129158513,0.98145769767213,0.949288256227758,"sKizzo",0.06,"ok" "BLOCKS3i.5.3-shuffled",1,282,4,286,2892,9165,2,1,3,3,1578,1311,1989,1273,3.08609958506224,0.0829875518672199,141,0.0494467496542185,0.0335408022130014,12.5944055944056,60,35.75,30.9895104895105,120,71.5,969.021276595745,0.606983087834152,0.377414075286416,0.612436974789916,0.982563365090778,0.0105837424986361,1.47422680412371,0.647492360237282,0.98762637694281,0.60485891051758,0.595833333333333,0.612436974789916,0.996500434099158,1.47422680412371,0.453319502074689,0.440179806362379,0.6224494142705,0.370549928624839,0.590883919062833,0.372994129158513,0.98145769767213,0.949288256227758,"sSolve",3600,"timeout" "BLOCKS3ii.5.2-shuffled",1,278,4,282,2707,7451,2,1,3,3,1651,1053,2044,1339,2.66383450314001,0.0886590321388992,139,0.0528260066494274,0.0358330254894717,9.59219858156028,60,35.75,24.6950354609929,120,71.5,744.593525179856,0.636961481680311,0.343846463561938,0.644709471640549,0.979561736198904,0.013018386793719,1.47422680412371,0.569953645174884,0.981714588066495,0.632920693374169,0.595833333333333,0.644709471640549,0.993656149669392,1.47422680412371,0.38899150350942,0.494643516808275,0.661958157371001,0.32882317998812,0.663899810688801,0.331892053078873,0.972729226153827,1.00293319645083,"X2clsQ",0.11,"ok" "BLOCKS3ii.5.2-shuffled",1,278,4,282,2707,7451,2,1,3,3,1651,1053,2044,1339,2.66383450314001,0.0886590321388992,139,0.0528260066494274,0.0358330254894717,9.59219858156028,60,35.75,24.6950354609929,120,71.5,744.593525179856,0.636961481680311,0.343846463561938,0.644709471640549,0.979561736198904,0.013018386793719,1.47422680412371,0.569953645174884,0.981714588066495,0.632920693374169,0.595833333333333,0.644709471640549,0.993656149669392,1.47422680412371,0.38899150350942,0.494643516808275,0.661958157371001,0.32882317998812,0.663899810688801,0.331892053078873,0.972729226153827,1.00293319645083,"quantor",0.18,"ok" "BLOCKS3ii.5.2-shuffled",1,278,4,282,2707,7451,2,1,3,3,1651,1053,2044,1339,2.66383450314001,0.0886590321388992,139,0.0528260066494274,0.0358330254894717,9.59219858156028,60,35.75,24.6950354609929,120,71.5,744.593525179856,0.636961481680311,0.343846463561938,0.644709471640549,0.979561736198904,0.013018386793719,1.47422680412371,0.569953645174884,0.981714588066495,0.632920693374169,0.595833333333333,0.644709471640549,0.993656149669392,1.47422680412371,0.38899150350942,0.494643516808275,0.661958157371001,0.32882317998812,0.663899810688801,0.331892053078873,0.972729226153827,1.00293319645083,"QuBE",1.98,"ok" "BLOCKS3ii.5.2-shuffled",1,278,4,282,2707,7451,2,1,3,3,1651,1053,2044,1339,2.66383450314001,0.0886590321388992,139,0.0528260066494274,0.0358330254894717,9.59219858156028,60,35.75,24.6950354609929,120,71.5,744.593525179856,0.636961481680311,0.343846463561938,0.644709471640549,0.979561736198904,0.013018386793719,1.47422680412371,0.569953645174884,0.981714588066495,0.632920693374169,0.595833333333333,0.644709471640549,0.993656149669392,1.47422680412371,0.38899150350942,0.494643516808275,0.661958157371001,0.32882317998812,0.663899810688801,0.331892053078873,0.972729226153827,1.00293319645083,"sKizzo",0.07,"ok" "BLOCKS3ii.5.2-shuffled",1,278,4,282,2707,7451,2,1,3,3,1651,1053,2044,1339,2.66383450314001,0.0886590321388992,139,0.0528260066494274,0.0358330254894717,9.59219858156028,60,35.75,24.6950354609929,120,71.5,744.593525179856,0.636961481680311,0.343846463561938,0.644709471640549,0.979561736198904,0.013018386793719,1.47422680412371,0.569953645174884,0.981714588066495,0.632920693374169,0.595833333333333,0.644709471640549,0.993656149669392,1.47422680412371,0.38899150350942,0.494643516808275,0.661958157371001,0.32882317998812,0.663899810688801,0.331892053078873,0.972729226153827,1.00293319645083,"sSolve",21.32,"ok" "BLOCKS3iii.5-shuffled",1,252,4,256,1835,4310,2,1,3,2,1575,258,1679,759,2.21798365122616,0.130790190735695,126,0.0779291553133515,0.0528610354223433,4.99609375,60,35.75,13.8671875,120,71.5,211.5,0.703248259860789,0.263573085846868,0.720884520884521,0.96799736060706,0.0225058004640371,1.47422680412371,0.421972946222369,0.959304680882407,0.691547895260192,0.595833333333333,0.720884520884521,0.983362398077014,1.47422680412371,0.140599455040872,0.413623978201635,0.713755845831318,0.267360871134456,1.00112885018545,0.273779567186714,0.934030851777331,1.40262087663805,"X2clsQ",0.06,"ok" "BLOCKS3iii.5-shuffled",1,252,4,256,1835,4310,2,1,3,2,1575,258,1679,759,2.21798365122616,0.130790190735695,126,0.0779291553133515,0.0528610354223433,4.99609375,60,35.75,13.8671875,120,71.5,211.5,0.703248259860789,0.263573085846868,0.720884520884521,0.96799736060706,0.0225058004640371,1.47422680412371,0.421972946222369,0.959304680882407,0.691547895260192,0.595833333333333,0.720884520884521,0.983362398077014,1.47422680412371,0.140599455040872,0.413623978201635,0.713755845831318,0.267360871134456,1.00112885018545,0.273779567186714,0.934030851777331,1.40262087663805,"quantor",0.1,"ok" "BLOCKS3iii.5-shuffled",1,252,4,256,1835,4310,2,1,3,2,1575,258,1679,759,2.21798365122616,0.130790190735695,126,0.0779291553133515,0.0528610354223433,4.99609375,60,35.75,13.8671875,120,71.5,211.5,0.703248259860789,0.263573085846868,0.720884520884521,0.96799736060706,0.0225058004640371,1.47422680412371,0.421972946222369,0.959304680882407,0.691547895260192,0.595833333333333,0.720884520884521,0.983362398077014,1.47422680412371,0.140599455040872,0.413623978201635,0.713755845831318,0.267360871134456,1.00112885018545,0.273779567186714,0.934030851777331,1.40262087663805,"QuBE",1.85,"ok" "BLOCKS3iii.5-shuffled",1,252,4,256,1835,4310,2,1,3,2,1575,258,1679,759,2.21798365122616,0.130790190735695,126,0.0779291553133515,0.0528610354223433,4.99609375,60,35.75,13.8671875,120,71.5,211.5,0.703248259860789,0.263573085846868,0.720884520884521,0.96799736060706,0.0225058004640371,1.47422680412371,0.421972946222369,0.959304680882407,0.691547895260192,0.595833333333333,0.720884520884521,0.983362398077014,1.47422680412371,0.140599455040872,0.413623978201635,0.713755845831318,0.267360871134456,1.00112885018545,0.273779567186714,0.934030851777331,1.40262087663805,"sKizzo",0.04,"ok" "BLOCKS3iii.5-shuffled",1,252,4,256,1835,4310,2,1,3,2,1575,258,1679,759,2.21798365122616,0.130790190735695,126,0.0779291553133515,0.0528610354223433,4.99609375,60,35.75,13.8671875,120,71.5,211.5,0.703248259860789,0.263573085846868,0.720884520884521,0.96799736060706,0.0225058004640371,1.47422680412371,0.421972946222369,0.959304680882407,0.691547895260192,0.595833333333333,0.720884520884521,0.983362398077014,1.47422680412371,0.140599455040872,0.413623978201635,0.713755845831318,0.267360871134456,1.00112885018545,0.273779567186714,0.934030851777331,1.40262087663805,"sSolve",0.89,"ok" "BLOCKS4ii.7.2-shuffled",1,908,7,915,15047,40542,2,1,3,4,10638,4405,12262,5329,2.49524822223699,0.199109457034625,454,0.117033295673556,0.0820761613610687,13.6021857923497,428,251.571428571429,34.2655737704918,856,503.142857142857,2159.69273127753,0.693009718316807,0.263553845394899,0.715415756671816,0.956043564920273,0.0304622366928124,1.42591093117409,0.442981207289294,0.93324087469415,0.667655226526383,0.587783711615487,0.715415756671816,0.963413944826046,1.42591093117409,0.29274938525952,0.354156974812255,0.720795412043386,0.249751154713528,2.87516951675322,0.261810690297454,0.894509494064392,3.98888431961905,"X2clsQ",3600,"timeout" "BLOCKS4ii.7.2-shuffled",1,908,7,915,15047,40542,2,1,3,4,10638,4405,12262,5329,2.49524822223699,0.199109457034625,454,0.117033295673556,0.0820761613610687,13.6021857923497,428,251.571428571429,34.2655737704918,856,503.142857142857,2159.69273127753,0.693009718316807,0.263553845394899,0.715415756671816,0.956043564920273,0.0304622366928124,1.42591093117409,0.442981207289294,0.93324087469415,0.667655226526383,0.587783711615487,0.715415756671816,0.963413944826046,1.42591093117409,0.29274938525952,0.354156974812255,0.720795412043386,0.249751154713528,2.87516951675322,0.261810690297454,0.894509494064392,3.98888431961905,"quantor",24.74,"ok" "BLOCKS4ii.7.2-shuffled",1,908,7,915,15047,40542,2,1,3,4,10638,4405,12262,5329,2.49524822223699,0.199109457034625,454,0.117033295673556,0.0820761613610687,13.6021857923497,428,251.571428571429,34.2655737704918,856,503.142857142857,2159.69273127753,0.693009718316807,0.263553845394899,0.715415756671816,0.956043564920273,0.0304622366928124,1.42591093117409,0.442981207289294,0.93324087469415,0.667655226526383,0.587783711615487,0.715415756671816,0.963413944826046,1.42591093117409,0.29274938525952,0.354156974812255,0.720795412043386,0.249751154713528,2.87516951675322,0.261810690297454,0.894509494064392,3.98888431961905,"QuBE",3600,"timeout" "BLOCKS4ii.7.2-shuffled",1,908,7,915,15047,40542,2,1,3,4,10638,4405,12262,5329,2.49524822223699,0.199109457034625,454,0.117033295673556,0.0820761613610687,13.6021857923497,428,251.571428571429,34.2655737704918,856,503.142857142857,2159.69273127753,0.693009718316807,0.263553845394899,0.715415756671816,0.956043564920273,0.0304622366928124,1.42591093117409,0.442981207289294,0.93324087469415,0.667655226526383,0.587783711615487,0.715415756671816,0.963413944826046,1.42591093117409,0.29274938525952,0.354156974812255,0.720795412043386,0.249751154713528,2.87516951675322,0.261810690297454,0.894509494064392,3.98888431961905,"sKizzo",10.87,"ok" "BLOCKS4ii.7.2-shuffled",1,908,7,915,15047,40542,2,1,3,4,10638,4405,12262,5329,2.49524822223699,0.199109457034625,454,0.117033295673556,0.0820761613610687,13.6021857923497,428,251.571428571429,34.2655737704918,856,503.142857142857,2159.69273127753,0.693009718316807,0.263553845394899,0.715415756671816,0.956043564920273,0.0304622366928124,1.42591093117409,0.442981207289294,0.93324087469415,0.667655226526383,0.587783711615487,0.715415756671816,0.963413944826046,1.42591093117409,0.29274938525952,0.354156974812255,0.720795412043386,0.249751154713528,2.87516951675322,0.261810690297454,0.894509494064392,3.98888431961905,"sSolve",3600,"timeout" "BLOCKS4iii.7-shuffled",1,848,7,855,11303,27055,2,1,3,3,10260,1040,10635,2839,2.12854994249314,0.265062372821375,424,0.155799345306556,0.109263027514819,7.43391812865497,428,251.571428571429,19.5684210526316,856,503.142857142857,477.778301886792,0.765071151358344,0.169839216411015,0.809011180847084,0.940335281897676,0.0456477545740159,1.42591093117409,0.307067974298275,0.896603224389172,0.725362033314388,0.587783711615487,0.809011180847084,0.948097483517115,1.42591093117409,0.092010970538795,0.251172255153499,0.782762247296051,0.172923335842785,3.91722421209418,0.1859767687434,0.796009596354299,5.00436016890915,"X2clsQ",3600,"timeout" "BLOCKS4iii.7-shuffled",1,848,7,855,11303,27055,2,1,3,3,10260,1040,10635,2839,2.12854994249314,0.265062372821375,424,0.155799345306556,0.109263027514819,7.43391812865497,428,251.571428571429,19.5684210526316,856,503.142857142857,477.778301886792,0.765071151358344,0.169839216411015,0.809011180847084,0.940335281897676,0.0456477545740159,1.42591093117409,0.307067974298275,0.896603224389172,0.725362033314388,0.587783711615487,0.809011180847084,0.948097483517115,1.42591093117409,0.092010970538795,0.251172255153499,0.782762247296051,0.172923335842785,3.91722421209418,0.1859767687434,0.796009596354299,5.00436016890915,"quantor",15.53,"ok" "BLOCKS4iii.7-shuffled",1,848,7,855,11303,27055,2,1,3,3,10260,1040,10635,2839,2.12854994249314,0.265062372821375,424,0.155799345306556,0.109263027514819,7.43391812865497,428,251.571428571429,19.5684210526316,856,503.142857142857,477.778301886792,0.765071151358344,0.169839216411015,0.809011180847084,0.940335281897676,0.0456477545740159,1.42591093117409,0.307067974298275,0.896603224389172,0.725362033314388,0.587783711615487,0.809011180847084,0.948097483517115,1.42591093117409,0.092010970538795,0.251172255153499,0.782762247296051,0.172923335842785,3.91722421209418,0.1859767687434,0.796009596354299,5.00436016890915,"QuBE",3600,"timeout" "BLOCKS4iii.7-shuffled",1,848,7,855,11303,27055,2,1,3,3,10260,1040,10635,2839,2.12854994249314,0.265062372821375,424,0.155799345306556,0.109263027514819,7.43391812865497,428,251.571428571429,19.5684210526316,856,503.142857142857,477.778301886792,0.765071151358344,0.169839216411015,0.809011180847084,0.940335281897676,0.0456477545740159,1.42591093117409,0.307067974298275,0.896603224389172,0.725362033314388,0.587783711615487,0.809011180847084,0.948097483517115,1.42591093117409,0.092010970538795,0.251172255153499,0.782762247296051,0.172923335842785,3.91722421209418,0.1859767687434,0.796009596354299,5.00436016890915,"sKizzo",12.01,"ok" "BLOCKS4iii.7-shuffled",1,848,7,855,11303,27055,2,1,3,3,10260,1040,10635,2839,2.12854994249314,0.265062372821375,424,0.155799345306556,0.109263027514819,7.43391812865497,428,251.571428571429,19.5684210526316,856,503.142857142857,477.778301886792,0.765071151358344,0.169839216411015,0.809011180847084,0.940335281897676,0.0456477545740159,1.42591093117409,0.307067974298275,0.896603224389172,0.725362033314388,0.587783711615487,0.809011180847084,0.948097483517115,1.42591093117409,0.092010970538795,0.251172255153499,0.782762247296051,0.172923335842785,3.91722421209418,0.1859767687434,0.796009596354299,5.00436016890915,"sSolve",3600,"timeout" "c1_BMC_p1_k2048-shuffled",1,2202774,4,2202778,5534890,12731986,2,1,3,12034,4146984,1375872,4602842,4372826,2.23315621448665,0.0671579742325502,1101387,0.0335789871162751,0.0335789871162751,2.81688349892726,92928,46464,8.32408939983966,185856,92928,137.402126591289,0.512647202094002,0.472755232373017,0.513027542916929,0.971525123957851,0.0145975655329813,1,0.950659236830546,0.970806631810972,0.498050540965442,0.5,0.513027542916929,0.971526888142691,1,0.248581633962012,0.790047498685611,0.512834556720897,0.477290434861611,5438.59011340178,0.486906830260457,0.979729661547781,10604.9602978718,"X2clsQ",3600,"memout" "c1_BMC_p1_k2048-shuffled",1,2202774,4,2202778,5534890,12731986,2,1,3,12034,4146984,1375872,4602842,4372826,2.23315621448665,0.0671579742325502,1101387,0.0335789871162751,0.0335789871162751,2.81688349892726,92928,46464,8.32408939983966,185856,92928,137.402126591289,0.512647202094002,0.472755232373017,0.513027542916929,0.971525123957851,0.0145975655329813,1,0.950659236830546,0.970806631810972,0.498050540965442,0.5,0.513027542916929,0.971526888142691,1,0.248581633962012,0.790047498685611,0.512834556720897,0.477290434861611,5438.59011340178,0.486906830260457,0.979729661547781,10604.9602978718,"quantor",261.3,"ok" "c1_BMC_p1_k2048-shuffled",1,2202774,4,2202778,5534890,12731986,2,1,3,12034,4146984,1375872,4602842,4372826,2.23315621448665,0.0671579742325502,1101387,0.0335789871162751,0.0335789871162751,2.81688349892726,92928,46464,8.32408939983966,185856,92928,137.402126591289,0.512647202094002,0.472755232373017,0.513027542916929,0.971525123957851,0.0145975655329813,1,0.950659236830546,0.970806631810972,0.498050540965442,0.5,0.513027542916929,0.971526888142691,1,0.248581633962012,0.790047498685611,0.512834556720897,0.477290434861611,5438.59011340178,0.486906830260457,0.979729661547781,10604.9602978718,"QuBE",3600,"timeout" "c1_BMC_p1_k2048-shuffled",1,2202774,4,2202778,5534890,12731986,2,1,3,12034,4146984,1375872,4602842,4372826,2.23315621448665,0.0671579742325502,1101387,0.0335789871162751,0.0335789871162751,2.81688349892726,92928,46464,8.32408939983966,185856,92928,137.402126591289,0.512647202094002,0.472755232373017,0.513027542916929,0.971525123957851,0.0145975655329813,1,0.950659236830546,0.970806631810972,0.498050540965442,0.5,0.513027542916929,0.971526888142691,1,0.248581633962012,0.790047498685611,0.512834556720897,0.477290434861611,5438.59011340178,0.486906830260457,0.979729661547781,10604.9602978718,"sKizzo",3600,"memout" "c1_BMC_p1_k2048-shuffled",1,2202774,4,2202778,5534890,12731986,2,1,3,12034,4146984,1375872,4602842,4372826,2.23315621448665,0.0671579742325502,1101387,0.0335789871162751,0.0335789871162751,2.81688349892726,92928,46464,8.32408939983966,185856,92928,137.402126591289,0.512647202094002,0.472755232373017,0.513027542916929,0.971525123957851,0.0145975655329813,1,0.950659236830546,0.970806631810972,0.498050540965442,0.5,0.513027542916929,0.971526888142691,1,0.248581633962012,0.790047498685611,0.512834556720897,0.477290434861611,5438.59011340178,0.486906830260457,0.979729661547781,10604.9602978718,"sSolve",3600,"timeout" "c1_BMC_p2_k1024-shuffled",1,1112278,4,1112282,2812458,6641874,2,1,3,6018,2072040,734400,2302874,2187866,2.22942422606844,0.132166240349189,556139,0.0660831201745946,0.0660831201745946,2.91331245133878,92928,46464,8.4892940818965,185856,92928,140.48267968979,0.512121880059754,0.459895655954931,0.51284049758204,0.94535975697416,0.027982463985315,1,0.952660175119486,0.944038466990241,0.484141157147862,0.5,0.51284049758204,0.945363156698894,1,0.261123899450232,0.77791952804273,0.512470847607271,0.468338816790493,5336.7345548984,0.487031363743895,0.960637562886132,10413.7329563538,"X2clsQ",3600,"memout" "c1_BMC_p2_k1024-shuffled",1,1112278,4,1112282,2812458,6641874,2,1,3,6018,2072040,734400,2302874,2187866,2.22942422606844,0.132166240349189,556139,0.0660831201745946,0.0660831201745946,2.91331245133878,92928,46464,8.4892940818965,185856,92928,140.48267968979,0.512121880059754,0.459895655954931,0.51284049758204,0.94535975697416,0.027982463985315,1,0.952660175119486,0.944038466990241,0.484141157147862,0.5,0.51284049758204,0.945363156698894,1,0.261123899450232,0.77791952804273,0.512470847607271,0.468338816790493,5336.7345548984,0.487031363743895,0.960637562886132,10413.7329563538,"quantor",80.07,"ok" "c1_BMC_p2_k1024-shuffled",1,1112278,4,1112282,2812458,6641874,2,1,3,6018,2072040,734400,2302874,2187866,2.22942422606844,0.132166240349189,556139,0.0660831201745946,0.0660831201745946,2.91331245133878,92928,46464,8.4892940818965,185856,92928,140.48267968979,0.512121880059754,0.459895655954931,0.51284049758204,0.94535975697416,0.027982463985315,1,0.952660175119486,0.944038466990241,0.484141157147862,0.5,0.51284049758204,0.945363156698894,1,0.261123899450232,0.77791952804273,0.512470847607271,0.468338816790493,5336.7345548984,0.487031363743895,0.960637562886132,10413.7329563538,"QuBE",3600,"timeout" "c1_BMC_p2_k1024-shuffled",1,1112278,4,1112282,2812458,6641874,2,1,3,6018,2072040,734400,2302874,2187866,2.22942422606844,0.132166240349189,556139,0.0660831201745946,0.0660831201745946,2.91331245133878,92928,46464,8.4892940818965,185856,92928,140.48267968979,0.512121880059754,0.459895655954931,0.51284049758204,0.94535975697416,0.027982463985315,1,0.952660175119486,0.944038466990241,0.484141157147862,0.5,0.51284049758204,0.945363156698894,1,0.261123899450232,0.77791952804273,0.512470847607271,0.468338816790493,5336.7345548984,0.487031363743895,0.960637562886132,10413.7329563538,"sKizzo",597.69,"ok" "c1_BMC_p2_k1024-shuffled",1,1112278,4,1112282,2812458,6641874,2,1,3,6018,2072040,734400,2302874,2187866,2.22942422606844,0.132166240349189,556139,0.0660831201745946,0.0660831201745946,2.91331245133878,92928,46464,8.4892940818965,185856,92928,140.48267968979,0.512121880059754,0.459895655954931,0.51284049758204,0.94535975697416,0.027982463985315,1,0.952660175119486,0.944038466990241,0.484141157147862,0.5,0.51284049758204,0.945363156698894,1,0.261123899450232,0.77791952804273,0.512470847607271,0.468338816790493,5336.7345548984,0.487031363743895,0.960637562886132,10413.7329563538,"sSolve",15.74,"ok" "c1_BMC_p2_k128-shuffled",1,158094,4,158098,430330,1313026,2,1,3,754,256464,173112,290402,276026,2.18742360514024,0.863783607928799,79047,0.431891803964399,0.431891803964399,4.08891320573315,92928,46464,10.5033650014548,185856,92928,178.937960959935,0.507664737788894,0.350787417766289,0.510691437713664,0.721178498508049,0.141547844444817,1,0.969803938629746,0.716922449795228,0.366126156615127,0.5,0.510691437713664,0.721196745335848,1,0.402277322055167,0.641428671019915,0.508928566147746,0.381156091306036,4344.73011255932,0.488555321631397,0.776172395767399,8537.01364308563,"X2clsQ",3600,"memout" "c1_BMC_p2_k128-shuffled",1,158094,4,158098,430330,1313026,2,1,3,754,256464,173112,290402,276026,2.18742360514024,0.863783607928799,79047,0.431891803964399,0.431891803964399,4.08891320573315,92928,46464,10.5033650014548,185856,92928,178.937960959935,0.507664737788894,0.350787417766289,0.510691437713664,0.721178498508049,0.141547844444817,1,0.969803938629746,0.716922449795228,0.366126156615127,0.5,0.510691437713664,0.721196745335848,1,0.402277322055167,0.641428671019915,0.508928566147746,0.381156091306036,4344.73011255932,0.488555321631397,0.776172395767399,8537.01364308563,"quantor",15.34,"ok" "c1_BMC_p2_k128-shuffled",1,158094,4,158098,430330,1313026,2,1,3,754,256464,173112,290402,276026,2.18742360514024,0.863783607928799,79047,0.431891803964399,0.431891803964399,4.08891320573315,92928,46464,10.5033650014548,185856,92928,178.937960959935,0.507664737788894,0.350787417766289,0.510691437713664,0.721178498508049,0.141547844444817,1,0.969803938629746,0.716922449795228,0.366126156615127,0.5,0.510691437713664,0.721196745335848,1,0.402277322055167,0.641428671019915,0.508928566147746,0.381156091306036,4344.73011255932,0.488555321631397,0.776172395767399,8537.01364308563,"QuBE",3600,"timeout" "c1_BMC_p2_k128-shuffled",1,158094,4,158098,430330,1313026,2,1,3,754,256464,173112,290402,276026,2.18742360514024,0.863783607928799,79047,0.431891803964399,0.431891803964399,4.08891320573315,92928,46464,10.5033650014548,185856,92928,178.937960959935,0.507664737788894,0.350787417766289,0.510691437713664,0.721178498508049,0.141547844444817,1,0.969803938629746,0.716922449795228,0.366126156615127,0.5,0.510691437713664,0.721196745335848,1,0.402277322055167,0.641428671019915,0.508928566147746,0.381156091306036,4344.73011255932,0.488555321631397,0.776172395767399,8537.01364308563,"sKizzo",37.5,"ok" "c1_BMC_p2_k128-shuffled",1,158094,4,158098,430330,1313026,2,1,3,754,256464,173112,290402,276026,2.18742360514024,0.863783607928799,79047,0.431891803964399,0.431891803964399,4.08891320573315,92928,46464,10.5033650014548,185856,92928,178.937960959935,0.507664737788894,0.350787417766289,0.510691437713664,0.721178498508049,0.141547844444817,1,0.969803938629746,0.716922449795228,0.366126156615127,0.5,0.510691437713664,0.721196745335848,1,0.402277322055167,0.641428671019915,0.508928566147746,0.381156091306036,4344.73011255932,0.488555321631397,0.776172395767399,8537.01364308563,"sSolve",510.52,"ok" "c1_BMC_p2_k8-shuffled",1,28656,3,28659,89004,327480,2,1,3,96,32421,56487,41747,39950,2.11325333692868,1.56613185924228,14328,0.783065929621141,0.783065929621141,5.66949300394291,46464,23232,12.9552322132663,92928,46464,359.609261585706,0.503841455966777,0.283333333333333,0.506688358640636,0.577594879937939,0.21282521069989,1,0.984751330319155,0.574409707324948,0.291046711791726,0.5,0.506688358640636,0.577655348413644,1,0.634656869354186,0.448856231180621,0.505031221837689,0.309173883506911,1775.20780252654,0.49199290521331,0.624633102424748,3515.04565612198,"X2clsQ",3600,"timeout" "c1_BMC_p2_k8-shuffled",1,28656,3,28659,89004,327480,2,1,3,96,32421,56487,41747,39950,2.11325333692868,1.56613185924228,14328,0.783065929621141,0.783065929621141,5.66949300394291,46464,23232,12.9552322132663,92928,46464,359.609261585706,0.503841455966777,0.283333333333333,0.506688358640636,0.577594879937939,0.21282521069989,1,0.984751330319155,0.574409707324948,0.291046711791726,0.5,0.506688358640636,0.577655348413644,1,0.634656869354186,0.448856231180621,0.505031221837689,0.309173883506911,1775.20780252654,0.49199290521331,0.624633102424748,3515.04565612198,"quantor",2.04,"ok" "c1_BMC_p2_k8-shuffled",1,28656,3,28659,89004,327480,2,1,3,96,32421,56487,41747,39950,2.11325333692868,1.56613185924228,14328,0.783065929621141,0.783065929621141,5.66949300394291,46464,23232,12.9552322132663,92928,46464,359.609261585706,0.503841455966777,0.283333333333333,0.506688358640636,0.577594879937939,0.21282521069989,1,0.984751330319155,0.574409707324948,0.291046711791726,0.5,0.506688358640636,0.577655348413644,1,0.634656869354186,0.448856231180621,0.505031221837689,0.309173883506911,1775.20780252654,0.49199290521331,0.624633102424748,3515.04565612198,"QuBE",2656.87,"ok" "c1_BMC_p2_k8-shuffled",1,28656,3,28659,89004,327480,2,1,3,96,32421,56487,41747,39950,2.11325333692868,1.56613185924228,14328,0.783065929621141,0.783065929621141,5.66949300394291,46464,23232,12.9552322132663,92928,46464,359.609261585706,0.503841455966777,0.283333333333333,0.506688358640636,0.577594879937939,0.21282521069989,1,0.984751330319155,0.574409707324948,0.291046711791726,0.5,0.506688358640636,0.577655348413644,1,0.634656869354186,0.448856231180621,0.505031221837689,0.309173883506911,1775.20780252654,0.49199290521331,0.624633102424748,3515.04565612198,"sKizzo",25.8,"ok" "c1_BMC_p2_k8-shuffled",1,28656,3,28659,89004,327480,2,1,3,96,32421,56487,41747,39950,2.11325333692868,1.56613185924228,14328,0.783065929621141,0.783065929621141,5.66949300394291,46464,23232,12.9552322132663,92928,46464,359.609261585706,0.503841455966777,0.283333333333333,0.506688358640636,0.577594879937939,0.21282521069989,1,0.984751330319155,0.574409707324948,0.291046711791726,0.5,0.506688358640636,0.577655348413644,1,0.634656869354186,0.448856231180621,0.505031221837689,0.309173883506911,1775.20780252654,0.49199290521331,0.624633102424748,3515.04565612198,"sSolve",5.78,"ok" "c2_Debug_s5_f1_e1_v3-shuffled",1,64338,10,64348,280804,2140076,3,2,5,405,11895,268504,38731,28636,1.87226677682654,5.74897793478725,21446,2.87448896739363,2.87448896739363,16.3910921862373,161433.6,80716.8,63.7336047740412,617472,308736,1198.10050048183,0.507151147903159,0.115680938433962,0.529109445733633,0.256300778924984,0.377167913662879,1,0.971798750992775,0.245702356045293,0.130003437422573,0.5,0.529109445733632,0.256340615534597,1,0.956197205168018,0.101978604293386,0.5091535590924,0.121352587891056,2377.74039797875,0.464925475808301,0.247231267821091,4669.98679576596,"X2clsQ",3600,"timeout" "c2_Debug_s5_f1_e1_v3-shuffled",1,64338,10,64348,280804,2140076,3,2,5,405,11895,268504,38731,28636,1.87226677682654,5.74897793478725,21446,2.87448896739363,2.87448896739363,16.3910921862373,161433.6,80716.8,63.7336047740412,617472,308736,1198.10050048183,0.507151147903159,0.115680938433962,0.529109445733633,0.256300778924984,0.377167913662879,1,0.971798750992775,0.245702356045293,0.130003437422573,0.5,0.529109445733632,0.256340615534597,1,0.956197205168018,0.101978604293386,0.5091535590924,0.121352587891056,2377.74039797875,0.464925475808301,0.247231267821091,4669.98679576596,"quantor",180.14,"ok" "c2_Debug_s5_f1_e1_v3-shuffled",1,64338,10,64348,280804,2140076,3,2,5,405,11895,268504,38731,28636,1.87226677682654,5.74897793478725,21446,2.87448896739363,2.87448896739363,16.3910921862373,161433.6,80716.8,63.7336047740412,617472,308736,1198.10050048183,0.507151147903159,0.115680938433962,0.529109445733633,0.256300778924984,0.377167913662879,1,0.971798750992775,0.245702356045293,0.130003437422573,0.5,0.529109445733632,0.256340615534597,1,0.956197205168018,0.101978604293386,0.5091535590924,0.121352587891056,2377.74039797875,0.464925475808301,0.247231267821091,4669.98679576596,"QuBE",3600,"timeout" "c2_Debug_s5_f1_e1_v3-shuffled",1,64338,10,64348,280804,2140076,3,2,5,405,11895,268504,38731,28636,1.87226677682654,5.74897793478725,21446,2.87448896739363,2.87448896739363,16.3910921862373,161433.6,80716.8,63.7336047740412,617472,308736,1198.10050048183,0.507151147903159,0.115680938433962,0.529109445733633,0.256300778924984,0.377167913662879,1,0.971798750992775,0.245702356045293,0.130003437422573,0.5,0.529109445733632,0.256340615534597,1,0.956197205168018,0.101978604293386,0.5091535590924,0.121352587891056,2377.74039797875,0.464925475808301,0.247231267821091,4669.98679576596,"sKizzo",3600,"memout" "c2_Debug_s5_f1_e1_v3-shuffled",1,64338,10,64348,280804,2140076,3,2,5,405,11895,268504,38731,28636,1.87226677682654,5.74897793478725,21446,2.87448896739363,2.87448896739363,16.3910921862373,161433.6,80716.8,63.7336047740412,617472,308736,1198.10050048183,0.507151147903159,0.115680938433962,0.529109445733633,0.256300778924984,0.377167913662879,1,0.971798750992775,0.245702356045293,0.130003437422573,0.5,0.529109445733632,0.256340615534597,1,0.956197205168018,0.101978604293386,0.5091535590924,0.121352587891056,2377.74039797875,0.464925475808301,0.247231267821091,4669.98679576596,"sSolve",3600,"timeout" "c3_BMC_p1_k256-shuffled",1,49927,4,49931,137372,393318,2,1,3,2946,83882,50544,73224,87128,2.21650700288268,0.646652884139417,24963.5,0.323326442069709,0.323326442069709,4.03651038433038,22208,11104,10.7751697342332,44416,22208,58.0139002944299,0.487572396890048,0.399501166994646,0.483946716762019,0.768390423995286,0.112926436115306,1,1.05097746791746,0.7742091500922,0.374675976274233,0.5,0.483946716762019,0.768451985108431,1,0.367935241533937,0.634248609614769,0.485990281856727,0.429175627046939,1059.39192625981,0.516873830603495,0.83495625062737,2179.86236723172,"X2clsQ",3600,"memout" "c3_BMC_p1_k256-shuffled",1,49927,4,49931,137372,393318,2,1,3,2946,83882,50544,73224,87128,2.21650700288268,0.646652884139417,24963.5,0.323326442069709,0.323326442069709,4.03651038433038,22208,11104,10.7751697342332,44416,22208,58.0139002944299,0.487572396890048,0.399501166994646,0.483946716762019,0.768390423995286,0.112926436115306,1,1.05097746791746,0.7742091500922,0.374675976274233,0.5,0.483946716762019,0.768451985108431,1,0.367935241533937,0.634248609614769,0.485990281856727,0.429175627046939,1059.39192625981,0.516873830603495,0.83495625062737,2179.86236723172,"quantor",22.68,"ok" "c3_BMC_p1_k256-shuffled",1,49927,4,49931,137372,393318,2,1,3,2946,83882,50544,73224,87128,2.21650700288268,0.646652884139417,24963.5,0.323326442069709,0.323326442069709,4.03651038433038,22208,11104,10.7751697342332,44416,22208,58.0139002944299,0.487572396890048,0.399501166994646,0.483946716762019,0.768390423995286,0.112926436115306,1,1.05097746791746,0.7742091500922,0.374675976274233,0.5,0.483946716762019,0.768451985108431,1,0.367935241533937,0.634248609614769,0.485990281856727,0.429175627046939,1059.39192625981,0.516873830603495,0.83495625062737,2179.86236723172,"QuBE",3600,"timeout" "c3_BMC_p1_k256-shuffled",1,49927,4,49931,137372,393318,2,1,3,2946,83882,50544,73224,87128,2.21650700288268,0.646652884139417,24963.5,0.323326442069709,0.323326442069709,4.03651038433038,22208,11104,10.7751697342332,44416,22208,58.0139002944299,0.487572396890048,0.399501166994646,0.483946716762019,0.768390423995286,0.112926436115306,1,1.05097746791746,0.7742091500922,0.374675976274233,0.5,0.483946716762019,0.768451985108431,1,0.367935241533937,0.634248609614769,0.485990281856727,0.429175627046939,1059.39192625981,0.516873830603495,0.83495625062737,2179.86236723172,"sKizzo",230.86,"ok" "c3_BMC_p1_k256-shuffled",1,49927,4,49931,137372,393318,2,1,3,2946,83882,50544,73224,87128,2.21650700288268,0.646652884139417,24963.5,0.323326442069709,0.323326442069709,4.03651038433038,22208,11104,10.7751697342332,44416,22208,58.0139002944299,0.487572396890048,0.399501166994646,0.483946716762019,0.768390423995286,0.112926436115306,1,1.05097746791746,0.7742091500922,0.374675976274233,0.5,0.483946716762019,0.768451985108431,1,0.367935241533937,0.634248609614769,0.485990281856727,0.429175627046939,1059.39192625981,0.516873830603495,0.83495625062737,2179.86236723172,"sSolve",3600,"timeout" "c3_BMC_p2_k1024-shuffled",1,184087,4,184091,484940,1177686,2,1,3,11778,337610,135552,290808,346424,2.24533756753413,0.183181424506124,92043.5,0.0915907122530622,0.0915907122530622,3.30486009636538,22208,11104,9.55266145547582,44416,22208,46.1262229272029,0.483397951576227,0.478887411415267,0.482043506291936,0.921980147235772,0.0377146370085065,1,1.06868894818292,0.924590815847583,0.445692998756491,0.5,0.482043506291936,0.922000180810055,1,0.279523239988452,0.714364663669732,0.48274725087255,0.491134871006851,1202.50771014581,0.518204039424706,0.949506545562769,2490.96749483777,"X2clsQ",3600,"memout" "c3_BMC_p2_k1024-shuffled",1,184087,4,184091,484940,1177686,2,1,3,11778,337610,135552,290808,346424,2.24533756753413,0.183181424506124,92043.5,0.0915907122530622,0.0915907122530622,3.30486009636538,22208,11104,9.55266145547582,44416,22208,46.1262229272029,0.483397951576227,0.478887411415267,0.482043506291936,0.921980147235772,0.0377146370085065,1,1.06868894818292,0.924590815847583,0.445692998756491,0.5,0.482043506291936,0.922000180810055,1,0.279523239988452,0.714364663669732,0.48274725087255,0.491134871006851,1202.50771014581,0.518204039424706,0.949506545562769,2490.96749483777,"quantor",2.9,"ok" "c3_BMC_p2_k1024-shuffled",1,184087,4,184091,484940,1177686,2,1,3,11778,337610,135552,290808,346424,2.24533756753413,0.183181424506124,92043.5,0.0915907122530622,0.0915907122530622,3.30486009636538,22208,11104,9.55266145547582,44416,22208,46.1262229272029,0.483397951576227,0.478887411415267,0.482043506291936,0.921980147235772,0.0377146370085065,1,1.06868894818292,0.924590815847583,0.445692998756491,0.5,0.482043506291936,0.922000180810055,1,0.279523239988452,0.714364663669732,0.48274725087255,0.491134871006851,1202.50771014581,0.518204039424706,0.949506545562769,2490.96749483777,"QuBE",5.78,"ok" "c3_BMC_p2_k1024-shuffled",1,184087,4,184091,484940,1177686,2,1,3,11778,337610,135552,290808,346424,2.24533756753413,0.183181424506124,92043.5,0.0915907122530622,0.0915907122530622,3.30486009636538,22208,11104,9.55266145547582,44416,22208,46.1262229272029,0.483397951576227,0.478887411415267,0.482043506291936,0.921980147235772,0.0377146370085065,1,1.06868894818292,0.924590815847583,0.445692998756491,0.5,0.482043506291936,0.922000180810055,1,0.279523239988452,0.714364663669732,0.48274725087255,0.491134871006851,1202.50771014581,0.518204039424706,0.949506545562769,2490.96749483777,"sKizzo",1.83,"ok" "c3_BMC_p2_k1024-shuffled",1,184087,4,184091,484940,1177686,2,1,3,11778,337610,135552,290808,346424,2.24533756753413,0.183181424506124,92043.5,0.0915907122530622,0.0915907122530622,3.30486009636538,22208,11104,9.55266145547582,44416,22208,46.1262229272029,0.483397951576227,0.478887411415267,0.482043506291936,0.921980147235772,0.0377146370085065,1,1.06868894818292,0.924590815847583,0.445692998756491,0.5,0.482043506291936,0.922000180810055,1,0.279523239988452,0.714364663669732,0.48274725087255,0.491134871006851,1202.50771014581,0.518204039424706,0.949506545562769,2490.96749483777,"sSolve",2.33,"ok" "c3_BMC_p2_k2048-shuffled",1,362967,4,362971,948364,2223510,2,1,3,23554,675914,248896,580920,692152,2.25090577035822,0.0936686757405384,181483.5,0.0468343378702692,0.0468343378702692,3.17066377203688,22208,11104,9.32843395202372,44416,22208,43.9271393818171,0.482413391439661,0.49761098443452,0.481681546350316,0.958592310080352,0.0199756241258191,1,1.07291094680376,0.960059331759787,0.462442863510105,0.5,0.481681546350316,0.958602874041374,1,0.262447752128929,0.729837910338225,0.482054916434638,0.504362111446539,1233.06060534675,0.518446402564684,0.97377526585382,2557.9255875382,"X2clsQ",3600,"memout" "c3_BMC_p2_k2048-shuffled",1,362967,4,362971,948364,2223510,2,1,3,23554,675914,248896,580920,692152,2.25090577035822,0.0936686757405384,181483.5,0.0468343378702692,0.0468343378702692,3.17066377203688,22208,11104,9.32843395202372,44416,22208,43.9271393818171,0.482413391439661,0.49761098443452,0.481681546350316,0.958592310080352,0.0199756241258191,1,1.07291094680376,0.960059331759787,0.462442863510105,0.5,0.481681546350316,0.958602874041374,1,0.262447752128929,0.729837910338225,0.482054916434638,0.504362111446539,1233.06060534675,0.518446402564684,0.97377526585382,2557.9255875382,"quantor",5.78,"ok" "c3_BMC_p2_k2048-shuffled",1,362967,4,362971,948364,2223510,2,1,3,23554,675914,248896,580920,692152,2.25090577035822,0.0936686757405384,181483.5,0.0468343378702692,0.0468343378702692,3.17066377203688,22208,11104,9.32843395202372,44416,22208,43.9271393818171,0.482413391439661,0.49761098443452,0.481681546350316,0.958592310080352,0.0199756241258191,1,1.07291094680376,0.960059331759787,0.462442863510105,0.5,0.481681546350316,0.958602874041374,1,0.262447752128929,0.729837910338225,0.482054916434638,0.504362111446539,1233.06060534675,0.518446402564684,0.97377526585382,2557.9255875382,"QuBE",11.21,"ok" "c3_BMC_p2_k2048-shuffled",1,362967,4,362971,948364,2223510,2,1,3,23554,675914,248896,580920,692152,2.25090577035822,0.0936686757405384,181483.5,0.0468343378702692,0.0468343378702692,3.17066377203688,22208,11104,9.32843395202372,44416,22208,43.9271393818171,0.482413391439661,0.49761098443452,0.481681546350316,0.958592310080352,0.0199756241258191,1,1.07291094680376,0.960059331759787,0.462442863510105,0.5,0.481681546350316,0.958602874041374,1,0.262447752128929,0.729837910338225,0.482054916434638,0.504362111446539,1233.06060534675,0.518446402564684,0.97377526585382,2557.9255875382,"sKizzo",5.08,"ok" "c3_BMC_p2_k2048-shuffled",1,362967,4,362971,948364,2223510,2,1,3,23554,675914,248896,580920,692152,2.25090577035822,0.0936686757405384,181483.5,0.0468343378702692,0.0468343378702692,3.17066377203688,22208,11104,9.32843395202372,44416,22208,43.9271393818171,0.482413391439661,0.49761098443452,0.481681546350316,0.958592310080352,0.0199756241258191,1,1.07291094680376,0.960059331759787,0.462442863510105,0.5,0.481681546350316,0.958602874041374,1,0.262447752128929,0.729837910338225,0.482054916434638,0.504362111446539,1233.06060534675,0.518446402564684,0.97377526585382,2557.9255875382,"sSolve",4.03,"ok" "c3_BMC_p2_k512-shuffled",1,94647,4,94651,253228,654774,2,1,3,5890,168458,78880,145752,173560,2.23491083134566,0.350798489898431,47323.5,0.175399244949216,0.175399244949216,3.56217050004754,22208,11104,9.98259923297165,44416,22208,50.3429163100785,0.485069657622325,0.447096250003818,0.482726145082005,0.860155976965533,0.0678340923738572,1,1.061559580745,0.864368343903601,0.417253198583502,0.5,0.482726145082005,0.860192329136314,1,0.311497938616583,0.685390241205554,0.483983135543698,0.46752291165262,1147.96780461697,0.517737930066783,0.906022542781083,2371.91695393966,"X2clsQ",7.07,"ok" "c3_BMC_p2_k512-shuffled",1,94647,4,94651,253228,654774,2,1,3,5890,168458,78880,145752,173560,2.23491083134566,0.350798489898431,47323.5,0.175399244949216,0.175399244949216,3.56217050004754,22208,11104,9.98259923297165,44416,22208,50.3429163100785,0.485069657622325,0.447096250003818,0.482726145082005,0.860155976965533,0.0678340923738572,1,1.061559580745,0.864368343903601,0.417253198583502,0.5,0.482726145082005,0.860192329136314,1,0.311497938616583,0.685390241205554,0.483983135543698,0.46752291165262,1147.96780461697,0.517737930066783,0.906022542781083,2371.91695393966,"quantor",1.41,"ok" "c3_BMC_p2_k512-shuffled",1,94647,4,94651,253228,654774,2,1,3,5890,168458,78880,145752,173560,2.23491083134566,0.350798489898431,47323.5,0.175399244949216,0.175399244949216,3.56217050004754,22208,11104,9.98259923297165,44416,22208,50.3429163100785,0.485069657622325,0.447096250003818,0.482726145082005,0.860155976965533,0.0678340923738572,1,1.061559580745,0.864368343903601,0.417253198583502,0.5,0.482726145082005,0.860192329136314,1,0.311497938616583,0.685390241205554,0.483983135543698,0.46752291165262,1147.96780461697,0.517737930066783,0.906022542781083,2371.91695393966,"QuBE",2.8,"ok" "c3_BMC_p2_k512-shuffled",1,94647,4,94651,253228,654774,2,1,3,5890,168458,78880,145752,173560,2.23491083134566,0.350798489898431,47323.5,0.175399244949216,0.175399244949216,3.56217050004754,22208,11104,9.98259923297165,44416,22208,50.3429163100785,0.485069657622325,0.447096250003818,0.482726145082005,0.860155976965533,0.0678340923738572,1,1.061559580745,0.864368343903601,0.417253198583502,0.5,0.482726145082005,0.860192329136314,1,0.311497938616583,0.685390241205554,0.483983135543698,0.46752291165262,1147.96780461697,0.517737930066783,0.906022542781083,2371.91695393966,"sKizzo",1.13,"ok" "c3_BMC_p2_k512-shuffled",1,94647,4,94651,253228,654774,2,1,3,5890,168458,78880,145752,173560,2.23491083134566,0.350798489898431,47323.5,0.175399244949216,0.175399244949216,3.56217050004754,22208,11104,9.98259923297165,44416,22208,50.3429163100785,0.485069657622325,0.447096250003818,0.482726145082005,0.860155976965533,0.0678340923738572,1,1.061559580745,0.864368343903601,0.417253198583502,0.5,0.482726145082005,0.860192329136314,1,0.311497938616583,0.685390241205554,0.483983135543698,0.46752291165262,1147.96780461697,0.517737930066783,0.906022542781083,2371.91695393966,"sSolve",1.59,"ok" "c3_Debug_s3_f2_e2_v2-shuffled",1,578121,11,578132,3126807,9124477,2,1,3,198,274726,2851883,3118513,80407,2.90373566388971,0.0144095877999506,289060.5,0.00720479389997528,0.00720479389997528,5.09369313582365,4096,2048,10.3072256854836,8192,4096,13654.7083188467,0.677260735053637,0.320270301519747,0.678140379215811,0.996354486095491,0.00246896342661612,1,0.476536211597744,0.995081006350724,0.674804610997131,0.5,0.678140379215811,0.996373443890394,1,0.912075161658523,0.0257153703442521,0.724420491881557,0.273501033230697,109.512850469829,0.274640353913307,0.992457803187409,151.173043414866,"X2clsQ",3600,"memout" "c3_Debug_s3_f2_e2_v2-shuffled",1,578121,11,578132,3126807,9124477,2,1,3,198,274726,2851883,3118513,80407,2.90373566388971,0.0144095877999506,289060.5,0.00720479389997528,0.00720479389997528,5.09369313582365,4096,2048,10.3072256854836,8192,4096,13654.7083188467,0.677260735053637,0.320270301519747,0.678140379215811,0.996354486095491,0.00246896342661612,1,0.476536211597744,0.995081006350724,0.674804610997131,0.5,0.678140379215811,0.996373443890394,1,0.912075161658523,0.0257153703442521,0.724420491881557,0.273501033230697,109.512850469829,0.274640353913307,0.992457803187409,151.173043414866,"quantor",3600,"memout" "c3_Debug_s3_f2_e2_v2-shuffled",1,578121,11,578132,3126807,9124477,2,1,3,198,274726,2851883,3118513,80407,2.90373566388971,0.0144095877999506,289060.5,0.00720479389997528,0.00720479389997528,5.09369313582365,4096,2048,10.3072256854836,8192,4096,13654.7083188467,0.677260735053637,0.320270301519747,0.678140379215811,0.996354486095491,0.00246896342661612,1,0.476536211597744,0.995081006350724,0.674804610997131,0.5,0.678140379215811,0.996373443890394,1,0.912075161658523,0.0257153703442521,0.724420491881557,0.273501033230697,109.512850469829,0.274640353913307,0.992457803187409,151.173043414866,"QuBE",3600,"timeout" "c3_Debug_s3_f2_e2_v2-shuffled",1,578121,11,578132,3126807,9124477,2,1,3,198,274726,2851883,3118513,80407,2.90373566388971,0.0144095877999506,289060.5,0.00720479389997528,0.00720479389997528,5.09369313582365,4096,2048,10.3072256854836,8192,4096,13654.7083188467,0.677260735053637,0.320270301519747,0.678140379215811,0.996354486095491,0.00246896342661612,1,0.476536211597744,0.995081006350724,0.674804610997131,0.5,0.678140379215811,0.996373443890394,1,0.912075161658523,0.0257153703442521,0.724420491881557,0.273501033230697,109.512850469829,0.274640353913307,0.992457803187409,151.173043414866,"sKizzo",3600,"memout" "c3_Debug_s3_f2_e2_v2-shuffled",1,578121,11,578132,3126807,9124477,2,1,3,198,274726,2851883,3118513,80407,2.90373566388971,0.0144095877999506,289060.5,0.00720479389997528,0.00720479389997528,5.09369313582365,4096,2048,10.3072256854836,8192,4096,13654.7083188467,0.677260735053637,0.320270301519747,0.678140379215811,0.996354486095491,0.00246896342661612,1,0.476536211597744,0.995081006350724,0.674804610997131,0.5,0.678140379215811,0.996373443890394,1,0.912075161658523,0.0257153703442521,0.724420491881557,0.273501033230697,109.512850469829,0.274640353913307,0.992457803187409,151.173043414866,"sSolve",3600,"timeout" "c4_BMC_p1_k1024-shuffled",1,16780,4,16784,39510,95530,2,1,3,66,27604,11840,24622,28142,2.27532270311314,0.142546190837763,8390,0.0712730954188813,0.0712730954188813,2.91450190657769,1408,704,8.49171830314585,2816,1408,36.450178784267,0.487940960954674,0.48258138804564,0.48718547687379,0.93958766867612,0.029477650999686,1,1.04942827108317,0.941269023316004,0.458572597990734,0.5,0.48718547687379,0.93981164666627,1,0.299670969374842,0.712275373323209,0.48757451337106,0.492293870019728,84.964557162272,0.512949931428314,0.960713084859127,174.259635875617,"X2clsQ",120.92,"ok" "c4_BMC_p1_k1024-shuffled",1,16780,4,16784,39510,95530,2,1,3,66,27604,11840,24622,28142,2.27532270311314,0.142546190837763,8390,0.0712730954188813,0.0712730954188813,2.91450190657769,1408,704,8.49171830314585,2816,1408,36.450178784267,0.487940960954674,0.48258138804564,0.48718547687379,0.93958766867612,0.029477650999686,1,1.04942827108317,0.941269023316004,0.458572597990734,0.5,0.48718547687379,0.93981164666627,1,0.299670969374842,0.712275373323209,0.48757451337106,0.492293870019728,84.964557162272,0.512949931428314,0.960713084859127,174.259635875617,"quantor",2.84,"ok" "c4_BMC_p1_k1024-shuffled",1,16780,4,16784,39510,95530,2,1,3,66,27604,11840,24622,28142,2.27532270311314,0.142546190837763,8390,0.0712730954188813,0.0712730954188813,2.91450190657769,1408,704,8.49171830314585,2816,1408,36.450178784267,0.487940960954674,0.48258138804564,0.48718547687379,0.93958766867612,0.029477650999686,1,1.04942827108317,0.941269023316004,0.458572597990734,0.5,0.48718547687379,0.93981164666627,1,0.299670969374842,0.712275373323209,0.48757451337106,0.492293870019728,84.964557162272,0.512949931428314,0.960713084859127,174.259635875617,"QuBE",3600,"timeout" "c4_BMC_p1_k1024-shuffled",1,16780,4,16784,39510,95530,2,1,3,66,27604,11840,24622,28142,2.27532270311314,0.142546190837763,8390,0.0712730954188813,0.0712730954188813,2.91450190657769,1408,704,8.49171830314585,2816,1408,36.450178784267,0.487940960954674,0.48258138804564,0.48718547687379,0.93958766867612,0.029477650999686,1,1.04942827108317,0.941269023316004,0.458572597990734,0.5,0.48718547687379,0.93981164666627,1,0.299670969374842,0.712275373323209,0.48757451337106,0.492293870019728,84.964557162272,0.512949931428314,0.960713084859127,174.259635875617,"sKizzo",109.05,"ok" "c4_BMC_p1_k1024-shuffled",1,16780,4,16784,39510,95530,2,1,3,66,27604,11840,24622,28142,2.27532270311314,0.142546190837763,8390,0.0712730954188813,0.0712730954188813,2.91450190657769,1408,704,8.49171830314585,2816,1408,36.450178784267,0.487940960954674,0.48258138804564,0.48718547687379,0.93958766867612,0.029477650999686,1,1.04942827108317,0.941269023316004,0.458572597990734,0.5,0.48718547687379,0.93981164666627,1,0.299670969374842,0.712275373323209,0.48757451337106,0.492293870019728,84.964557162272,0.512949931428314,0.960713084859127,174.259635875617,"sSolve",38.67,"ok" "c4_BMC_p1_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.4035151077405,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"X2clsQ",1256.5,"ok" "c4_BMC_p1_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.4035151077405,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"quantor",5.3,"ok" "c4_BMC_p1_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.4035151077405,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"QuBE",3600,"timeout" "c4_BMC_p1_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.4035151077405,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"sKizzo",110.4,"ok" "c4_BMC_p1_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.4035151077405,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"sSolve",85.63,"ok" "c4_BMC_p1_k512-shuffled",1,8556,4,8560,20438,51946,2,1,3,34,13780,6624,12334,14094,2.26607300127214,0.27556512378902,4278,0.13778256189451,0.13778256189451,3.10151869158878,1408,704,8.81086448598131,2816,1408,38.4873772791024,0.488911562006699,0.456878296692719,0.487563155849203,0.889120762294759,0.0542101413005814,1,1.04535968815214,0.891996538211085,0.434904647176761,0.5,0.487563155849203,0.889536433525378,1,0.324102162638223,0.689597808004697,0.488275684257663,0.473733101708923,81.7749311332148,0.51269449464451,0.925758435030976,167.476967970541,"X2clsQ",16.15,"ok" "c4_BMC_p1_k512-shuffled",1,8556,4,8560,20438,51946,2,1,3,34,13780,6624,12334,14094,2.26607300127214,0.27556512378902,4278,0.13778256189451,0.13778256189451,3.10151869158878,1408,704,8.81086448598131,2816,1408,38.4873772791024,0.488911562006699,0.456878296692719,0.487563155849203,0.889120762294759,0.0542101413005814,1,1.04535968815214,0.891996538211085,0.434904647176761,0.5,0.487563155849203,0.889536433525378,1,0.324102162638223,0.689597808004697,0.488275684257663,0.473733101708923,81.7749311332148,0.51269449464451,0.925758435030976,167.476967970541,"quantor",0.96,"ok" "c4_BMC_p1_k512-shuffled",1,8556,4,8560,20438,51946,2,1,3,34,13780,6624,12334,14094,2.26607300127214,0.27556512378902,4278,0.13778256189451,0.13778256189451,3.10151869158878,1408,704,8.81086448598131,2816,1408,38.4873772791024,0.488911562006699,0.456878296692719,0.487563155849203,0.889120762294759,0.0542101413005814,1,1.04535968815214,0.891996538211085,0.434904647176761,0.5,0.487563155849203,0.889536433525378,1,0.324102162638223,0.689597808004697,0.488275684257663,0.473733101708923,81.7749311332148,0.51269449464451,0.925758435030976,167.476967970541,"QuBE",1118.27,"ok" "c4_BMC_p1_k512-shuffled",1,8556,4,8560,20438,51946,2,1,3,34,13780,6624,12334,14094,2.26607300127214,0.27556512378902,4278,0.13778256189451,0.13778256189451,3.10151869158878,1408,704,8.81086448598131,2816,1408,38.4873772791024,0.488911562006699,0.456878296692719,0.487563155849203,0.889120762294759,0.0542101413005814,1,1.04535968815214,0.891996538211085,0.434904647176761,0.5,0.487563155849203,0.889536433525378,1,0.324102162638223,0.689597808004697,0.488275684257663,0.473733101708923,81.7749311332148,0.51269449464451,0.925758435030976,167.476967970541,"sKizzo",9.42,"ok" "c4_BMC_p1_k512-shuffled",1,8556,4,8560,20438,51946,2,1,3,34,13780,6624,12334,14094,2.26607300127214,0.27556512378902,4278,0.13778256189451,0.13778256189451,3.10151869158878,1408,704,8.81086448598131,2816,1408,38.4873772791024,0.488911562006699,0.456878296692719,0.487563155849203,0.889120762294759,0.0542101413005814,1,1.04535968815214,0.891996538211085,0.434904647176761,0.5,0.487563155849203,0.889536433525378,1,0.324102162638223,0.689597808004697,0.488275684257663,0.473733101708923,81.7749311332148,0.51269449464451,0.925758435030976,167.476967970541,"sSolve",9.8,"ok" "c4_BMC_p2_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.3943661971831,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"X2clsQ",3600,"timeout" "c4_BMC_p2_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.3943661971831,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"quantor",4.26,"ok" "c4_BMC_p2_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.3943661971831,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"QuBE",3600,"timeout" "c4_BMC_p2_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.3943661971831,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"sKizzo",1.45,"ok" "c4_BMC_p2_k2048-shuffled",1,33228,4,33232,77654,182698,2,1,3,130,55252,22272,49198,56238,2.28019161923404,0.0725268498725114,16614,0.0362634249362557,0.0362634249362557,2.81815719788156,1408,704,8.32730500722196,2816,1408,35.3943661971831,0.487389024510394,0.49719756100231,0.486987902815899,0.968375540457072,0.015413414487296,1,1.0517491156157,0.969289840481412,0.4720324266368,0.5,0.486987902815899,0.968492113893988,1,0.28681072449584,0.724212532516033,0.487191508444487,0.502432418617123,86.7068463907553,0.513081543894712,0.979766183459803,177.972819492676,"sSolve",3.95,"ok" "c4_Debug_s3_f1_e1_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1388,1072,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3841828117238,573440,286720,153.62086438152,1146880,573440,72727.9872398784,0.508285205873049,0.0516006876271249,0.569174937441861,0.134119778788622,0.440114106499826,1,0.96739938216845,0.119800353403544,0.0681873586539748,0.5,0.569174937441861,0.134151767287528,1,0.99899728954831,0.00186615556286699,0.512259211355856,0.0536190561821012,1820.65163456887,0.406937511344528,0.109933508598195,3554.16085100733,"X2clsQ",3600,"timeout" "c4_Debug_s3_f1_e1_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1388,1072,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3841828117238,573440,286720,153.62086438152,1146880,573440,72727.9872398784,0.508285205873049,0.0516006876271249,0.569174937441861,0.134119778788622,0.440114106499826,1,0.96739938216845,0.119800353403544,0.0681873586539748,0.5,0.569174937441861,0.134151767287528,1,0.99899728954831,0.00186615556286699,0.512259211355856,0.0536190561821012,1820.65163456887,0.406937511344528,0.109933508598195,3554.16085100733,"quantor",1409.53,"ok" "c4_Debug_s3_f1_e1_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1388,1072,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3841828117238,573440,286720,153.62086438152,1146880,573440,72727.9872398784,0.508285205873049,0.0516006876271249,0.569174937441861,0.134119778788622,0.440114106499826,1,0.96739938216845,0.119800353403544,0.0681873586539748,0.5,0.569174937441861,0.134151767287528,1,0.99899728954831,0.00186615556286699,0.512259211355856,0.0536190561821012,1820.65163456887,0.406937511344528,0.109933508598195,3554.16085100733,"QuBE",3600,"timeout" "c4_Debug_s3_f1_e1_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1388,1072,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3841828117238,573440,286720,153.62086438152,1146880,573440,72727.9872398784,0.508285205873049,0.0516006876271249,0.569174937441861,0.134119778788622,0.440114106499826,1,0.96739938216845,0.119800353403544,0.0681873586539748,0.5,0.569174937441861,0.134151767287528,1,0.99899728954831,0.00186615556286699,0.512259211355856,0.0536190561821012,1820.65163456887,0.406937511344528,0.109933508598195,3554.16085100733,"sKizzo",72.7,"ok" "c4_Debug_s3_f1_e1_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1388,1072,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3841828117238,573440,286720,153.62086438152,1146880,573440,72727.9872398784,0.508285205873049,0.0516006876271249,0.569174937441861,0.134119778788622,0.440114106499826,1,0.96739938216845,0.119800353403544,0.0681873586539748,0.5,0.569174937441861,0.134151767287528,1,0.99899728954831,0.00186615556286699,0.512259211355856,0.0536190561821012,1820.65163456887,0.406937511344528,0.109933508598195,3554.16085100733,"sSolve",3600,"timeout" "c4_Debug_s3_f1_e2_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1387,1073,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3843616492797,573440,286720,153.621400894188,1146880,573440,72729.9372726731,0.508284054625909,0.0516018388742645,0.569165325435824,0.134117817597594,0.440114106499826,1,0.967403838265175,0.119800353403544,0.0681862071322547,0.5,0.569165325435824,0.134149805628742,1,0.99899728954831,0.00186789637962339,0.51225750794719,0.0536207599970418,1820.65163456887,0.406950442310888,0.109936617930012,3554.17266964991,"X2clsQ",3600,"timeout" "c4_Debug_s3_f1_e2_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1387,1073,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3843616492797,573440,286720,153.621400894188,1146880,573440,72729.9372726731,0.508284054625909,0.0516018388742645,0.569165325435824,0.134117817597594,0.440114106499826,1,0.967403838265175,0.119800353403544,0.0681862071322547,0.5,0.569165325435824,0.134149805628742,1,0.99899728954831,0.00186789637962339,0.51225750794719,0.0536207599970418,1820.65163456887,0.406950442310888,0.109936617930012,3554.17266964991,"quantor",1949.18,"ok" "c4_Debug_s3_f1_e2_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1387,1073,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3843616492797,573440,286720,153.621400894188,1146880,573440,72729.9372726731,0.508284054625909,0.0516018388742645,0.569165325435824,0.134117817597594,0.440114106499826,1,0.967403838265175,0.119800353403544,0.0681862071322547,0.5,0.569165325435824,0.134149805628742,1,0.99899728954831,0.00186789637962339,0.51225750794719,0.0536207599970418,1820.65163456887,0.406950442310888,0.109936617930012,3554.17266964991,"QuBE",3600,"timeout" "c4_Debug_s3_f1_e2_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1387,1073,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3843616492797,573440,286720,153.621400894188,1146880,573440,72729.9372726731,0.508284054625909,0.0516018388742645,0.569165325435824,0.134117817597594,0.440114106499826,1,0.967403838265175,0.119800353403544,0.0681862071322547,0.5,0.569165325435824,0.134149805628742,1,0.99899728954831,0.00186789637962339,0.51225750794719,0.0536207599970418,1820.65163456887,0.406950442310888,0.109936617930012,3554.17266964991,"sKizzo",83.01,"ok" "c4_Debug_s3_f1_e2_v3-shuffled",1,50313,12,50325,574443,7817609,2,1,3,8,568,573867,1387,1073,1.62997721270866,11.9790475295199,25156.5,5.98952376475995,5.98952376475995,76.3843616492797,573440,286720,153.621400894188,1146880,573440,72729.9372726731,0.508284054625909,0.0516018388742645,0.569165325435824,0.134117817597594,0.440114106499826,1,0.967403838265175,0.119800353403544,0.0681862071322547,0.5,0.569165325435824,0.134149805628742,1,0.99899728954831,0.00186789637962339,0.51225750794719,0.0536207599970418,1820.65163456887,0.406950442310888,0.109936617930012,3554.17266964991,"sSolve",3600,"timeout" "c4_Debug_s3_f2_e1_v3-shuffled",1,54363,12,54375,627553,1660953,2,1,3,8,262666,364879,623110,66973,2.49006697442288,0.156646530253222,27181.5,0.0783232651266108,0.0783232651266108,8.39547586206896,8192,4096,17.6530022988506,16384,8192,67344.124717179,0.725155377665714,0.245251972813198,0.739319578484996,0.959191298261695,0.0295926495210882,1,0.379014802619289,0.941022374861241,0.695716265527363,0.5,0.739319578484996,0.959403028585245,1,0.581431369143323,0.106720866604096,0.769459804338579,0.206975654922294,106.983800879091,0.217185575141801,0.897785543768105,139.037543320476,"X2clsQ",1612.38,"ok" "c4_Debug_s3_f2_e1_v3-shuffled",1,54363,12,54375,627553,1660953,2,1,3,8,262666,364879,623110,66973,2.49006697442288,0.156646530253222,27181.5,0.0783232651266108,0.0783232651266108,8.39547586206896,8192,4096,17.6530022988506,16384,8192,67344.124717179,0.725155377665714,0.245251972813198,0.739319578484996,0.959191298261695,0.0295926495210882,1,0.379014802619289,0.941022374861241,0.695716265527363,0.5,0.739319578484996,0.959403028585245,1,0.581431369143323,0.106720866604096,0.769459804338579,0.206975654922294,106.983800879091,0.217185575141801,0.897785543768105,139.037543320476,"quantor",611.81,"ok" "c4_Debug_s3_f2_e1_v3-shuffled",1,54363,12,54375,627553,1660953,2,1,3,8,262666,364879,623110,66973,2.49006697442288,0.156646530253222,27181.5,0.0783232651266108,0.0783232651266108,8.39547586206896,8192,4096,17.6530022988506,16384,8192,67344.124717179,0.725155377665714,0.245251972813198,0.739319578484996,0.959191298261695,0.0295926495210882,1,0.379014802619289,0.941022374861241,0.695716265527363,0.5,0.739319578484996,0.959403028585245,1,0.581431369143323,0.106720866604096,0.769459804338579,0.206975654922294,106.983800879091,0.217185575141801,0.897785543768105,139.037543320476,"QuBE",3600,"timeout" "c4_Debug_s3_f2_e1_v3-shuffled",1,54363,12,54375,627553,1660953,2,1,3,8,262666,364879,623110,66973,2.49006697442288,0.156646530253222,27181.5,0.0783232651266108,0.0783232651266108,8.39547586206896,8192,4096,17.6530022988506,16384,8192,67344.124717179,0.725155377665714,0.245251972813198,0.739319578484996,0.959191298261695,0.0295926495210882,1,0.379014802619289,0.941022374861241,0.695716265527363,0.5,0.739319578484996,0.959403028585245,1,0.581431369143323,0.106720866604096,0.769459804338579,0.206975654922294,106.983800879091,0.217185575141801,0.897785543768105,139.037543320476,"sKizzo",44.97,"ok" "c4_Debug_s3_f2_e1_v3-shuffled",1,54363,12,54375,627553,1660953,2,1,3,8,262666,364879,623110,66973,2.49006697442288,0.156646530253222,27181.5,0.0783232651266108,0.0783232651266108,8.39547586206896,8192,4096,17.6530022988506,16384,8192,67344.124717179,0.725155377665714,0.245251972813198,0.739319578484996,0.959191298261695,0.0295926495210882,1,0.379014802619289,0.941022374861241,0.695716265527363,0.5,0.739319578484996,0.959403028585245,1,0.581431369143323,0.106720866604096,0.769459804338579,0.206975654922294,106.983800879091,0.217185575141801,0.897785543768105,139.037543320476,"sSolve",3600,"timeout" "C432.blif_0.10_0.20_0_0_out_exact-shuffled",1,556,12,568,1439,3295,2,1,3,0,1117,322,1057,919,2.25781792911744,0.0319666435024322,278,0.0159833217512161,0.0159833217512161,2.63028169014085,3.83333333333333,1.91666666666667,7.32570422535211,7.66666666666667,3.83333333333333,31.0665467625899,0.546585735963581,0.446433990895296,0.547245306248076,0.987229317046085,0.00698027314112291,1,0.829539144919489,1.00732088077641,0.551251623890569,0.5,0.547245306248076,1.00853642460823,1,0.223766504517026,0.638637943015983,0.549821486530347,0.454810445703807,0.235565653287172,0.449677630860015,1.01028910109115,0.428440246622065,"X2clsQ",3600,"timeout" "C432.blif_0.10_0.20_0_0_out_exact-shuffled",1,556,12,568,1439,3295,2,1,3,0,1117,322,1057,919,2.25781792911744,0.0319666435024322,278,0.0159833217512161,0.0159833217512161,2.63028169014085,3.83333333333333,1.91666666666667,7.32570422535211,7.66666666666667,3.83333333333333,31.0665467625899,0.546585735963581,0.446433990895296,0.547245306248076,0.987229317046085,0.00698027314112291,1,0.829539144919489,1.00732088077641,0.551251623890569,0.5,0.547245306248076,1.00853642460823,1,0.223766504517026,0.638637943015983,0.549821486530347,0.454810445703807,0.235565653287172,0.449677630860015,1.01028910109115,0.428440246622065,"quantor",474.37,"ok" "C432.blif_0.10_0.20_0_0_out_exact-shuffled",1,556,12,568,1439,3295,2,1,3,0,1117,322,1057,919,2.25781792911744,0.0319666435024322,278,0.0159833217512161,0.0159833217512161,2.63028169014085,3.83333333333333,1.91666666666667,7.32570422535211,7.66666666666667,3.83333333333333,31.0665467625899,0.546585735963581,0.446433990895296,0.547245306248076,0.987229317046085,0.00698027314112291,1,0.829539144919489,1.00732088077641,0.551251623890569,0.5,0.547245306248076,1.00853642460823,1,0.223766504517026,0.638637943015983,0.549821486530347,0.454810445703807,0.235565653287172,0.449677630860015,1.01028910109115,0.428440246622065,"QuBE",3600,"timeout" "C432.blif_0.10_0.20_0_0_out_exact-shuffled",1,556,12,568,1439,3295,2,1,3,0,1117,322,1057,919,2.25781792911744,0.0319666435024322,278,0.0159833217512161,0.0159833217512161,2.63028169014085,3.83333333333333,1.91666666666667,7.32570422535211,7.66666666666667,3.83333333333333,31.0665467625899,0.546585735963581,0.446433990895296,0.547245306248076,0.987229317046085,0.00698027314112291,1,0.829539144919489,1.00732088077641,0.551251623890569,0.5,0.547245306248076,1.00853642460823,1,0.223766504517026,0.638637943015983,0.549821486530347,0.454810445703807,0.235565653287172,0.449677630860015,1.01028910109115,0.428440246622065,"sKizzo",3600,"memout" "C432.blif_0.10_0.20_0_0_out_exact-shuffled",1,556,12,568,1439,3295,2,1,3,0,1117,322,1057,919,2.25781792911744,0.0319666435024322,278,0.0159833217512161,0.0159833217512161,2.63028169014085,3.83333333333333,1.91666666666667,7.32570422535211,7.66666666666667,3.83333333333333,31.0665467625899,0.546585735963581,0.446433990895296,0.547245306248076,0.987229317046085,0.00698027314112291,1,0.829539144919489,1.00732088077641,0.551251623890569,0.5,0.547245306248076,1.00853642460823,1,0.223766504517026,0.638637943015983,0.549821486530347,0.454810445703807,0.235565653287172,0.449677630860015,1.01028910109115,0.428440246622065,"sSolve",3600,"timeout" "C432.blif_0.10_0.20_0_1_out_exact-shuffled",1,557,12,569,1441,3299,2,1,3,0,1119,322,1058,921,2.25746009715475,0.0319222761970854,278.5,0.0159611380985427,0.0159611380985427,2.63093145869947,3.83333333333333,1.91666666666667,7.3286467486819,7.66666666666667,3.83333333333333,31.032315978456,0.546226129130039,0.446802061230676,0.546879803258531,0.98723640399556,0.00697180963928463,1,0.830743618201998,1.0072999652253,0.550872006804739,0.5,0.546879803258531,1.0085054109039,1,0.223455933379598,0.639139486467731,0.549432739059968,0.455196909429325,0.235674410228705,0.450070937465895,1.01027515510034,0.428941330711242,"X2clsQ",3600,"timeout" "C432.blif_0.10_0.20_0_1_out_exact-shuffled",1,557,12,569,1441,3299,2,1,3,0,1119,322,1058,921,2.25746009715475,0.0319222761970854,278.5,0.0159611380985427,0.0159611380985427,2.63093145869947,3.83333333333333,1.91666666666667,7.3286467486819,7.66666666666667,3.83333333333333,31.032315978456,0.546226129130039,0.446802061230676,0.546879803258531,0.98723640399556,0.00697180963928463,1,0.830743618201998,1.0072999652253,0.550872006804739,0.5,0.546879803258531,1.0085054109039,1,0.223455933379598,0.639139486467731,0.549432739059968,0.455196909429325,0.235674410228705,0.450070937465895,1.01027515510034,0.428941330711242,"quantor",551.26,"ok" "C432.blif_0.10_0.20_0_1_out_exact-shuffled",1,557,12,569,1441,3299,2,1,3,0,1119,322,1058,921,2.25746009715475,0.0319222761970854,278.5,0.0159611380985427,0.0159611380985427,2.63093145869947,3.83333333333333,1.91666666666667,7.3286467486819,7.66666666666667,3.83333333333333,31.032315978456,0.546226129130039,0.446802061230676,0.546879803258531,0.98723640399556,0.00697180963928463,1,0.830743618201998,1.0072999652253,0.550872006804739,0.5,0.546879803258531,1.0085054109039,1,0.223455933379598,0.639139486467731,0.549432739059968,0.455196909429325,0.235674410228705,0.450070937465895,1.01027515510034,0.428941330711242,"QuBE",3600,"timeout" "C432.blif_0.10_0.20_0_1_out_exact-shuffled",1,557,12,569,1441,3299,2,1,3,0,1119,322,1058,921,2.25746009715475,0.0319222761970854,278.5,0.0159611380985427,0.0159611380985427,2.63093145869947,3.83333333333333,1.91666666666667,7.3286467486819,7.66666666666667,3.83333333333333,31.032315978456,0.546226129130039,0.446802061230676,0.546879803258531,0.98723640399556,0.00697180963928463,1,0.830743618201998,1.0072999652253,0.550872006804739,0.5,0.546879803258531,1.0085054109039,1,0.223455933379598,0.639139486467731,0.549432739059968,0.455196909429325,0.235674410228705,0.450070937465895,1.01027515510034,0.428941330711242,"sKizzo",3600,"memout" "C432.blif_0.10_0.20_0_1_out_exact-shuffled",1,557,12,569,1441,3299,2,1,3,0,1119,322,1058,921,2.25746009715475,0.0319222761970854,278.5,0.0159611380985427,0.0159611380985427,2.63093145869947,3.83333333333333,1.91666666666667,7.3286467486819,7.66666666666667,3.83333333333333,31.032315978456,0.546226129130039,0.446802061230676,0.546879803258531,0.98723640399556,0.00697180963928463,1,0.830743618201998,1.0072999652253,0.550872006804739,0.5,0.546879803258531,1.0085054109039,1,0.223455933379598,0.639139486467731,0.549432739059968,0.455196909429325,0.235674410228705,0.450070937465895,1.01027515510034,0.428941330711242,"sSolve",3600,"timeout" "C432.blif_0.10_1.00_0_0_out_exact-shuffled",1,592,1,593,1551,3559,2,1,3,0,1210,341,1146,993,2.29206963249516,0.00257898130238556,296,0.00128949065119278,0.00128949065119278,2.70994940978078,4,2,7.58347386172007,8,4,32.8141891891892,0.548468670974993,0.4509693734195,0.548523206751055,0.998975409836066,0.000561955605507165,1,0.823258196721312,1.00056337947951,0.548832233469772,0.5,0.548523206751055,1.00066286829863,1,0.219858156028369,0.640232108317215,0.551600358959019,0.448757555790909,0.236514109083657,0.448358447260752,1.00079820480921,0.428778018799711,"X2clsQ",87.31,"ok" "C432.blif_0.10_1.00_0_0_out_exact-shuffled",1,592,1,593,1551,3559,2,1,3,0,1210,341,1146,993,2.29206963249516,0.00257898130238556,296,0.00128949065119278,0.00128949065119278,2.70994940978078,4,2,7.58347386172007,8,4,32.8141891891892,0.548468670974993,0.4509693734195,0.548523206751055,0.998975409836066,0.000561955605507165,1,0.823258196721312,1.00056337947951,0.548832233469772,0.5,0.548523206751055,1.00066286829863,1,0.219858156028369,0.640232108317215,0.551600358959019,0.448757555790909,0.236514109083657,0.448358447260752,1.00079820480921,0.428778018799711,"quantor",0.03,"ok" "C432.blif_0.10_1.00_0_0_out_exact-shuffled",1,592,1,593,1551,3559,2,1,3,0,1210,341,1146,993,2.29206963249516,0.00257898130238556,296,0.00128949065119278,0.00128949065119278,2.70994940978078,4,2,7.58347386172007,8,4,32.8141891891892,0.548468670974993,0.4509693734195,0.548523206751055,0.998975409836066,0.000561955605507165,1,0.823258196721312,1.00056337947951,0.548832233469772,0.5,0.548523206751055,1.00066286829863,1,0.219858156028369,0.640232108317215,0.551600358959019,0.448757555790909,0.236514109083657,0.448358447260752,1.00079820480921,0.428778018799711,"QuBE",3600,"timeout" "C432.blif_0.10_1.00_0_0_out_exact-shuffled",1,592,1,593,1551,3559,2,1,3,0,1210,341,1146,993,2.29206963249516,0.00257898130238556,296,0.00128949065119278,0.00128949065119278,2.70994940978078,4,2,7.58347386172007,8,4,32.8141891891892,0.548468670974993,0.4509693734195,0.548523206751055,0.998975409836066,0.000561955605507165,1,0.823258196721312,1.00056337947951,0.548832233469772,0.5,0.548523206751055,1.00066286829863,1,0.219858156028369,0.640232108317215,0.551600358959019,0.448757555790909,0.236514109083657,0.448358447260752,1.00079820480921,0.428778018799711,"sKizzo",0.66,"ok" "C432.blif_0.10_1.00_0_0_out_exact-shuffled",1,592,1,593,1551,3559,2,1,3,0,1210,341,1146,993,2.29206963249516,0.00257898130238556,296,0.00128949065119278,0.00128949065119278,2.70994940978078,4,2,7.58347386172007,8,4,32.8141891891892,0.548468670974993,0.4509693734195,0.548523206751055,0.998975409836066,0.000561955605507165,1,0.823258196721312,1.00056337947951,0.548832233469772,0.5,0.548523206751055,1.00066286829863,1,0.219858156028369,0.640232108317215,0.551600358959019,0.448757555790909,0.236514109083657,0.448358447260752,1.00079820480921,0.428778018799711,"sSolve",670.91,"ok" "C432.blif_0.10_1.00_0_1_inp_exact-shuffled",1,593,1,594,1553,3563,2,1,3,0,1212,341,1147,996,2.29169349645847,0.0025756600128783,296.5,0.00128783000643915,0.00128783000643915,2.71043771043771,4,2,8.12457912457912,8,4,35.3288364249578,0.548133595284872,0.451305079988773,0.548187693172239,0.998975934459805,0.000561324726354196,1,0.824372759856631,1.0005617980187,0.548495663932141,0.5,0.548187693172239,1.00066054817728,1,0.219575016097875,0.641339343206697,0.548169647036794,0.45221716455107,0.222451081359423,0.451794247165745,1.00085609916494,0.405807002561913,"X2clsQ",0.04,"ok" "C432.blif_0.10_1.00_0_1_inp_exact-shuffled",1,593,1,594,1553,3563,2,1,3,0,1212,341,1147,996,2.29169349645847,0.0025756600128783,296.5,0.00128783000643915,0.00128783000643915,2.71043771043771,4,2,8.12457912457912,8,4,35.3288364249578,0.548133595284872,0.451305079988773,0.548187693172239,0.998975934459805,0.000561324726354196,1,0.824372759856631,1.0005617980187,0.548495663932141,0.5,0.548187693172239,1.00066054817728,1,0.219575016097875,0.641339343206697,0.548169647036794,0.45221716455107,0.222451081359423,0.451794247165745,1.00085609916494,0.405807002561913,"quantor",0.01,"ok" "C432.blif_0.10_1.00_0_1_inp_exact-shuffled",1,593,1,594,1553,3563,2,1,3,0,1212,341,1147,996,2.29169349645847,0.0025756600128783,296.5,0.00128783000643915,0.00128783000643915,2.71043771043771,4,2,8.12457912457912,8,4,35.3288364249578,0.548133595284872,0.451305079988773,0.548187693172239,0.998975934459805,0.000561324726354196,1,0.824372759856631,1.0005617980187,0.548495663932141,0.5,0.548187693172239,1.00066054817728,1,0.219575016097875,0.641339343206697,0.548169647036794,0.45221716455107,0.222451081359423,0.451794247165745,1.00085609916494,0.405807002561913,"QuBE",0.05,"ok" "C432.blif_0.10_1.00_0_1_inp_exact-shuffled",1,593,1,594,1553,3563,2,1,3,0,1212,341,1147,996,2.29169349645847,0.0025756600128783,296.5,0.00128783000643915,0.00128783000643915,2.71043771043771,4,2,8.12457912457912,8,4,35.3288364249578,0.548133595284872,0.451305079988773,0.548187693172239,0.998975934459805,0.000561324726354196,1,0.824372759856631,1.0005617980187,0.548495663932141,0.5,0.548187693172239,1.00066054817728,1,0.219575016097875,0.641339343206697,0.548169647036794,0.45221716455107,0.222451081359423,0.451794247165745,1.00085609916494,0.405807002561913,"sKizzo",0.52,"ok" "C432.blif_0.10_1.00_0_1_inp_exact-shuffled",1,593,1,594,1553,3563,2,1,3,0,1212,341,1147,996,2.29169349645847,0.0025756600128783,296.5,0.00128783000643915,0.00128783000643915,2.71043771043771,4,2,8.12457912457912,8,4,35.3288364249578,0.548133595284872,0.451305079988773,0.548187693172239,0.998975934459805,0.000561324726354196,1,0.824372759856631,1.0005617980187,0.548495663932141,0.5,0.548187693172239,1.00066054817728,1,0.219575016097875,0.641339343206697,0.548169647036794,0.45221716455107,0.222451081359423,0.451794247165745,1.00085609916494,0.405807002561913,"sSolve",0.8,"ok" "C499.blif_0.10_0.20_0_0_inp_exact",1,894,12,906,2557,6058,10,9,19,0,1719,838,1780,1930,2.337895971842,0.0312866640594447,89.4,0.0156433320297223,0.0156433320297223,3.14790286975717,6.66666666666667,3.33333333333333,59.1743929359823,77.6666666666667,38.8333333333333,309.359060402685,0.529217563552328,0.464179597226808,0.52960856473737,0.987523393636931,0.00660283922086497,1,0.889582033686837,1.00003988292147,0.529629687074167,0.5,0.52960856473737,1.00077874120253,1,0.327727806022683,0.754790770434102,0.529512944273804,0.472657912483693,0.308758227292672,0.470243677998195,1.00461406266352,0.583098544863933,"X2clsQ",3600,"timeout" "C499.blif_0.10_0.20_0_0_inp_exact",1,894,12,906,2557,6058,10,9,19,0,1719,838,1780,1930,2.337895971842,0.0312866640594447,89.4,0.0156433320297223,0.0156433320297223,3.14790286975717,6.66666666666667,3.33333333333333,59.1743929359823,77.6666666666667,38.8333333333333,309.359060402685,0.529217563552328,0.464179597226808,0.52960856473737,0.987523393636931,0.00660283922086497,1,0.889582033686837,1.00003988292147,0.529629687074167,0.5,0.52960856473737,1.00077874120253,1,0.327727806022683,0.754790770434102,0.529512944273804,0.472657912483693,0.308758227292672,0.470243677998195,1.00461406266352,0.583098544863933,"quantor",3600,"timeout" "C499.blif_0.10_0.20_0_0_inp_exact",1,894,12,906,2557,6058,10,9,19,0,1719,838,1780,1930,2.337895971842,0.0312866640594447,89.4,0.0156433320297223,0.0156433320297223,3.14790286975717,6.66666666666667,3.33333333333333,59.1743929359823,77.6666666666667,38.8333333333333,309.359060402685,0.529217563552328,0.464179597226808,0.52960856473737,0.987523393636931,0.00660283922086497,1,0.889582033686837,1.00003988292147,0.529629687074167,0.5,0.52960856473737,1.00077874120253,1,0.327727806022683,0.754790770434102,0.529512944273804,0.472657912483693,0.308758227292672,0.470243677998195,1.00461406266352,0.583098544863933,"QuBE",3600,"timeout" "C499.blif_0.10_0.20_0_0_inp_exact",1,894,12,906,2557,6058,10,9,19,0,1719,838,1780,1930,2.337895971842,0.0312866640594447,89.4,0.0156433320297223,0.0156433320297223,3.14790286975717,6.66666666666667,3.33333333333333,59.1743929359823,77.6666666666667,38.8333333333333,309.359060402685,0.529217563552328,0.464179597226808,0.52960856473737,0.987523393636931,0.00660283922086497,1,0.889582033686837,1.00003988292147,0.529629687074167,0.5,0.52960856473737,1.00077874120253,1,0.327727806022683,0.754790770434102,0.529512944273804,0.472657912483693,0.308758227292672,0.470243677998195,1.00461406266352,0.583098544863933,"sKizzo",3600,"memout" "C499.blif_0.10_0.20_0_0_inp_exact",1,894,12,906,2557,6058,10,9,19,0,1719,838,1780,1930,2.337895971842,0.0312866640594447,89.4,0.0156433320297223,0.0156433320297223,3.14790286975717,6.66666666666667,3.33333333333333,59.1743929359823,77.6666666666667,38.8333333333333,309.359060402685,0.529217563552328,0.464179597226808,0.52960856473737,0.987523393636931,0.00660283922086497,1,0.889582033686837,1.00003988292147,0.529629687074167,0.5,0.52960856473737,1.00077874120253,1,0.327727806022683,0.754790770434102,0.529512944273804,0.472657912483693,0.308758227292672,0.470243677998195,1.00461406266352,0.583098544863933,"sSolve",3600,"timeout" "C499.blif_0.10_0.20_0_0_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20167064439141,5.33333333333333,2.66666666666667,8.65035799522673,10.6666666666667,5.33333333333333,37.9237288135593,0.529463346194318,0.464924587863907,0.529797800638524,0.989400463729712,0.00561206594177482,1,0.888704869161974,1.0031406507041,0.53146171047413,0.5,0.529797800638524,1.00377432034564,1,0.341830338487254,0.74968658587547,0.532503547014059,0.470100771739066,0.288232512145836,0.46722590714007,1.00557077756738,0.541278107464374,"X2clsQ",3600,"timeout" "C499.blif_0.10_0.20_0_0_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20167064439141,5.33333333333333,2.66666666666667,8.65035799522673,10.6666666666667,5.33333333333333,37.9237288135593,0.529463346194318,0.464924587863907,0.529797800638524,0.989400463729712,0.00561206594177482,1,0.888704869161974,1.0031406507041,0.53146171047413,0.5,0.529797800638524,1.00377432034564,1,0.341830338487254,0.74968658587547,0.532503547014059,0.470100771739066,0.288232512145836,0.46722590714007,1.00557077756738,0.541278107464374,"quantor",3600,"timeout" "C499.blif_0.10_0.20_0_0_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20167064439141,5.33333333333333,2.66666666666667,8.65035799522673,10.6666666666667,5.33333333333333,37.9237288135593,0.529463346194318,0.464924587863907,0.529797800638524,0.989400463729712,0.00561206594177482,1,0.888704869161974,1.0031406507041,0.53146171047413,0.5,0.529797800638524,1.00377432034564,1,0.341830338487254,0.74968658587547,0.532503547014059,0.470100771739066,0.288232512145836,0.46722590714007,1.00557077756738,0.541278107464374,"QuBE",3600,"timeout" "C499.blif_0.10_0.20_0_0_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20167064439141,5.33333333333333,2.66666666666667,8.65035799522673,10.6666666666667,5.33333333333333,37.9237288135593,0.529463346194318,0.464924587863907,0.529797800638524,0.989400463729712,0.00561206594177482,1,0.888704869161974,1.0031406507041,0.53146171047413,0.5,0.529797800638524,1.00377432034564,1,0.341830338487254,0.74968658587547,0.532503547014059,0.470100771739066,0.288232512145836,0.46722590714007,1.00557077756738,0.541278107464374,"sKizzo",3600,"memout" "C499.blif_0.10_0.20_0_0_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20167064439141,5.33333333333333,2.66666666666667,8.65035799522673,10.6666666666667,5.33333333333333,37.9237288135593,0.529463346194318,0.464924587863907,0.529797800638524,0.989400463729712,0.00561206594177482,1,0.888704869161974,1.0031406507041,0.53146171047413,0.5,0.529797800638524,1.00377432034564,1,0.341830338487254,0.74968658587547,0.532503547014059,0.470100771739066,0.288232512145836,0.46722590714007,1.00557077756738,0.541278107464374,"sSolve",3600,"timeout" "C499.blif_0.10_0.20_0_1_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20286396181384,5.33333333333333,2.66666666666667,8.65393794749403,10.6666666666667,5.33333333333333,37.9237288135593,0.529287969133637,0.465099964924588,0.529620432777581,0.989396951623592,0.00561206594177482,1,0.889330682571239,1.0031406507041,0.531283785562689,0.5,0.529620432777581,1.00377075721619,1,0.341830338487254,0.74968658587547,0.532310073519928,0.470297055986139,0.288232512145836,0.467420991026141,1.00557448291796,0.541474840481383,"X2clsQ",3600,"timeout" "C499.blif_0.10_0.20_0_1_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20286396181384,5.33333333333333,2.66666666666667,8.65393794749403,10.6666666666667,5.33333333333333,37.9237288135593,0.529287969133637,0.465099964924588,0.529620432777581,0.989396951623592,0.00561206594177482,1,0.889330682571239,1.0031406507041,0.531283785562689,0.5,0.529620432777581,1.00377075721619,1,0.341830338487254,0.74968658587547,0.532310073519928,0.470297055986139,0.288232512145836,0.467420991026141,1.00557448291796,0.541474840481383,"quantor",3600,"timeout" "C499.blif_0.10_0.20_0_1_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20286396181384,5.33333333333333,2.66666666666667,8.65393794749403,10.6666666666667,5.33333333333333,37.9237288135593,0.529287969133637,0.465099964924588,0.529620432777581,0.989396951623592,0.00561206594177482,1,0.889330682571239,1.0031406507041,0.531283785562689,0.5,0.529620432777581,1.00377075721619,1,0.341830338487254,0.74968658587547,0.532310073519928,0.470297055986139,0.288232512145836,0.467420991026141,1.00557448291796,0.541474840481383,"QuBE",3600,"timeout" "C499.blif_0.10_0.20_0_1_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20286396181384,5.33333333333333,2.66666666666667,8.65393794749403,10.6666666666667,5.33333333333333,37.9237288135593,0.529287969133637,0.465099964924588,0.529620432777581,0.989396951623592,0.00561206594177482,1,0.889330682571239,1.0031406507041,0.531283785562689,0.5,0.529620432777581,1.00377075721619,1,0.341830338487254,0.74968658587547,0.532310073519928,0.470297055986139,0.288232512145836,0.467420991026141,1.00557448291796,0.541474840481383,"sKizzo",3600,"memout" "C499.blif_0.10_0.20_0_1_out_exact",1,826,12,838,2393,5702,2,1,3,0,1575,818,1644,1794,2.35603844546594,0.026744671959883,413,0.0133723359799415,0.0133723359799415,3.20286396181384,5.33333333333333,2.66666666666667,8.65393794749403,10.6666666666667,5.33333333333333,37.9237288135593,0.529287969133637,0.465099964924588,0.529620432777581,0.989396951623592,0.00561206594177482,1,0.889330682571239,1.0031406507041,0.531283785562689,0.5,0.529620432777581,1.00377075721619,1,0.341830338487254,0.74968658587547,0.532310073519928,0.470297055986139,0.288232512145836,0.467420991026141,1.00557448291796,0.541474840481383,"sSolve",3600,"timeout" "C499.blif_0.10_1.00_0_0_inp_exact-shuffled",1,892,1,893,2586,6149,2,1,3,0,1726,860,1786,1932,2.37470997679814,0.00309358081979892,446,0.00154679040989946,0.00154679040989946,3.23180291153415,8,4,9.68197088465845,16,8,51.1457399103139,0.530655391120507,0.468694096601073,0.530695326494056,0.998774134232302,0.000650512278419255,1,0.884462151394422,0.999818593128636,0.53059905471523,0.5,0.530695326494056,0.999893836176508,1,0.332559938128384,0.747099767981439,0.530695326494056,0.469396073196669,0.387776149378494,0.469277992068235,1.00019475555196,0.730694487061471,"X2clsQ",0.63,"ok" "C499.blif_0.10_1.00_0_0_inp_exact-shuffled",1,892,1,893,2586,6149,2,1,3,0,1726,860,1786,1932,2.37470997679814,0.00309358081979892,446,0.00154679040989946,0.00154679040989946,3.23180291153415,8,4,9.68197088465845,16,8,51.1457399103139,0.530655391120507,0.468694096601073,0.530695326494056,0.998774134232302,0.000650512278419255,1,0.884462151394422,0.999818593128636,0.53059905471523,0.5,0.530695326494056,0.999893836176508,1,0.332559938128384,0.747099767981439,0.530695326494056,0.469396073196669,0.387776149378494,0.469277992068235,1.00019475555196,0.730694487061471,"quantor",0.22,"ok" "C499.blif_0.10_1.00_0_0_inp_exact-shuffled",1,892,1,893,2586,6149,2,1,3,0,1726,860,1786,1932,2.37470997679814,0.00309358081979892,446,0.00154679040989946,0.00154679040989946,3.23180291153415,8,4,9.68197088465845,16,8,51.1457399103139,0.530655391120507,0.468694096601073,0.530695326494056,0.998774134232302,0.000650512278419255,1,0.884462151394422,0.999818593128636,0.53059905471523,0.5,0.530695326494056,0.999893836176508,1,0.332559938128384,0.747099767981439,0.530695326494056,0.469396073196669,0.387776149378494,0.469277992068235,1.00019475555196,0.730694487061471,"QuBE",11.66,"ok" "C499.blif_0.10_1.00_0_0_inp_exact-shuffled",1,892,1,893,2586,6149,2,1,3,0,1726,860,1786,1932,2.37470997679814,0.00309358081979892,446,0.00154679040989946,0.00154679040989946,3.23180291153415,8,4,9.68197088465845,16,8,51.1457399103139,0.530655391120507,0.468694096601073,0.530695326494056,0.998774134232302,0.000650512278419255,1,0.884462151394422,0.999818593128636,0.53059905471523,0.5,0.530695326494056,0.999893836176508,1,0.332559938128384,0.747099767981439,0.530695326494056,0.469396073196669,0.387776149378494,0.469277992068235,1.00019475555196,0.730694487061471,"sKizzo",0.31,"ok" "C499.blif_0.10_1.00_0_0_inp_exact-shuffled",1,892,1,893,2586,6149,2,1,3,0,1726,860,1786,1932,2.37470997679814,0.00309358081979892,446,0.00154679040989946,0.00154679040989946,3.23180291153415,8,4,9.68197088465845,16,8,51.1457399103139,0.530655391120507,0.468694096601073,0.530695326494056,0.998774134232302,0.000650512278419255,1,0.884462151394422,0.999818593128636,0.53059905471523,0.5,0.530695326494056,0.999893836176508,1,0.332559938128384,0.747099767981439,0.530695326494056,0.469396073196669,0.387776149378494,0.469277992068235,1.00019475555196,0.730694487061471,"sSolve",3600,"timeout" "C499.blif_0.10_1.00_0_0_out_exact-shuffled",1,888,1,889,2578,6133,2,1,3,0,1718,860,1778,1924,2.37587276958883,0.00310318076027929,444,0.00155159038013964,0.00155159038013964,3.23734533183352,8,4,8.7896512935883,16,8,40.4572072072072,0.530735366052503,0.468612424588293,0.530775510204082,0.998771121351766,0.000652209359204305,1,0.884178187403994,0.999820238467719,0.53068009718507,0.5,0.530775510204082,0.999895863605541,1,0.333591931730023,0.746314972847168,0.533743063428606,0.466304107675908,0.42436899576347,0.466224690915607,1.00010116976459,0.795081050866406,"X2clsQ",3600,"timeout" "C499.blif_0.10_1.00_0_0_out_exact-shuffled",1,888,1,889,2578,6133,2,1,3,0,1718,860,1778,1924,2.37587276958883,0.00310318076027929,444,0.00155159038013964,0.00155159038013964,3.23734533183352,8,4,8.7896512935883,16,8,40.4572072072072,0.530735366052503,0.468612424588293,0.530775510204082,0.998771121351766,0.000652209359204305,1,0.884178187403994,0.999820238467719,0.53068009718507,0.5,0.530775510204082,0.999895863605541,1,0.333591931730023,0.746314972847168,0.533743063428606,0.466304107675908,0.42436899576347,0.466224690915607,1.00010116976459,0.795081050866406,"quantor",0.76,"ok" "C499.blif_0.10_1.00_0_0_out_exact-shuffled",1,888,1,889,2578,6133,2,1,3,0,1718,860,1778,1924,2.37587276958883,0.00310318076027929,444,0.00155159038013964,0.00155159038013964,3.23734533183352,8,4,8.7896512935883,16,8,40.4572072072072,0.530735366052503,0.468612424588293,0.530775510204082,0.998771121351766,0.000652209359204305,1,0.884178187403994,0.999820238467719,0.53068009718507,0.5,0.530775510204082,0.999895863605541,1,0.333591931730023,0.746314972847168,0.533743063428606,0.466304107675908,0.42436899576347,0.466224690915607,1.00010116976459,0.795081050866406,"QuBE",3600,"timeout" "C499.blif_0.10_1.00_0_0_out_exact-shuffled",1,888,1,889,2578,6133,2,1,3,0,1718,860,1778,1924,2.37587276958883,0.00310318076027929,444,0.00155159038013964,0.00155159038013964,3.23734533183352,8,4,8.7896512935883,16,8,40.4572072072072,0.530735366052503,0.468612424588293,0.530775510204082,0.998771121351766,0.000652209359204305,1,0.884178187403994,0.999820238467719,0.53068009718507,0.5,0.530775510204082,0.999895863605541,1,0.333591931730023,0.746314972847168,0.533743063428606,0.466304107675908,0.42436899576347,0.466224690915607,1.00010116976459,0.795081050866406,"sKizzo",0.52,"ok" "C499.blif_0.10_1.00_0_0_out_exact-shuffled",1,888,1,889,2578,6133,2,1,3,0,1718,860,1778,1924,2.37587276958883,0.00310318076027929,444,0.00155159038013964,0.00155159038013964,3.23734533183352,8,4,8.7896512935883,16,8,40.4572072072072,0.530735366052503,0.468612424588293,0.530775510204082,0.998771121351766,0.000652209359204305,1,0.884178187403994,0.999820238467719,0.53068009718507,0.5,0.530775510204082,0.999895863605541,1,0.333591931730023,0.746314972847168,0.533743063428606,0.466304107675908,0.42436899576347,0.466224690915607,1.00010116976459,0.795081050866406,"sSolve",3600,"timeout" "c5_BMC_p1_k32-shuffled",1,32935,4,32939,100416,357542,2,1,3,238,43296,56882,53822,53956,2.23237332695985,1.32823454429573,16467.5,0.664117272147865,0.664117272147865,5.34427274659219,33344,16672,12.9958711557728,66688,33344,273.880734780629,0.507652247847805,0.305829804610367,0.51220524075908,0.632587172946498,0.186517947541827,1,0.969852402386685,0.627040250549249,0.321173302498212,0.5,0.51220524075908,0.632664001508568,1,0.56646351179095,0.537324729126832,0.509406824532008,0.337777879640882,1258.73353519193,0.486451108110517,0.688509128400871,2470.97893976652,"X2clsQ",3600,"timeout" "c5_BMC_p1_k32-shuffled",1,32935,4,32939,100416,357542,2,1,3,238,43296,56882,53822,53956,2.23237332695985,1.32823454429573,16467.5,0.664117272147865,0.664117272147865,5.34427274659219,33344,16672,12.9958711557728,66688,33344,273.880734780629,0.507652247847805,0.305829804610367,0.51220524075908,0.632587172946498,0.186517947541827,1,0.969852402386685,0.627040250549249,0.321173302498212,0.5,0.51220524075908,0.632664001508568,1,0.56646351179095,0.537324729126832,0.509406824532008,0.337777879640882,1258.73353519193,0.486451108110517,0.688509128400871,2470.97893976652,"quantor",5.65,"ok" "c5_BMC_p1_k32-shuffled",1,32935,4,32939,100416,357542,2,1,3,238,43296,56882,53822,53956,2.23237332695985,1.32823454429573,16467.5,0.664117272147865,0.664117272147865,5.34427274659219,33344,16672,12.9958711557728,66688,33344,273.880734780629,0.507652247847805,0.305829804610367,0.51220524075908,0.632587172946498,0.186517947541827,1,0.969852402386685,0.627040250549249,0.321173302498212,0.5,0.51220524075908,0.632664001508568,1,0.56646351179095,0.537324729126832,0.509406824532008,0.337777879640882,1258.73353519193,0.486451108110517,0.688509128400871,2470.97893976652,"QuBE",3600,"timeout" "c5_BMC_p1_k32-shuffled",1,32935,4,32939,100416,357542,2,1,3,238,43296,56882,53822,53956,2.23237332695985,1.32823454429573,16467.5,0.664117272147865,0.664117272147865,5.34427274659219,33344,16672,12.9958711557728,66688,33344,273.880734780629,0.507652247847805,0.305829804610367,0.51220524075908,0.632587172946498,0.186517947541827,1,0.969852402386685,0.627040250549249,0.321173302498212,0.5,0.51220524075908,0.632664001508568,1,0.56646351179095,0.537324729126832,0.509406824532008,0.337777879640882,1258.73353519193,0.486451108110517,0.688509128400871,2470.97893976652,"sKizzo",3.01,"ok" "c5_BMC_p1_k32-shuffled",1,32935,4,32939,100416,357542,2,1,3,238,43296,56882,53822,53956,2.23237332695985,1.32823454429573,16467.5,0.664117272147865,0.664117272147865,5.34427274659219,33344,16672,12.9958711557728,66688,33344,273.880734780629,0.507652247847805,0.305829804610367,0.51220524075908,0.632587172946498,0.186517947541827,1,0.969852402386685,0.627040250549249,0.321173302498212,0.5,0.51220524075908,0.632664001508568,1,0.56646351179095,0.537324729126832,0.509406824532008,0.337777879640882,1258.73353519193,0.486451108110517,0.688509128400871,2470.97893976652,"sSolve",1618.77,"ok" "C5315.blif_0.10_0.20_0_0_inp_exact-shuffled",1,5400,97,5497,14587,33644,16,15,31,0,11497,3090,11468,8647,2.24940015081922,0.0570370878179201,337.5,0.02851854390896,0.02851854390896,2.71348008004366,8.57731958762887,4.28865979381443,82.8466436237948,151.587628865979,75.7938144329897,537.858148148148,0.556652003328974,0.430983236238259,0.558088504205778,0.977787270397266,0.0123647604327666,1,0.796454506621102,0.99278922663003,0.554064254481565,0.5,0.558088504205778,0.995351226921069,1,0.211832453554535,0.592788098992253,0.557421466528278,0.443255327888501,0.404901318516974,0.441746098725801,1.00152920750916,0.726382715468015,"X2clsQ",3600,"timeout" "C5315.blif_0.10_0.20_0_0_inp_exact-shuffled",1,5400,97,5497,14587,33644,16,15,31,0,11497,3090,11468,8647,2.24940015081922,0.0570370878179201,337.5,0.02851854390896,0.02851854390896,2.71348008004366,8.57731958762887,4.28865979381443,82.8466436237948,151.587628865979,75.7938144329897,537.858148148148,0.556652003328974,0.430983236238259,0.558088504205778,0.977787270397266,0.0123647604327666,1,0.796454506621102,0.99278922663003,0.554064254481565,0.5,0.558088504205778,0.995351226921069,1,0.211832453554535,0.592788098992253,0.557421466528278,0.443255327888501,0.404901318516974,0.441746098725801,1.00152920750916,0.726382715468015,"quantor",3600,"memout" "C5315.blif_0.10_0.20_0_0_inp_exact-shuffled",1,5400,97,5497,14587,33644,16,15,31,0,11497,3090,11468,8647,2.24940015081922,0.0570370878179201,337.5,0.02851854390896,0.02851854390896,2.71348008004366,8.57731958762887,4.28865979381443,82.8466436237948,151.587628865979,75.7938144329897,537.858148148148,0.556652003328974,0.430983236238259,0.558088504205778,0.977787270397266,0.0123647604327666,1,0.796454506621102,0.99278922663003,0.554064254481565,0.5,0.558088504205778,0.995351226921069,1,0.211832453554535,0.592788098992253,0.557421466528278,0.443255327888501,0.404901318516974,0.441746098725801,1.00152920750916,0.726382715468015,"QuBE",3600,"timeout" "C5315.blif_0.10_0.20_0_0_inp_exact-shuffled",1,5400,97,5497,14587,33644,16,15,31,0,11497,3090,11468,8647,2.24940015081922,0.0570370878179201,337.5,0.02851854390896,0.02851854390896,2.71348008004366,8.57731958762887,4.28865979381443,82.8466436237948,151.587628865979,75.7938144329897,537.858148148148,0.556652003328974,0.430983236238259,0.558088504205778,0.977787270397266,0.0123647604327666,1,0.796454506621102,0.99278922663003,0.554064254481565,0.5,0.558088504205778,0.995351226921069,1,0.211832453554535,0.592788098992253,0.557421466528278,0.443255327888501,0.404901318516974,0.441746098725801,1.00152920750916,0.726382715468015,"sKizzo",3600,"memout" "C5315.blif_0.10_0.20_0_0_inp_exact-shuffled",1,5400,97,5497,14587,33644,16,15,31,0,11497,3090,11468,8647,2.24940015081922,0.0570370878179201,337.5,0.02851854390896,0.02851854390896,2.71348008004366,8.57731958762887,4.28865979381443,82.8466436237948,151.587628865979,75.7938144329897,537.858148148148,0.556652003328974,0.430983236238259,0.558088504205778,0.977787270397266,0.0123647604327666,1,0.796454506621102,0.99278922663003,0.554064254481565,0.5,0.558088504205778,0.995351226921069,1,0.211832453554535,0.592788098992253,0.557421466528278,0.443255327888501,0.404901318516974,0.441746098725801,1.00152920750916,0.726382715468015,"sSolve",3600,"timeout" "C5315.blif_0.10_0.20_0_0_out_exact-shuffled",1,5229,95,5324,14228,32909,2,1,3,0,11154,3074,11137,8332,2.25745009839753,0.0555243182457127,2614.5,0.0277621591228563,0.0277621591228563,2.73422238918107,8.31578947368421,4.15789473684211,7.48985725018783,16.6315789473684,8.31578947368421,36.546567221266,0.557658999058008,0.430338205354158,0.55907718173044,0.978476460331299,0.0120027955878331,1,0.793210549258936,0.993726187144913,0.555569636120714,0.5,0.55907718173044,0.996253332339613,1,0.216052853528254,0.585605847624403,0.562460910497383,0.436662507212987,0.485788024160272,0.436437129425952,0.997996562340003,0.863683173521678,"X2clsQ",3600,"timeout" "C5315.blif_0.10_0.20_0_0_out_exact-shuffled",1,5229,95,5324,14228,32909,2,1,3,0,11154,3074,11137,8332,2.25745009839753,0.0555243182457127,2614.5,0.0277621591228563,0.0277621591228563,2.73422238918107,8.31578947368421,4.15789473684211,7.48985725018783,16.6315789473684,8.31578947368421,36.546567221266,0.557658999058008,0.430338205354158,0.55907718173044,0.978476460331299,0.0120027955878331,1,0.793210549258936,0.993726187144913,0.555569636120714,0.5,0.55907718173044,0.996253332339613,1,0.216052853528254,0.585605847624403,0.562460910497383,0.436662507212987,0.485788024160272,0.436437129425952,0.997996562340003,0.863683173521678,"quantor",3600,"memout" "C5315.blif_0.10_0.20_0_0_out_exact-shuffled",1,5229,95,5324,14228,32909,2,1,3,0,11154,3074,11137,8332,2.25745009839753,0.0555243182457127,2614.5,0.0277621591228563,0.0277621591228563,2.73422238918107,8.31578947368421,4.15789473684211,7.48985725018783,16.6315789473684,8.31578947368421,36.546567221266,0.557658999058008,0.430338205354158,0.55907718173044,0.978476460331299,0.0120027955878331,1,0.793210549258936,0.993726187144913,0.555569636120714,0.5,0.55907718173044,0.996253332339613,1,0.216052853528254,0.585605847624403,0.562460910497383,0.436662507212987,0.485788024160272,0.436437129425952,0.997996562340003,0.863683173521678,"QuBE",3600,"timeout" "C5315.blif_0.10_0.20_0_0_out_exact-shuffled",1,5229,95,5324,14228,32909,2,1,3,0,11154,3074,11137,8332,2.25745009839753,0.0555243182457127,2614.5,0.0277621591228563,0.0277621591228563,2.73422238918107,8.31578947368421,4.15789473684211,7.48985725018783,16.6315789473684,8.31578947368421,36.546567221266,0.557658999058008,0.430338205354158,0.55907718173044,0.978476460331299,0.0120027955878331,1,0.793210549258936,0.993726187144913,0.555569636120714,0.5,0.55907718173044,0.996253332339613,1,0.216052853528254,0.585605847624403,0.562460910497383,0.436662507212987,0.485788024160272,0.436437129425952,0.997996562340003,0.863683173521678,"sKizzo",3600,"memout" "C5315.blif_0.10_0.20_0_0_out_exact-shuffled",1,5229,95,5324,14228,32909,2,1,3,0,11154,3074,11137,8332,2.25745009839753,0.0555243182457127,2614.5,0.0277621591228563,0.0277621591228563,2.73422238918107,8.31578947368421,4.15789473684211,7.48985725018783,16.6315789473684,8.31578947368421,36.546567221266,0.557658999058008,0.430338205354158,0.55907718173044,0.978476460331299,0.0120027955878331,1,0.793210549258936,0.993726187144913,0.555569636120714,0.5,0.55907718173044,0.996253332339613,1,0.216052853528254,0.585605847624403,0.562460910497383,0.436662507212987,0.485788024160272,0.436437129425952,0.997996562340003,0.863683173521678,"sSolve",3600,"timeout" "C5315.blif_0.10_0.20_0_1_inp_exact-shuffled",1,5401,97,5498,14589,33648,16,15,31,0,11499,3090,11469,8648,2.24936596065529,0.0570292686270478,337.5625,0.0285146343135239,0.0285146343135239,2.71335030920335,8.57731958762887,4.28865979381443,82.8428519461622,151.587628865979,75.7938144329897,537.781521940381,0.556645268663814,0.430991440798859,0.558081423695758,0.977789642285104,0.0123632905373276,1,0.796476241324079,0.992788975606655,0.554057084936016,0.5,0.558081423695758,0.995350389424829,1,0.211803413530742,0.592775378709987,0.557414547687715,0.443261799162655,0.404926180777775,0.441753219611833,1.00152817234917,0.726436334425613,"X2clsQ",3600,"timeout" "C5315.blif_0.10_0.20_0_1_inp_exact-shuffled",1,5401,97,5498,14589,33648,16,15,31,0,11499,3090,11469,8648,2.24936596065529,0.0570292686270478,337.5625,0.0285146343135239,0.0285146343135239,2.71335030920335,8.57731958762887,4.28865979381443,82.8428519461622,151.587628865979,75.7938144329897,537.781521940381,0.556645268663814,0.430991440798859,0.558081423695758,0.977789642285104,0.0123632905373276,1,0.796476241324079,0.992788975606655,0.554057084936016,0.5,0.558081423695758,0.995350389424829,1,0.211803413530742,0.592775378709987,0.557414547687715,0.443261799162655,0.404926180777775,0.441753219611833,1.00152817234917,0.726436334425613,"quantor",3600,"memout" "C5315.blif_0.10_0.20_0_1_inp_exact-shuffled",1,5401,97,5498,14589,33648,16,15,31,0,11499,3090,11469,8648,2.24936596065529,0.0570292686270478,337.5625,0.0285146343135239,0.0285146343135239,2.71335030920335,8.57731958762887,4.28865979381443,82.8428519461622,151.587628865979,75.7938144329897,537.781521940381,0.556645268663814,0.430991440798859,0.558081423695758,0.977789642285104,0.0123632905373276,1,0.796476241324079,0.992788975606655,0.554057084936016,0.5,0.558081423695758,0.995350389424829,1,0.211803413530742,0.592775378709987,0.557414547687715,0.443261799162655,0.404926180777775,0.441753219611833,1.00152817234917,0.726436334425613,"QuBE",3600,"timeout" "C5315.blif_0.10_0.20_0_1_inp_exact-shuffled",1,5401,97,5498,14589,33648,16,15,31,0,11499,3090,11469,8648,2.24936596065529,0.0570292686270478,337.5625,0.0285146343135239,0.0285146343135239,2.71335030920335,8.57731958762887,4.28865979381443,82.8428519461622,151.587628865979,75.7938144329897,537.781521940381,0.556645268663814,0.430991440798859,0.558081423695758,0.977789642285104,0.0123632905373276,1,0.796476241324079,0.992788975606655,0.554057084936016,0.5,0.558081423695758,0.995350389424829,1,0.211803413530742,0.592775378709987,0.557414547687715,0.443261799162655,0.404926180777775,0.441753219611833,1.00152817234917,0.726436334425613,"sKizzo",3600,"memout" "C5315.blif_0.10_0.20_0_1_inp_exact-shuffled",1,5401,97,5498,14589,33648,16,15,31,0,11499,3090,11469,8648,2.24936596065529,0.0570292686270478,337.5625,0.0285146343135239,0.0285146343135239,2.71335030920335,8.57731958762887,4.28865979381443,82.8428519461622,151.587628865979,75.7938144329897,537.781521940381,0.556645268663814,0.430991440798859,0.558081423695758,0.977789642285104,0.0123632905373276,1,0.796476241324079,0.992788975606655,0.554057084936016,0.5,0.558081423695758,0.995350389424829,1,0.211803413530742,0.592775378709987,0.557414547687715,0.443261799162655,0.404926180777775,0.441753219611833,1.00152817234917,0.726436334425613,"sSolve",3600,"timeout" "C5315.blif_0.10_0.20_0_1_out_exact-shuffled",1,5230,95,5325,14230,32913,2,1,3,0,11156,3074,11138,8333,2.25741391426564,0.0555165144061841,2615,0.0277582572030921,0.0277582572030921,2.73408450704225,8.31578947368421,4.15789473684211,7.48957746478873,16.6315789473684,8.31578947368421,36.5418738049713,0.557651991614256,0.430346671527968,0.559069825358777,0.978478805709927,0.0120013368577766,1,0.793233082706767,0.99372576720166,0.555562091123949,0.5,0.559069825358777,0.996252321301216,1,0.216022487702038,0.58559381588194,0.562452687358062,0.436670551843894,0.485815301839498,0.436445645256729,0.997996192016928,0.863744298425272,"X2clsQ",3600,"timeout" "C5315.blif_0.10_0.20_0_1_out_exact-shuffled",1,5230,95,5325,14230,32913,2,1,3,0,11156,3074,11138,8333,2.25741391426564,0.0555165144061841,2615,0.0277582572030921,0.0277582572030921,2.73408450704225,8.31578947368421,4.15789473684211,7.48957746478873,16.6315789473684,8.31578947368421,36.5418738049713,0.557651991614256,0.430346671527968,0.559069825358777,0.978478805709927,0.0120013368577766,1,0.793233082706767,0.99372576720166,0.555562091123949,0.5,0.559069825358777,0.996252321301216,1,0.216022487702038,0.58559381588194,0.562452687358062,0.436670551843894,0.485815301839498,0.436445645256729,0.997996192016928,0.863744298425272,"quantor",3600,"memout" "C5315.blif_0.10_0.20_0_1_out_exact-shuffled",1,5230,95,5325,14230,32913,2,1,3,0,11156,3074,11138,8333,2.25741391426564,0.0555165144061841,2615,0.0277582572030921,0.0277582572030921,2.73408450704225,8.31578947368421,4.15789473684211,7.48957746478873,16.6315789473684,8.31578947368421,36.5418738049713,0.557651991614256,0.430346671527968,0.559069825358777,0.978478805709927,0.0120013368577766,1,0.793233082706767,0.99372576720166,0.555562091123949,0.5,0.559069825358777,0.996252321301216,1,0.216022487702038,0.58559381588194,0.562452687358062,0.436670551843894,0.485815301839498,0.436445645256729,0.997996192016928,0.863744298425272,"QuBE",3600,"timeout" "C5315.blif_0.10_0.20_0_1_out_exact-shuffled",1,5230,95,5325,14230,32913,2,1,3,0,11156,3074,11138,8333,2.25741391426564,0.0555165144061841,2615,0.0277582572030921,0.0277582572030921,2.73408450704225,8.31578947368421,4.15789473684211,7.48957746478873,16.6315789473684,8.31578947368421,36.5418738049713,0.557651991614256,0.430346671527968,0.559069825358777,0.978478805709927,0.0120013368577766,1,0.793233082706767,0.99372576720166,0.555562091123949,0.5,0.559069825358777,0.996252321301216,1,0.216022487702038,0.58559381588194,0.562452687358062,0.436670551843894,0.485815301839498,0.436445645256729,0.997996192016928,0.863744298425272,"sKizzo",3600,"memout" "C5315.blif_0.10_0.20_0_1_out_exact-shuffled",1,5230,95,5325,14230,32913,2,1,3,0,11156,3074,11138,8333,2.25741391426564,0.0555165144061841,2615,0.0277582572030921,0.0277582572030921,2.73408450704225,8.31578947368421,4.15789473684211,7.48957746478873,16.6315789473684,8.31578947368421,36.5418738049713,0.557651991614256,0.430346671527968,0.559069825358777,0.978478805709927,0.0120013368577766,1,0.793233082706767,0.99372576720166,0.555562091123949,0.5,0.559069825358777,0.996252321301216,1,0.216022487702038,0.58559381588194,0.562452687358062,0.436670551843894,0.485815301839498,0.436445645256729,0.997996192016928,0.863744298425272,"sSolve",3600,"timeout" "C5315.blif_0.10_1.00_0_0_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11925,8911,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77492420189049,2,1,8.32370251471375,4,2,58.3983942908118,0.55751784546256,0.442425276569121,0.557524389203333,0.999897980004081,5.68779683189717e-05,1,0.793664558253418,1.00024302773653,0.557659883093704,0.5,0.557524389203333,1.00025476786492,1,0.214313854235062,0.585095206828628,0.557524389203333,0.442595560339509,0.106317016980005,0.442471247475562,1.00027108735468,0.190694827058463,"X2clsQ",0.95,"ok" "C5315.blif_0.10_1.00_0_0_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11925,8911,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77492420189049,2,1,8.32370251471375,4,2,58.3983942908118,0.55751784546256,0.442425276569121,0.557524389203333,0.999897980004081,5.68779683189717e-05,1,0.793664558253418,1.00024302773653,0.557659883093704,0.5,0.557524389203333,1.00025476786492,1,0.214313854235062,0.585095206828628,0.557524389203333,0.442595560339509,0.106317016980005,0.442471247475562,1.00027108735468,0.190694827058463,"quantor",3.94,"ok" "C5315.blif_0.10_1.00_0_0_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11925,8911,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77492420189049,2,1,8.32370251471375,4,2,58.3983942908118,0.55751784546256,0.442425276569121,0.557524389203333,0.999897980004081,5.68779683189717e-05,1,0.793664558253418,1.00024302773653,0.557659883093704,0.5,0.557524389203333,1.00025476786492,1,0.214313854235062,0.585095206828628,0.557524389203333,0.442595560339509,0.106317016980005,0.442471247475562,1.00027108735468,0.190694827058463,"QuBE",2306.42,"ok" "C5315.blif_0.10_1.00_0_0_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11925,8911,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77492420189049,2,1,8.32370251471375,4,2,58.3983942908118,0.55751784546256,0.442425276569121,0.557524389203333,0.999897980004081,5.68779683189717e-05,1,0.793664558253418,1.00024302773653,0.557659883093704,0.5,0.557524389203333,1.00025476786492,1,0.214313854235062,0.585095206828628,0.557524389203333,0.442595560339509,0.106317016980005,0.442471247475562,1.00027108735468,0.190694827058463,"sKizzo",3.4,"ok" "C5315.blif_0.10_1.00_0_0_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11925,8911,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77492420189049,2,1,8.32370251471375,4,2,58.3983942908118,0.55751784546256,0.442425276569121,0.557524389203333,0.999897980004081,5.68779683189717e-05,1,0.793664558253418,1.00024302773653,0.557659883093704,0.5,0.557524389203333,1.00025476786492,1,0.214313854235062,0.585095206828628,0.557524389203333,0.442595560339509,0.106317016980005,0.442471247475562,1.00027108735468,0.190694827058463,"sSolve",3600,"timeout" "C5315.blif_0.10_1.00_0_0_out_exact-shuffled",1,5603,2,5605,15226,35155,2,1,3,0,11962,3264,11921,8907,2.30861683961645,0.000262708524891633,2801.5,0.000131354262445816,0.000131354262445816,2.77520071364853,2,1,7.64656556645852,4,2,40.2603962163127,0.557530934433224,0.442412174655099,0.557537481152741,0.999897959183674,5.68909116768596e-05,1,0.793622448979592,1.00024312919509,0.557673034791768,0.5,0.557537481152741,1.00025487439309,1,0.214370156311572,0.584986207802443,0.5620062747182,0.43810917562297,0.114559594493782,0.437988655526598,1.00026358902995,0.203840418954795,"X2clsQ",3600,"timeout" "C5315.blif_0.10_1.00_0_0_out_exact-shuffled",1,5603,2,5605,15226,35155,2,1,3,0,11962,3264,11921,8907,2.30861683961645,0.000262708524891633,2801.5,0.000131354262445816,0.000131354262445816,2.77520071364853,2,1,7.64656556645852,4,2,40.2603962163127,0.557530934433224,0.442412174655099,0.557537481152741,0.999897959183674,5.68909116768596e-05,1,0.793622448979592,1.00024312919509,0.557673034791768,0.5,0.557537481152741,1.00025487439309,1,0.214370156311572,0.584986207802443,0.5620062747182,0.43810917562297,0.114559594493782,0.437988655526598,1.00026358902995,0.203840418954795,"quantor",4.5,"ok" "C5315.blif_0.10_1.00_0_0_out_exact-shuffled",1,5603,2,5605,15226,35155,2,1,3,0,11962,3264,11921,8907,2.30861683961645,0.000262708524891633,2801.5,0.000131354262445816,0.000131354262445816,2.77520071364853,2,1,7.64656556645852,4,2,40.2603962163127,0.557530934433224,0.442412174655099,0.557537481152741,0.999897959183674,5.68909116768596e-05,1,0.793622448979592,1.00024312919509,0.557673034791768,0.5,0.557537481152741,1.00025487439309,1,0.214370156311572,0.584986207802443,0.5620062747182,0.43810917562297,0.114559594493782,0.437988655526598,1.00026358902995,0.203840418954795,"QuBE",3600,"timeout" "C5315.blif_0.10_1.00_0_0_out_exact-shuffled",1,5603,2,5605,15226,35155,2,1,3,0,11962,3264,11921,8907,2.30861683961645,0.000262708524891633,2801.5,0.000131354262445816,0.000131354262445816,2.77520071364853,2,1,7.64656556645852,4,2,40.2603962163127,0.557530934433224,0.442412174655099,0.557537481152741,0.999897959183674,5.68909116768596e-05,1,0.793622448979592,1.00024312919509,0.557673034791768,0.5,0.557537481152741,1.00025487439309,1,0.214370156311572,0.584986207802443,0.5620062747182,0.43810917562297,0.114559594493782,0.437988655526598,1.00026358902995,0.203840418954795,"sKizzo",4.3,"ok" "C5315.blif_0.10_1.00_0_0_out_exact-shuffled",1,5603,2,5605,15226,35155,2,1,3,0,11962,3264,11921,8907,2.30861683961645,0.000262708524891633,2801.5,0.000131354262445816,0.000131354262445816,2.77520071364853,2,1,7.64656556645852,4,2,40.2603962163127,0.557530934433224,0.442412174655099,0.557537481152741,0.999897959183674,5.68909116768596e-05,1,0.793622448979592,1.00024312919509,0.557673034791768,0.5,0.557537481152741,1.00025487439309,1,0.214370156311572,0.584986207802443,0.5620062747182,0.43810917562297,0.114559594493782,0.437988655526598,1.00026358902995,0.203840418954795,"sSolve",3600,"timeout" "C5315.blif_0.10_1.00_0_1_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11924,8912,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77510255038345,2,1,8.32423756019262,4,2,58.3983942908118,0.557489406478401,0.44245371555328,0.557495946983703,0.999897974799776,5.68779683189717e-05,1,0.793756057746263,1.00024302773653,0.557631433961825,0.5,0.557495946983703,1.00025476265876,1,0.214313854235062,0.58516086671044,0.557495946983703,0.442624012708014,0.106317016980005,0.442499691852582,1.00027109286548,0.190704555890006,"X2clsQ",0.73,"ok" "C5315.blif_0.10_1.00_0_1_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11924,8912,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77510255038345,2,1,8.32423756019262,4,2,58.3983942908118,0.557489406478401,0.44245371555328,0.557495946983703,0.999897974799776,5.68779683189717e-05,1,0.793756057746263,1.00024302773653,0.557631433961825,0.5,0.557495946983703,1.00025476265876,1,0.214313854235062,0.58516086671044,0.557495946983703,0.442624012708014,0.106317016980005,0.442499691852582,1.00027109286548,0.190704555890006,"quantor",0.18,"ok" "C5315.blif_0.10_1.00_0_1_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11924,8912,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77510255038345,2,1,8.32423756019262,4,2,58.3983942908118,0.557489406478401,0.44245371555328,0.557495946983703,0.999897974799776,5.68779683189717e-05,1,0.793756057746263,1.00024302773653,0.557631433961825,0.5,0.557495946983703,1.00025476265876,1,0.214313854235062,0.58516086671044,0.557495946983703,0.442624012708014,0.106317016980005,0.442499691852582,1.00027109286548,0.190704555890006,"QuBE",9.03,"ok" "C5315.blif_0.10_1.00_0_1_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11924,8912,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77510255038345,2,1,8.32423756019262,4,2,58.3983942908118,0.557489406478401,0.44245371555328,0.557495946983703,0.999897974799776,5.68779683189717e-05,1,0.793756057746263,1.00024302773653,0.557631433961825,0.5,0.557495946983703,1.00025476265876,1,0.214313854235062,0.58516086671044,0.557495946983703,0.442624012708014,0.106317016980005,0.442499691852582,1.00027109286548,0.190704555890006,"sKizzo",0.29,"ok" "C5315.blif_0.10_1.00_0_1_inp_exact-shuffled",1,5605,2,5607,15230,35163,2,1,3,0,11966,3264,11924,8912,2.30853578463559,0.000262639527248851,2802.5,0.000131319763624425,0.000131319763624425,2.77510255038345,2,1,8.32423756019262,4,2,58.3983942908118,0.557489406478401,0.44245371555328,0.557495946983703,0.999897974799776,5.68779683189717e-05,1,0.793756057746263,1.00024302773653,0.557631433961825,0.5,0.557495946983703,1.00025476265876,1,0.214313854235062,0.58516086671044,0.557495946983703,0.442624012708014,0.106317016980005,0.442499691852582,1.00027109286548,0.190704555890006,"sSolve",0.82,"ok" "c6_BMC_p1_k1024-shuffled",1,135688,4,135692,360206,840922,2,1,3,450,257676,102080,290614,271990,2.27059515943654,0.0639633987218425,67844,0.0319816993609213,0.0319816993609213,3.00879197004982,5760,2880,8.89901394334228,11520,5760,149.213386592772,0.514498372024992,0.471802378817536,0.514906795845856,0.973373581137771,0.0136992491574724,1,0.943640746741615,0.972630173387835,0.500813886122129,0.5,0.514906795845856,0.973402275600985,1,0.283393391559274,0.755095695241056,0.514699771160059,0.476054563857712,314.11674775601,0.485022861265735,0.980948566613435,610.291213163038,"X2clsQ",3600,"memout" "c6_BMC_p1_k1024-shuffled",1,135688,4,135692,360206,840922,2,1,3,450,257676,102080,290614,271990,2.27059515943654,0.0639633987218425,67844,0.0319816993609213,0.0319816993609213,3.00879197004982,5760,2880,8.89901394334228,11520,5760,149.213386592772,0.514498372024992,0.471802378817536,0.514906795845856,0.973373581137771,0.0136992491574724,1,0.943640746741615,0.972630173387835,0.500813886122129,0.5,0.514906795845856,0.973402275600985,1,0.283393391559274,0.755095695241056,0.514699771160059,0.476054563857712,314.11674775601,0.485022861265735,0.980948566613435,610.291213163038,"quantor",104.12,"ok" "c6_BMC_p1_k1024-shuffled",1,135688,4,135692,360206,840922,2,1,3,450,257676,102080,290614,271990,2.27059515943654,0.0639633987218425,67844,0.0319816993609213,0.0319816993609213,3.00879197004982,5760,2880,8.89901394334228,11520,5760,149.213386592772,0.514498372024992,0.471802378817536,0.514906795845856,0.973373581137771,0.0136992491574724,1,0.943640746741615,0.972630173387835,0.500813886122129,0.5,0.514906795845856,0.973402275600985,1,0.283393391559274,0.755095695241056,0.514699771160059,0.476054563857712,314.11674775601,0.485022861265735,0.980948566613435,610.291213163038,"QuBE",3600,"timeout" "c6_BMC_p1_k1024-shuffled",1,135688,4,135692,360206,840922,2,1,3,450,257676,102080,290614,271990,2.27059515943654,0.0639633987218425,67844,0.0319816993609213,0.0319816993609213,3.00879197004982,5760,2880,8.89901394334228,11520,5760,149.213386592772,0.514498372024992,0.471802378817536,0.514906795845856,0.973373581137771,0.0136992491574724,1,0.943640746741615,0.972630173387835,0.500813886122129,0.5,0.514906795845856,0.973402275600985,1,0.283393391559274,0.755095695241056,0.514699771160059,0.476054563857712,314.11674775601,0.485022861265735,0.980948566613435,610.291213163038,"sKizzo",465.42,"ok" "c6_BMC_p1_k1024-shuffled",1,135688,4,135692,360206,840922,2,1,3,450,257676,102080,290614,271990,2.27059515943654,0.0639633987218425,67844,0.0319816993609213,0.0319816993609213,3.00879197004982,5760,2880,8.89901394334228,11520,5760,149.213386592772,0.514498372024992,0.471802378817536,0.514906795845856,0.973373581137771,0.0136992491574724,1,0.943640746741615,0.972630173387835,0.500813886122129,0.5,0.514906795845856,0.973402275600985,1,0.283393391559274,0.755095695241056,0.514699771160059,0.476054563857712,314.11674775601,0.485022861265735,0.980948566613435,610.291213163038,"sSolve",3600,"timeout" "c6_BMC_p1_k256-shuffled",1,34936,4,34940,94238,235882,2,1,3,114,64284,29840,72790,68134,2.25855811880558,0.244487361786116,17468,0.122243680893058,0.122243680893058,3.28829421866056,5760,2880,9.37026330852891,11520,5760,149.476585756812,0.512921715094836,0.438240306593975,0.514320481859783,0.904784732496343,0.0488379783111895,1,0.949615254279315,0.902427355038186,0.46413687208669,0.5,0.514320481859783,0.904888325893697,1,0.316645090091046,0.722999214754133,0.513585268956828,0.452235904953724,299.004281796274,0.485416314461517,0.929733159980277,582.190144206431,"X2clsQ",26.33,"ok" "c6_BMC_p1_k256-shuffled",1,34936,4,34940,94238,235882,2,1,3,114,64284,29840,72790,68134,2.25855811880558,0.244487361786116,17468,0.122243680893058,0.122243680893058,3.28829421866056,5760,2880,9.37026330852891,11520,5760,149.476585756812,0.512921715094836,0.438240306593975,0.514320481859783,0.904784732496343,0.0488379783111895,1,0.949615254279315,0.902427355038186,0.46413687208669,0.5,0.514320481859783,0.904888325893697,1,0.316645090091046,0.722999214754133,0.513585268956828,0.452235904953724,299.004281796274,0.485416314461517,0.929733159980277,582.190144206431,"quantor",4.99,"ok" "c6_BMC_p1_k256-shuffled",1,34936,4,34940,94238,235882,2,1,3,114,64284,29840,72790,68134,2.25855811880558,0.244487361786116,17468,0.122243680893058,0.122243680893058,3.28829421866056,5760,2880,9.37026330852891,11520,5760,149.476585756812,0.512921715094836,0.438240306593975,0.514320481859783,0.904784732496343,0.0488379783111895,1,0.949615254279315,0.902427355038186,0.46413687208669,0.5,0.514320481859783,0.904888325893697,1,0.316645090091046,0.722999214754133,0.513585268956828,0.452235904953724,299.004281796274,0.485416314461517,0.929733159980277,582.190144206431,"QuBE",3600,"timeout" "c6_BMC_p1_k256-shuffled",1,34936,4,34940,94238,235882,2,1,3,114,64284,29840,72790,68134,2.25855811880558,0.244487361786116,17468,0.122243680893058,0.122243680893058,3.28829421866056,5760,2880,9.37026330852891,11520,5760,149.476585756812,0.512921715094836,0.438240306593975,0.514320481859783,0.904784732496343,0.0488379783111895,1,0.949615254279315,0.902427355038186,0.46413687208669,0.5,0.514320481859783,0.904888325893697,1,0.316645090091046,0.722999214754133,0.513585268956828,0.452235904953724,299.004281796274,0.485416314461517,0.929733159980277,582.190144206431,"sKizzo",16.83,"ok" "c6_BMC_p1_k256-shuffled",1,34936,4,34940,94238,235882,2,1,3,114,64284,29840,72790,68134,2.25855811880558,0.244487361786116,17468,0.122243680893058,0.122243680893058,3.28829421866056,5760,2880,9.37026330852891,11520,5760,149.476585756812,0.512921715094836,0.438240306593975,0.514320481859783,0.904784732496343,0.0488379783111895,1,0.949615254279315,0.902427355038186,0.46413687208669,0.5,0.514320481859783,0.904888325893697,1,0.316645090091046,0.722999214754133,0.513585268956828,0.452235904953724,299.004281796274,0.485416314461517,0.929733159980277,582.190144206431,"sSolve",3600,"timeout" "c6_BMC_p2_k128-shuffled",1,18144,4,18148,49910,135042,2,1,3,58,32052,17800,36486,34158,2.24407934281707,0.461630935684232,9072,0.230815467842116,0.230815467842116,3.63659907427816,5760,2880,9.95751597972228,11520,5760,149.751322751323,0.511285377882437,0.403407828675523,0.513606899876788,0.833152291983489,0.0853067934420403,1,0.955854877253965,0.82956925844509,0.426072495063068,0.5,0.513606899876788,0.833335967532868,1,0.356641955519936,0.684391905429774,0.512338016310361,0.425579955526249,282.091741732827,0.48590985016118,0.872694550242201,550.5969355238,"X2clsQ",12.66,"ok" "c6_BMC_p2_k128-shuffled",1,18144,4,18148,49910,135042,2,1,3,58,32052,17800,36486,34158,2.24407934281707,0.461630935684232,9072,0.230815467842116,0.230815467842116,3.63659907427816,5760,2880,9.95751597972228,11520,5760,149.751322751323,0.511285377882437,0.403407828675523,0.513606899876788,0.833152291983489,0.0853067934420403,1,0.955854877253965,0.82956925844509,0.426072495063068,0.5,0.513606899876788,0.833335967532868,1,0.356641955519936,0.684391905429774,0.512338016310361,0.425579955526249,282.091741732827,0.48590985016118,0.872694550242201,550.5969355238,"quantor",0.78,"ok" "c6_BMC_p2_k128-shuffled",1,18144,4,18148,49910,135042,2,1,3,58,32052,17800,36486,34158,2.24407934281707,0.461630935684232,9072,0.230815467842116,0.230815467842116,3.63659907427816,5760,2880,9.95751597972228,11520,5760,149.751322751323,0.511285377882437,0.403407828675523,0.513606899876788,0.833152291983489,0.0853067934420403,1,0.955854877253965,0.82956925844509,0.426072495063068,0.5,0.513606899876788,0.833335967532868,1,0.356641955519936,0.684391905429774,0.512338016310361,0.425579955526249,282.091741732827,0.48590985016118,0.872694550242201,550.5969355238,"QuBE",3600,"timeout" "c6_BMC_p2_k128-shuffled",1,18144,4,18148,49910,135042,2,1,3,58,32052,17800,36486,34158,2.24407934281707,0.461630935684232,9072,0.230815467842116,0.230815467842116,3.63659907427816,5760,2880,9.95751597972228,11520,5760,149.751322751323,0.511285377882437,0.403407828675523,0.513606899876788,0.833152291983489,0.0853067934420403,1,0.955854877253965,0.82956925844509,0.426072495063068,0.5,0.513606899876788,0.833335967532868,1,0.356641955519936,0.684391905429774,0.512338016310361,0.425579955526249,282.091741732827,0.48590985016118,0.872694550242201,550.5969355238,"sKizzo",0.56,"ok" "c6_BMC_p2_k128-shuffled",1,18144,4,18148,49910,135042,2,1,3,58,32052,17800,36486,34158,2.24407934281707,0.461630935684232,9072,0.230815467842116,0.230815467842116,3.63659907427816,5760,2880,9.95751597972228,11520,5760,149.751322751323,0.511285377882437,0.403407828675523,0.513606899876788,0.833152291983489,0.0853067934420403,1,0.955854877253965,0.82956925844509,0.426072495063068,0.5,0.513606899876788,0.833335967532868,1,0.356641955519936,0.684391905429774,0.512338016310361,0.425579955526249,282.091741732827,0.48590985016118,0.872694550242201,550.5969355238,"sSolve",0.99,"ok" "c6_BMC_p2_k2048-shuffled",1,270024,4,270028,714830,1647642,2,1,3,898,515532,198400,581046,543798,2.2727109942224,0.032231439642992,135012,0.016115719821496,0.016115719821496,2.96057075562534,5760,2880,8.81771149658554,11520,5760,149.155363967647,0.514799331408158,0.478208858477752,0.515009214564552,0.986418377632766,0.00699181011409032,1,0.942504465312041,0.986030986123548,0.507815043699799,0.5,0.515009214564552,0.986432989939488,1,0.277548508036876,0.760737518011275,0.514903546233957,0.480409539896502,316.87990413095,0.484955212387928,0.990338181544818,615.416045293596,"X2clsQ",3600,"memout" "c6_BMC_p2_k2048-shuffled",1,270024,4,270028,714830,1647642,2,1,3,898,515532,198400,581046,543798,2.2727109942224,0.032231439642992,135012,0.016115719821496,0.016115719821496,2.96057075562534,5760,2880,8.81771149658554,11520,5760,149.155363967647,0.514799331408158,0.478208858477752,0.515009214564552,0.986418377632766,0.00699181011409032,1,0.942504465312041,0.986030986123548,0.507815043699799,0.5,0.515009214564552,0.986432989939488,1,0.277548508036876,0.760737518011275,0.514903546233957,0.480409539896502,316.87990413095,0.484955212387928,0.990338181544818,615.416045293596,"quantor",18.5,"ok" "c6_BMC_p2_k2048-shuffled",1,270024,4,270028,714830,1647642,2,1,3,898,515532,198400,581046,543798,2.2727109942224,0.032231439642992,135012,0.016115719821496,0.016115719821496,2.96057075562534,5760,2880,8.81771149658554,11520,5760,149.155363967647,0.514799331408158,0.478208858477752,0.515009214564552,0.986418377632766,0.00699181011409032,1,0.942504465312041,0.986030986123548,0.507815043699799,0.5,0.515009214564552,0.986432989939488,1,0.277548508036876,0.760737518011275,0.514903546233957,0.480409539896502,316.87990413095,0.484955212387928,0.990338181544818,615.416045293596,"QuBE",3600,"timeout" "c6_BMC_p2_k2048-shuffled",1,270024,4,270028,714830,1647642,2,1,3,898,515532,198400,581046,543798,2.2727109942224,0.032231439642992,135012,0.016115719821496,0.016115719821496,2.96057075562534,5760,2880,8.81771149658554,11520,5760,149.155363967647,0.514799331408158,0.478208858477752,0.515009214564552,0.986418377632766,0.00699181011409032,1,0.942504465312041,0.986030986123548,0.507815043699799,0.5,0.515009214564552,0.986432989939488,1,0.277548508036876,0.760737518011275,0.514903546233957,0.480409539896502,316.87990413095,0.484955212387928,0.990338181544818,615.416045293596,"sKizzo",10.22,"ok" "c6_BMC_p2_k2048-shuffled",1,270024,4,270028,714830,1647642,2,1,3,898,515532,198400,581046,543798,2.2727109942224,0.032231439642992,135012,0.016115719821496,0.016115719821496,2.96057075562534,5760,2880,8.81771149658554,11520,5760,149.155363967647,0.514799331408158,0.478208858477752,0.515009214564552,0.986418377632766,0.00699181011409032,1,0.942504465312041,0.986030986123548,0.507815043699799,0.5,0.515009214564552,0.986432989939488,1,0.277548508036876,0.760737518011275,0.514903546233957,0.480409539896502,316.87990413095,0.484955212387928,0.990338181544818,615.416045293596,"sSolve",3.33,"ok" "c6_BMC_p2_k64-shuffled",1,9748,4,9752,27746,84622,2,1,3,30,15936,11780,18334,17170,2.2194910978159,0.830389966121243,4874,0.415194983060621,0.415194983060621,4.26056193601313,5760,2880,11.0095365053322,11520,5760,150.301600328272,0.509004750537685,0.354860438183924,0.512373745575006,0.732547071251132,0.136134811278391,1,0.964618206300931,0.728028994750335,0.373022942927435,0.5,0.512373745575006,0.732847665043192,1,0.42456570316442,0.618827939162402,0.510423981541436,0.384673199376361,256.137746121786,0.486803061966725,0.785727210633212,501.813698776991,"X2clsQ",3600,"timeout" "c6_BMC_p2_k64-shuffled",1,9748,4,9752,27746,84622,2,1,3,30,15936,11780,18334,17170,2.2194910978159,0.830389966121243,4874,0.415194983060621,0.415194983060621,4.26056193601313,5760,2880,11.0095365053322,11520,5760,150.301600328272,0.509004750537685,0.354860438183924,0.512373745575006,0.732547071251132,0.136134811278391,1,0.964618206300931,0.728028994750335,0.373022942927435,0.5,0.512373745575006,0.732847665043192,1,0.42456570316442,0.618827939162402,0.510423981541436,0.384673199376361,256.137746121786,0.486803061966725,0.785727210633212,501.813698776991,"quantor",0.38,"ok" "c6_BMC_p2_k64-shuffled",1,9748,4,9752,27746,84622,2,1,3,30,15936,11780,18334,17170,2.2194910978159,0.830389966121243,4874,0.415194983060621,0.415194983060621,4.26056193601313,5760,2880,11.0095365053322,11520,5760,150.301600328272,0.509004750537685,0.354860438183924,0.512373745575006,0.732547071251132,0.136134811278391,1,0.964618206300931,0.728028994750335,0.373022942927435,0.5,0.512373745575006,0.732847665043192,1,0.42456570316442,0.618827939162402,0.510423981541436,0.384673199376361,256.137746121786,0.486803061966725,0.785727210633212,501.813698776991,"QuBE",3600,"timeout" "c6_BMC_p2_k64-shuffled",1,9748,4,9752,27746,84622,2,1,3,30,15936,11780,18334,17170,2.2194910978159,0.830389966121243,4874,0.415194983060621,0.415194983060621,4.26056193601313,5760,2880,11.0095365053322,11520,5760,150.301600328272,0.509004750537685,0.354860438183924,0.512373745575006,0.732547071251132,0.136134811278391,1,0.964618206300931,0.728028994750335,0.373022942927435,0.5,0.512373745575006,0.732847665043192,1,0.42456570316442,0.618827939162402,0.510423981541436,0.384673199376361,256.137746121786,0.486803061966725,0.785727210633212,501.813698776991,"sKizzo",0.3,"ok" "c6_BMC_p2_k64-shuffled",1,9748,4,9752,27746,84622,2,1,3,30,15936,11780,18334,17170,2.2194910978159,0.830389966121243,4874,0.415194983060621,0.415194983060621,4.26056193601313,5760,2880,11.0095365053322,11520,5760,150.301600328272,0.509004750537685,0.354860438183924,0.512373745575006,0.732547071251132,0.136134811278391,1,0.964618206300931,0.728028994750335,0.373022942927435,0.5,0.512373745575006,0.732847665043192,1,0.42456570316442,0.618827939162402,0.510423981541436,0.384673199376361,256.137746121786,0.486803061966725,0.785727210633212,501.813698776991,"sSolve",0.85,"ok" "C6288.blif_0.10_0.20_0_0_out_exact-shuffled",1,4570,66,4636,13583,31766,2,1,3,0,9012,4571,9458,5081,2.32629021571082,0.0123684016785688,2285,0.0061842008392844,0.0061842008392844,2.95038826574633,2.54545454545455,1.27272727272727,8.42062122519413,5.09090909090909,2.54545454545455,39.054704595186,0.569413838695461,0.427941824592331,0.56978289765175,0.995356037151703,0.00264433671220802,1,0.756191950464396,1.00907696061366,0.57495479457207,0.5,0.56978289765175,1.00973098210838,1,0.336523595671059,0.374070529338143,0.572448689023722,0.431859473094082,0.12924372191014,0.427283719907662,1.01007636278314,0.225773461252148,"X2clsQ",3600,"timeout" "C6288.blif_0.10_0.20_0_0_out_exact-shuffled",1,4570,66,4636,13583,31766,2,1,3,0,9012,4571,9458,5081,2.32629021571082,0.0123684016785688,2285,0.0061842008392844,0.0061842008392844,2.95038826574633,2.54545454545455,1.27272727272727,8.42062122519413,5.09090909090909,2.54545454545455,39.054704595186,0.569413838695461,0.427941824592331,0.56978289765175,0.995356037151703,0.00264433671220802,1,0.756191950464396,1.00907696061366,0.57495479457207,0.5,0.56978289765175,1.00973098210838,1,0.336523595671059,0.374070529338143,0.572448689023722,0.431859473094082,0.12924372191014,0.427283719907662,1.01007636278314,0.225773461252148,"quantor",3600,"memout" "C6288.blif_0.10_0.20_0_0_out_exact-shuffled",1,4570,66,4636,13583,31766,2,1,3,0,9012,4571,9458,5081,2.32629021571082,0.0123684016785688,2285,0.0061842008392844,0.0061842008392844,2.95038826574633,2.54545454545455,1.27272727272727,8.42062122519413,5.09090909090909,2.54545454545455,39.054704595186,0.569413838695461,0.427941824592331,0.56978289765175,0.995356037151703,0.00264433671220802,1,0.756191950464396,1.00907696061366,0.57495479457207,0.5,0.56978289765175,1.00973098210838,1,0.336523595671059,0.374070529338143,0.572448689023722,0.431859473094082,0.12924372191014,0.427283719907662,1.01007636278314,0.225773461252148,"QuBE",3600,"timeout" "C6288.blif_0.10_0.20_0_0_out_exact-shuffled",1,4570,66,4636,13583,31766,2,1,3,0,9012,4571,9458,5081,2.32629021571082,0.0123684016785688,2285,0.0061842008392844,0.0061842008392844,2.95038826574633,2.54545454545455,1.27272727272727,8.42062122519413,5.09090909090909,2.54545454545455,39.054704595186,0.569413838695461,0.427941824592331,0.56978289765175,0.995356037151703,0.00264433671220802,1,0.756191950464396,1.00907696061366,0.57495479457207,0.5,0.56978289765175,1.00973098210838,1,0.336523595671059,0.374070529338143,0.572448689023722,0.431859473094082,0.12924372191014,0.427283719907662,1.01007636278314,0.225773461252148,"sKizzo",3600,"memout" "C6288.blif_0.10_0.20_0_0_out_exact-shuffled",1,4570,66,4636,13583,31766,2,1,3,0,9012,4571,9458,5081,2.32629021571082,0.0123684016785688,2285,0.0061842008392844,0.0061842008392844,2.95038826574633,2.54545454545455,1.27272727272727,8.42062122519413,5.09090909090909,2.54545454545455,39.054704595186,0.569413838695461,0.427941824592331,0.56978289765175,0.995356037151703,0.00264433671220802,1,0.756191950464396,1.00907696061366,0.57495479457207,0.5,0.56978289765175,1.00973098210838,1,0.336523595671059,0.374070529338143,0.572448689023722,0.431859473094082,0.12924372191014,0.427283719907662,1.01007636278314,0.225773461252148,"sSolve",3600,"timeout" "C6288.blif_0.10_1.00_0_0_inp_exact-shuffled",1,4674,34,4708,13872,32425,2,1,3,0,9220,4652,9667,5209,2.33109861591696,0.0063437139561707,2337,0.00317185697808535,0.00317185697808535,2.96665250637213,2.58823529411765,1.29411764705882,8.88084112149533,5.17647058823529,2.58823529411765,51.9593495934959,0.569252120277564,0.429390902081727,0.569440578903423,0.99761620977354,0.00135697764070933,1,0.756690865749269,1.00454058590823,0.572026172771568,0.5,0.569440578903423,1.00487315267733,1,0.335351787773933,0.37550461361015,0.569380503630465,0.432839022821347,0.12549988943515,0.430493504885523,1.00515426373057,0.220414799303702,"X2clsQ",3600,"timeout" "C6288.blif_0.10_1.00_0_0_inp_exact-shuffled",1,4674,34,4708,13872,32425,2,1,3,0,9220,4652,9667,5209,2.33109861591696,0.0063437139561707,2337,0.00317185697808535,0.00317185697808535,2.96665250637213,2.58823529411765,1.29411764705882,8.88084112149533,5.17647058823529,2.58823529411765,51.9593495934959,0.569252120277564,0.429390902081727,0.569440578903423,0.99761620977354,0.00135697764070933,1,0.756690865749269,1.00454058590823,0.572026172771568,0.5,0.569440578903423,1.00487315267733,1,0.335351787773933,0.37550461361015,0.569380503630465,0.432839022821347,0.12549988943515,0.430493504885523,1.00515426373057,0.220414799303702,"quantor",3600,"memout" "C6288.blif_0.10_1.00_0_0_inp_exact-shuffled",1,4674,34,4708,13872,32425,2,1,3,0,9220,4652,9667,5209,2.33109861591696,0.0063437139561707,2337,0.00317185697808535,0.00317185697808535,2.96665250637213,2.58823529411765,1.29411764705882,8.88084112149533,5.17647058823529,2.58823529411765,51.9593495934959,0.569252120277564,0.429390902081727,0.569440578903423,0.99761620977354,0.00135697764070933,1,0.756690865749269,1.00454058590823,0.572026172771568,0.5,0.569440578903423,1.00487315267733,1,0.335351787773933,0.37550461361015,0.569380503630465,0.432839022821347,0.12549988943515,0.430493504885523,1.00515426373057,0.220414799303702,"QuBE",3600,"timeout" "C6288.blif_0.10_1.00_0_0_inp_exact-shuffled",1,4674,34,4708,13872,32425,2,1,3,0,9220,4652,9667,5209,2.33109861591696,0.0063437139561707,2337,0.00317185697808535,0.00317185697808535,2.96665250637213,2.58823529411765,1.29411764705882,8.88084112149533,5.17647058823529,2.58823529411765,51.9593495934959,0.569252120277564,0.429390902081727,0.569440578903423,0.99761620977354,0.00135697764070933,1,0.756690865749269,1.00454058590823,0.572026172771568,0.5,0.569440578903423,1.00487315267733,1,0.335351787773933,0.37550461361015,0.569380503630465,0.432839022821347,0.12549988943515,0.430493504885523,1.00515426373057,0.220414799303702,"sKizzo",3600,"memout" "C6288.blif_0.10_1.00_0_0_inp_exact-shuffled",1,4674,34,4708,13872,32425,2,1,3,0,9220,4652,9667,5209,2.33109861591696,0.0063437139561707,2337,0.00317185697808535,0.00317185697808535,2.96665250637213,2.58823529411765,1.29411764705882,8.88084112149533,5.17647058823529,2.58823529411765,51.9593495934959,0.569252120277564,0.429390902081727,0.569440578903423,0.99761620977354,0.00135697764070933,1,0.756690865749269,1.00454058590823,0.572026172771568,0.5,0.569440578903423,1.00487315267733,1,0.335351787773933,0.37550461361015,0.569380503630465,0.432839022821347,0.12549988943515,0.430493504885523,1.00515426373057,0.220414799303702,"sSolve",3600,"timeout" "C6288.blif_0.10_1.00_0_1_inp_exact-shuffled",1,4675,34,4709,13874,32429,2,1,3,0,9222,4652,9668,5211,2.33105088655038,0.00634279948104368,2337.5,0.00317139974052184,0.00317139974052184,2.96665958802293,2.58823529411765,1.29411764705882,8.88086642599278,5.17647058823529,2.58823529411765,51.9508021390374,0.569212741681828,0.429430448055753,0.569401069849417,0.997616338913267,0.00135681026241944,1,0.756812395037651,1.00453937132589,0.571985792738822,0.5,0.569401069849417,1.004871730469,1,0.335303445293354,0.375594637451348,0.569341036176589,0.432878221493254,0.125511034219984,0.430533059599096,1.0051531672536,0.220449653625626,"X2clsQ",3600,"timeout" "C6288.blif_0.10_1.00_0_1_inp_exact-shuffled",1,4675,34,4709,13874,32429,2,1,3,0,9222,4652,9668,5211,2.33105088655038,0.00634279948104368,2337.5,0.00317139974052184,0.00317139974052184,2.96665958802293,2.58823529411765,1.29411764705882,8.88086642599278,5.17647058823529,2.58823529411765,51.9508021390374,0.569212741681828,0.429430448055753,0.569401069849417,0.997616338913267,0.00135681026241944,1,0.756812395037651,1.00453937132589,0.571985792738822,0.5,0.569401069849417,1.004871730469,1,0.335303445293354,0.375594637451348,0.569341036176589,0.432878221493254,0.125511034219984,0.430533059599096,1.0051531672536,0.220449653625626,"quantor",3600,"memout" "C6288.blif_0.10_1.00_0_1_inp_exact-shuffled",1,4675,34,4709,13874,32429,2,1,3,0,9222,4652,9668,5211,2.33105088655038,0.00634279948104368,2337.5,0.00317139974052184,0.00317139974052184,2.96665958802293,2.58823529411765,1.29411764705882,8.88086642599278,5.17647058823529,2.58823529411765,51.9508021390374,0.569212741681828,0.429430448055753,0.569401069849417,0.997616338913267,0.00135681026241944,1,0.756812395037651,1.00453937132589,0.571985792738822,0.5,0.569401069849417,1.004871730469,1,0.335303445293354,0.375594637451348,0.569341036176589,0.432878221493254,0.125511034219984,0.430533059599096,1.0051531672536,0.220449653625626,"QuBE",3600,"timeout" "C6288.blif_0.10_1.00_0_1_inp_exact-shuffled",1,4675,34,4709,13874,32429,2,1,3,0,9222,4652,9668,5211,2.33105088655038,0.00634279948104368,2337.5,0.00317139974052184,0.00317139974052184,2.96665958802293,2.58823529411765,1.29411764705882,8.88086642599278,5.17647058823529,2.58823529411765,51.9508021390374,0.569212741681828,0.429430448055753,0.569401069849417,0.997616338913267,0.00135681026241944,1,0.756812395037651,1.00453937132589,0.571985792738822,0.5,0.569401069849417,1.004871730469,1,0.335303445293354,0.375594637451348,0.569341036176589,0.432878221493254,0.125511034219984,0.430533059599096,1.0051531672536,0.220449653625626,"sKizzo",3600,"memout" "C6288.blif_0.10_1.00_0_1_inp_exact-shuffled",1,4675,34,4709,13874,32429,2,1,3,0,9222,4652,9668,5211,2.33105088655038,0.00634279948104368,2337.5,0.00317139974052184,0.00317139974052184,2.96665958802293,2.58823529411765,1.29411764705882,8.88086642599278,5.17647058823529,2.58823529411765,51.9508021390374,0.569212741681828,0.429430448055753,0.569401069849417,0.997616338913267,0.00135681026241944,1,0.756812395037651,1.00453937132589,0.571985792738822,0.5,0.569401069849417,1.004871730469,1,0.335303445293354,0.375594637451348,0.569341036176589,0.432878221493254,0.125511034219984,0.430533059599096,1.0051531672536,0.220449653625626,"sSolve",8.12,"ok" "C6288.blif_0.10_1.00_0_1_out_exact-shuffled",1,4621,34,4655,13735,32120,2,1,3,0,9114,4621,9554,5128,2.33214415726247,0.00640698944302876,2310.5,0.00320349472151438,0.00320349472151438,2.97121374865736,2.58823529411765,1.29411764705882,8.49774436090226,5.17647058823529,2.58823529411765,39.1746375243454,0.56939601494396,0.429234122042341,0.569586663336663,0.997594182295369,0.00136986301369863,1,0.756246924380775,1.00459783063027,0.572205526343945,0.5,0.569586663336663,1.00493419575524,1,0.336439752457226,0.373352748452858,0.572301271516305,0.429887138273783,0.130268092013209,0.427560880492244,1.00511670866511,0.227621531694426,"X2clsQ",3600,"timeout" "C6288.blif_0.10_1.00_0_1_out_exact-shuffled",1,4621,34,4655,13735,32120,2,1,3,0,9114,4621,9554,5128,2.33214415726247,0.00640698944302876,2310.5,0.00320349472151438,0.00320349472151438,2.97121374865736,2.58823529411765,1.29411764705882,8.49774436090226,5.17647058823529,2.58823529411765,39.1746375243454,0.56939601494396,0.429234122042341,0.569586663336663,0.997594182295369,0.00136986301369863,1,0.756246924380775,1.00459783063027,0.572205526343945,0.5,0.569586663336663,1.00493419575524,1,0.336439752457226,0.373352748452858,0.572301271516305,0.429887138273783,0.130268092013209,0.427560880492244,1.00511670866511,0.227621531694426,"quantor",3600,"memout" "C6288.blif_0.10_1.00_0_1_out_exact-shuffled",1,4621,34,4655,13735,32120,2,1,3,0,9114,4621,9554,5128,2.33214415726247,0.00640698944302876,2310.5,0.00320349472151438,0.00320349472151438,2.97121374865736,2.58823529411765,1.29411764705882,8.49774436090226,5.17647058823529,2.58823529411765,39.1746375243454,0.56939601494396,0.429234122042341,0.569586663336663,0.997594182295369,0.00136986301369863,1,0.756246924380775,1.00459783063027,0.572205526343945,0.5,0.569586663336663,1.00493419575524,1,0.336439752457226,0.373352748452858,0.572301271516305,0.429887138273783,0.130268092013209,0.427560880492244,1.00511670866511,0.227621531694426,"QuBE",3600,"timeout" "C6288.blif_0.10_1.00_0_1_out_exact-shuffled",1,4621,34,4655,13735,32120,2,1,3,0,9114,4621,9554,5128,2.33214415726247,0.00640698944302876,2310.5,0.00320349472151438,0.00320349472151438,2.97121374865736,2.58823529411765,1.29411764705882,8.49774436090226,5.17647058823529,2.58823529411765,39.1746375243454,0.56939601494396,0.429234122042341,0.569586663336663,0.997594182295369,0.00136986301369863,1,0.756246924380775,1.00459783063027,0.572205526343945,0.5,0.569586663336663,1.00493419575524,1,0.336439752457226,0.373352748452858,0.572301271516305,0.429887138273783,0.130268092013209,0.427560880492244,1.00511670866511,0.227621531694426,"sKizzo",3600,"memout" "C6288.blif_0.10_1.00_0_1_out_exact-shuffled",1,4621,34,4655,13735,32120,2,1,3,0,9114,4621,9554,5128,2.33214415726247,0.00640698944302876,2310.5,0.00320349472151438,0.00320349472151438,2.97121374865736,2.58823529411765,1.29411764705882,8.49774436090226,5.17647058823529,2.58823529411765,39.1746375243454,0.56939601494396,0.429234122042341,0.569586663336663,0.997594182295369,0.00136986301369863,1,0.756246924380775,1.00459783063027,0.572205526343945,0.5,0.569586663336663,1.00493419575524,1,0.336439752457226,0.373352748452858,0.572301271516305,0.429887138273783,0.130268092013209,0.427560880492244,1.00511670866511,0.227621531694426,"sSolve",1.68,"ok" "C880.blif_0.10_0.20_0_0_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2055,1557,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60133843212237,3.375,1.6875,53.9961759082218,44.25,22.125,240.473786407767,0.551508158892368,0.444041536179331,0.551970730084816,0.991930663478781,0.00445030492830064,1,0.813209802749552,1.00649510882524,0.555555840045063,0.5,0.551970730084816,1.00733929514447,1,0.248108925869894,0.588880484114977,0.552048221437919,0.452059009352221,0.183548796446841,0.44765786388253,1.00916891278638,0.332486890309604,"X2clsQ",3600,"timeout" "C880.blif_0.10_0.20_0_0_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2055,1557,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60133843212237,3.375,1.6875,53.9961759082218,44.25,22.125,240.473786407767,0.551508158892368,0.444041536179331,0.551970730084816,0.991930663478781,0.00445030492830064,1,0.813209802749552,1.00649510882524,0.555555840045063,0.5,0.551970730084816,1.00733929514447,1,0.248108925869894,0.588880484114977,0.552048221437919,0.452059009352221,0.183548796446841,0.44765786388253,1.00916891278638,0.332486890309604,"quantor",3600,"memout" "C880.blif_0.10_0.20_0_0_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2055,1557,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60133843212237,3.375,1.6875,53.9961759082218,44.25,22.125,240.473786407767,0.551508158892368,0.444041536179331,0.551970730084816,0.991930663478781,0.00445030492830064,1,0.813209802749552,1.00649510882524,0.555555840045063,0.5,0.551970730084816,1.00733929514447,1,0.248108925869894,0.588880484114977,0.552048221437919,0.452059009352221,0.183548796446841,0.44765786388253,1.00916891278638,0.332486890309604,"QuBE",3600,"timeout" "C880.blif_0.10_0.20_0_0_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2055,1557,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60133843212237,3.375,1.6875,53.9961759082218,44.25,22.125,240.473786407767,0.551508158892368,0.444041536179331,0.551970730084816,0.991930663478781,0.00445030492830064,1,0.813209802749552,1.00649510882524,0.555555840045063,0.5,0.551970730084816,1.00733929514447,1,0.248108925869894,0.588880484114977,0.552048221437919,0.452059009352221,0.183548796446841,0.44765786388253,1.00916891278638,0.332486890309604,"sKizzo",3600,"memout" "C880.blif_0.10_0.20_0_0_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2055,1557,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60133843212237,3.375,1.6875,53.9961759082218,44.25,22.125,240.473786407767,0.551508158892368,0.444041536179331,0.551970730084816,0.991930663478781,0.00445030492830064,1,0.813209802749552,1.00649510882524,0.555555840045063,0.5,0.551970730084816,1.00733929514447,1,0.248108925869894,0.588880484114977,0.552048221437919,0.452059009352221,0.183548796446841,0.44765786388253,1.00916891278638,0.332486890309604,"sSolve",3600,"timeout" "C880.blif_0.10_0.20_0_0_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"X2clsQ",3600,"timeout" "C880.blif_0.10_0.20_0_0_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"quantor",3600,"timeout" "C880.blif_0.10_0.20_0_0_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"QuBE",3600,"timeout" "C880.blif_0.10_0.20_0_0_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"sKizzo",3600,"memout" "C880.blif_0.10_0.20_0_0_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"sSolve",3600,"timeout" "C880.blif_0.10_0.20_0_1_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2054,1558,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60229445506692,3.375,1.6875,54.0162523900574,44.25,22.125,240.473786407767,0.551343332783913,0.444206362287786,0.551804423748545,0.991928251121076,0.00445030492830064,1,0.813751868460389,1.00649510882524,0.555388453531039,0.5,0.551804423748545,1.00733684531325,1,0.248108925869894,0.589258698940998,0.551881667129318,0.452228150912218,0.183548796446841,0.447825358718106,1.00917127852192,0.332587232697172,"X2clsQ",3600,"timeout" "C880.blif_0.10_0.20_0_1_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2054,1558,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60229445506692,3.375,1.6875,54.0162523900574,44.25,22.125,240.473786407767,0.551343332783913,0.444206362287786,0.551804423748545,0.991928251121076,0.00445030492830064,1,0.813751868460389,1.00649510882524,0.555388453531039,0.5,0.551804423748545,1.00733684531325,1,0.248108925869894,0.589258698940998,0.551881667129318,0.452228150912218,0.183548796446841,0.447825358718106,1.00917127852192,0.332587232697172,"quantor",3600,"memout" "C880.blif_0.10_0.20_0_1_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2054,1558,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60229445506692,3.375,1.6875,54.0162523900574,44.25,22.125,240.473786407767,0.551343332783913,0.444206362287786,0.551804423748545,0.991928251121076,0.00445030492830064,1,0.813751868460389,1.00649510882524,0.555388453531039,0.5,0.551804423748545,1.00733684531325,1,0.248108925869894,0.589258698940998,0.551881667129318,0.452228150912218,0.183548796446841,0.447825358718106,1.00917127852192,0.332587232697172,"QuBE",3600,"timeout" "C880.blif_0.10_0.20_0_1_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2054,1558,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60229445506692,3.375,1.6875,54.0162523900574,44.25,22.125,240.473786407767,0.551343332783913,0.444206362287786,0.551804423748545,0.991928251121076,0.00445030492830064,1,0.813751868460389,1.00649510882524,0.555388453531039,0.5,0.551804423748545,1.00733684531325,1,0.248108925869894,0.589258698940998,0.551881667129318,0.452228150912218,0.183548796446841,0.447825358718106,1.00917127852192,0.332587232697172,"sKizzo",3600,"memout" "C880.blif_0.10_0.20_0_1_inp_exact",1,1030,16,1046,2644,6067,11,10,21,0,1988,656,2054,1558,2.27420574886536,0.0204236006051437,93.6363636363636,0.0102118003025719,0.0102118003025719,2.60229445506692,3.375,1.6875,54.0162523900574,44.25,22.125,240.473786407767,0.551343332783913,0.444206362287786,0.551804423748545,0.991928251121076,0.00445030492830064,1,0.813751868460389,1.00649510882524,0.555388453531039,0.5,0.551804423748545,1.00733684531325,1,0.248108925869894,0.589258698940998,0.551881667129318,0.452228150912218,0.183548796446841,0.447825358718106,1.00917127852192,0.332587232697172,"sSolve",3600,"timeout" "C880.blif_0.10_0.20_0_1_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"X2clsQ",3600,"timeout" "C880.blif_0.10_0.20_0_1_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"quantor",3600,"timeout" "C880.blif_0.10_0.20_0_1_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"QuBE",3600,"timeout" "C880.blif_0.10_0.20_0_1_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"sKizzo",3600,"memout" "C880.blif_0.10_0.20_0_1_out_exact",1,959,14,973,2484,5729,2,1,3,0,1846,638,1903,1423,2.28864734299517,0.0177133655394525,479.5,0.00885668276972625,0.00885668276972625,2.63206577595067,3.14285714285714,1.57142857142857,7.02672147995889,6.28571428571429,3.14285714285714,25.5860271115746,0.552976086577064,0.443183801710595,0.553386103781882,0.993055555555556,0.00384011171234072,1,0.808396464646465,1.00680619667131,0.557152558439391,0.5,0.553386103781882,1.00755271695053,1,0.256843800322061,0.572866344605475,0.558760890609874,0.444799476167259,0.197353985156502,0.440903485428701,1.00806901904515,0.353199353199353,"sSolve",3600,"timeout" "C880.blif_0.10_1.00_0_0_inp_exact",1,1009,13,1022,2610,6007,2,1,3,0,1945,665,2022,1515,2.28314176245211,0.0183908045977011,504.5,0.00919540229885057,0.00919540229885057,2.62915851272016,3.69230769230769,1.84615384615385,7.81506849315068,7.38461538461539,3.69230769230769,34.7998017839445,0.552688530048277,0.44331613118029,0.553112938412485,0.992771084337349,0.00399533877143333,1,0.80933734939759,1.00479041382675,0.555762578280411,0.5,0.553112938412485,1.00556199028025,1,0.254789272030651,0.580459770114943,0.553124825155262,0.449912517327824,0.211130669811361,0.44658828823761,1.00679684765246,0.381705286419023,"X2clsQ",3600,"timeout" "C880.blif_0.10_1.00_0_0_inp_exact",1,1009,13,1022,2610,6007,2,1,3,0,1945,665,2022,1515,2.28314176245211,0.0183908045977011,504.5,0.00919540229885057,0.00919540229885057,2.62915851272016,3.69230769230769,1.84615384615385,7.81506849315068,7.38461538461539,3.69230769230769,34.7998017839445,0.552688530048277,0.44331613118029,0.553112938412485,0.992771084337349,0.00399533877143333,1,0.80933734939759,1.00479041382675,0.555762578280411,0.5,0.553112938412485,1.00556199028025,1,0.254789272030651,0.580459770114943,0.553124825155262,0.449912517327824,0.211130669811361,0.44658828823761,1.00679684765246,0.381705286419023,"quantor",3600,"timeout" "C880.blif_0.10_1.00_0_0_inp_exact",1,1009,13,1022,2610,6007,2,1,3,0,1945,665,2022,1515,2.28314176245211,0.0183908045977011,504.5,0.00919540229885057,0.00919540229885057,2.62915851272016,3.69230769230769,1.84615384615385,7.81506849315068,7.38461538461539,3.69230769230769,34.7998017839445,0.552688530048277,0.44331613118029,0.553112938412485,0.992771084337349,0.00399533877143333,1,0.80933734939759,1.00479041382675,0.555762578280411,0.5,0.553112938412485,1.00556199028025,1,0.254789272030651,0.580459770114943,0.553124825155262,0.449912517327824,0.211130669811361,0.44658828823761,1.00679684765246,0.381705286419023,"QuBE",3600,"timeout" "C880.blif_0.10_1.00_0_0_inp_exact",1,1009,13,1022,2610,6007,2,1,3,0,1945,665,2022,1515,2.28314176245211,0.0183908045977011,504.5,0.00919540229885057,0.00919540229885057,2.62915851272016,3.69230769230769,1.84615384615385,7.81506849315068,7.38461538461539,3.69230769230769,34.7998017839445,0.552688530048277,0.44331613118029,0.553112938412485,0.992771084337349,0.00399533877143333,1,0.80933734939759,1.00479041382675,0.555762578280411,0.5,0.553112938412485,1.00556199028025,1,0.254789272030651,0.580459770114943,0.553124825155262,0.449912517327824,0.211130669811361,0.44658828823761,1.00679684765246,0.381705286419023,"sKizzo",3600,"memout" "C880.blif_0.10_1.00_0_0_inp_exact",1,1009,13,1022,2610,6007,2,1,3,0,1945,665,2022,1515,2.28314176245211,0.0183908045977011,504.5,0.00919540229885057,0.00919540229885057,2.62915851272016,3.69230769230769,1.84615384615385,7.81506849315068,7.38461538461539,3.69230769230769,34.7998017839445,0.552688530048277,0.44331613118029,0.553112938412485,0.992771084337349,0.00399533877143333,1,0.80933734939759,1.00479041382675,0.555762578280411,0.5,0.553112938412485,1.00556199028025,1,0.254789272030651,0.580459770114943,0.553124825155262,0.449912517327824,0.211130669811361,0.44658828823761,1.00679684765246,0.381705286419023,"sSolve",3600,"timeout" "C880.blif_0.10_1.00_0_0_out_exact",1,980,13,993,2548,5879,2,1,3,0,1887,661,1960,1457,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64350453172205,3.69230769230769,1.84615384615385,7.04229607250755,7.38461538461539,3.69230769230769,25.95,0.553495492430686,0.442422180642967,0.553935860058309,0.992624462200369,0.00408232692634802,1,0.806699446834665,1.00499234563701,0.556701299332456,0.5,0.553935860058309,1.00579192955609,1,0.259419152276295,0.57182103610675,0.559440559440559,0.443339479053765,0.230987307910385,0.44019775622742,1.00631024610616,0.412889812889813,"X2clsQ",3600,"timeout" "C880.blif_0.10_1.00_0_0_out_exact",1,980,13,993,2548,5879,2,1,3,0,1887,661,1960,1457,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64350453172205,3.69230769230769,1.84615384615385,7.04229607250755,7.38461538461539,3.69230769230769,25.95,0.553495492430686,0.442422180642967,0.553935860058309,0.992624462200369,0.00408232692634802,1,0.806699446834665,1.00499234563701,0.556701299332456,0.5,0.553935860058309,1.00579192955609,1,0.259419152276295,0.57182103610675,0.559440559440559,0.443339479053765,0.230987307910385,0.44019775622742,1.00631024610616,0.412889812889813,"quantor",3600,"timeout" "C880.blif_0.10_1.00_0_0_out_exact",1,980,13,993,2548,5879,2,1,3,0,1887,661,1960,1457,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64350453172205,3.69230769230769,1.84615384615385,7.04229607250755,7.38461538461539,3.69230769230769,25.95,0.553495492430686,0.442422180642967,0.553935860058309,0.992624462200369,0.00408232692634802,1,0.806699446834665,1.00499234563701,0.556701299332456,0.5,0.553935860058309,1.00579192955609,1,0.259419152276295,0.57182103610675,0.559440559440559,0.443339479053765,0.230987307910385,0.44019775622742,1.00631024610616,0.412889812889813,"QuBE",3600,"timeout" "C880.blif_0.10_1.00_0_0_out_exact",1,980,13,993,2548,5879,2,1,3,0,1887,661,1960,1457,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64350453172205,3.69230769230769,1.84615384615385,7.04229607250755,7.38461538461539,3.69230769230769,25.95,0.553495492430686,0.442422180642967,0.553935860058309,0.992624462200369,0.00408232692634802,1,0.806699446834665,1.00499234563701,0.556701299332456,0.5,0.553935860058309,1.00579192955609,1,0.259419152276295,0.57182103610675,0.559440559440559,0.443339479053765,0.230987307910385,0.44019775622742,1.00631024610616,0.412889812889813,"sKizzo",3600,"memout" "C880.blif_0.10_1.00_0_0_out_exact",1,980,13,993,2548,5879,2,1,3,0,1887,661,1960,1457,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64350453172205,3.69230769230769,1.84615384615385,7.04229607250755,7.38461538461539,3.69230769230769,25.95,0.553495492430686,0.442422180642967,0.553935860058309,0.992624462200369,0.00408232692634802,1,0.806699446834665,1.00499234563701,0.556701299332456,0.5,0.553935860058309,1.00579192955609,1,0.259419152276295,0.57182103610675,0.559440559440559,0.443339479053765,0.230987307910385,0.44019775622742,1.00631024610616,0.412889812889813,"sSolve",3600,"timeout" "C880.blif_0.10_1.00_0_1_out_exact-shuffled",1,980,13,993,2548,5879,2,1,3,0,1887,661,1959,1458,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64451158106747,3.69230769230769,1.84615384615385,7.04531722054381,7.38461538461539,3.69230769230769,25.95,0.553325395475421,0.442592277598231,0.553764362888012,0.992622194897018,0.00408232692634802,1,0.807254841684599,1.00499234563701,0.55652894598901,0.5,0.553764362888012,1.00578963217626,1,0.259419152276295,0.572213500784929,0.559251559251559,0.443530986388129,0.230987307910385,0.440387906446092,1.00631322855043,0.413029349832324,"X2clsQ",0.1,"ok" "C880.blif_0.10_1.00_0_1_out_exact-shuffled",1,980,13,993,2548,5879,2,1,3,0,1887,661,1959,1458,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64451158106747,3.69230769230769,1.84615384615385,7.04531722054381,7.38461538461539,3.69230769230769,25.95,0.553325395475421,0.442592277598231,0.553764362888012,0.992622194897018,0.00408232692634802,1,0.807254841684599,1.00499234563701,0.55652894598901,0.5,0.553764362888012,1.00578963217626,1,0.259419152276295,0.572213500784929,0.559251559251559,0.443530986388129,0.230987307910385,0.440387906446092,1.00631322855043,0.413029349832324,"quantor",112.38,"ok" "C880.blif_0.10_1.00_0_1_out_exact-shuffled",1,980,13,993,2548,5879,2,1,3,0,1887,661,1959,1458,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64451158106747,3.69230769230769,1.84615384615385,7.04531722054381,7.38461538461539,3.69230769230769,25.95,0.553325395475421,0.442592277598231,0.553764362888012,0.992622194897018,0.00408232692634802,1,0.807254841684599,1.00499234563701,0.55652894598901,0.5,0.553764362888012,1.00578963217626,1,0.259419152276295,0.572213500784929,0.559251559251559,0.443530986388129,0.230987307910385,0.440387906446092,1.00631322855043,0.413029349832324,"QuBE",3600,"timeout" "C880.blif_0.10_1.00_0_1_out_exact-shuffled",1,980,13,993,2548,5879,2,1,3,0,1887,661,1959,1458,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64451158106747,3.69230769230769,1.84615384615385,7.04531722054381,7.38461538461539,3.69230769230769,25.95,0.553325395475421,0.442592277598231,0.553764362888012,0.992622194897018,0.00408232692634802,1,0.807254841684599,1.00499234563701,0.55652894598901,0.5,0.553764362888012,1.00578963217626,1,0.259419152276295,0.572213500784929,0.559251559251559,0.443530986388129,0.230987307910385,0.440387906446092,1.00631322855043,0.413029349832324,"sKizzo",3600,"memout" "C880.blif_0.10_1.00_0_1_out_exact-shuffled",1,980,13,993,2548,5879,2,1,3,0,1887,661,1959,1458,2.28846153846154,0.0188383045525903,490,0.00941915227629513,0.00941915227629513,2.64451158106747,3.69230769230769,1.84615384615385,7.04531722054381,7.38461538461539,3.69230769230769,25.95,0.553325395475421,0.442592277598231,0.553764362888012,0.992622194897018,0.00408232692634802,1,0.807254841684599,1.00499234563701,0.55652894598901,0.5,0.553764362888012,1.00578963217626,1,0.259419152276295,0.572213500784929,0.559251559251559,0.443530986388129,0.230987307910385,0.440387906446092,1.00631322855043,0.413029349832324,"sSolve",18.2,"ok" "CHAIN23v.24-shuffled",1,3267,23,3290,19663,43533,2,1,3,25,17522,2116,18076,7477,2.20459746732442,0.00935767685500687,1633.5,0.00467883842750343,0.00467883842750343,3.39118541033435,8,4,9.50243161094225,16,8,157.186103458831,0.743711667011233,0.254174993682953,0.744746130245219,0.997158388930072,0.00211333930581398,1,0.344607116382506,1.00278366310614,0.74681925257142,0.5,0.744746130245219,1.00417848165899,1,0.107613283832579,0.380257336113513,0.752240793133782,0.248034990483368,0.208585942638866,0.247021420339387,1.00111311147884,0.277286135693215,"X2clsQ",3600,"memout" "CHAIN23v.24-shuffled",1,3267,23,3290,19663,43533,2,1,3,25,17522,2116,18076,7477,2.20459746732442,0.00935767685500687,1633.5,0.00467883842750343,0.00467883842750343,3.39118541033435,8,4,9.50243161094225,16,8,157.186103458831,0.743711667011233,0.254174993682953,0.744746130245219,0.997158388930072,0.00211333930581398,1,0.344607116382506,1.00278366310614,0.74681925257142,0.5,0.744746130245219,1.00417848165899,1,0.107613283832579,0.380257336113513,0.752240793133782,0.248034990483368,0.208585942638866,0.247021420339387,1.00111311147884,0.277286135693215,"quantor",0.03,"ok" "CHAIN23v.24-shuffled",1,3267,23,3290,19663,43533,2,1,3,25,17522,2116,18076,7477,2.20459746732442,0.00935767685500687,1633.5,0.00467883842750343,0.00467883842750343,3.39118541033435,8,4,9.50243161094225,16,8,157.186103458831,0.743711667011233,0.254174993682953,0.744746130245219,0.997158388930072,0.00211333930581398,1,0.344607116382506,1.00278366310614,0.74681925257142,0.5,0.744746130245219,1.00417848165899,1,0.107613283832579,0.380257336113513,0.752240793133782,0.248034990483368,0.208585942638866,0.247021420339387,1.00111311147884,0.277286135693215,"QuBE",3600,"timeout" "CHAIN23v.24-shuffled",1,3267,23,3290,19663,43533,2,1,3,25,17522,2116,18076,7477,2.20459746732442,0.00935767685500687,1633.5,0.00467883842750343,0.00467883842750343,3.39118541033435,8,4,9.50243161094225,16,8,157.186103458831,0.743711667011233,0.254174993682953,0.744746130245219,0.997158388930072,0.00211333930581398,1,0.344607116382506,1.00278366310614,0.74681925257142,0.5,0.744746130245219,1.00417848165899,1,0.107613283832579,0.380257336113513,0.752240793133782,0.248034990483368,0.208585942638866,0.247021420339387,1.00111311147884,0.277286135693215,"sKizzo",0.07,"ok" "CHAIN23v.24-shuffled",1,3267,23,3290,19663,43533,2,1,3,25,17522,2116,18076,7477,2.20459746732442,0.00935767685500687,1633.5,0.00467883842750343,0.00467883842750343,3.39118541033435,8,4,9.50243161094225,16,8,157.186103458831,0.743711667011233,0.254174993682953,0.744746130245219,0.997158388930072,0.00211333930581398,1,0.344607116382506,1.00278366310614,0.74681925257142,0.5,0.744746130245219,1.00417848165899,1,0.107613283832579,0.380257336113513,0.752240793133782,0.248034990483368,0.208585942638866,0.247021420339387,1.00111311147884,0.277286135693215,"sSolve",0.85,"ok" "cmu.dme1.B-f2",1,938,108,1046,2563,5979,2,1,3,1,1708,854,1882,1367,2.0120952009364,0.320717908700741,469,0.160358954350371,0.160358954350371,2.45028680688337,7.61111111111111,3.80555555555556,6.2925430210325,15.2222222222222,7.61111111111111,20.1876332622601,0.571332998829236,0.359926409098511,0.582703121970138,0.879683840749415,0.0687405920722529,1,0.750292740046838,0.961828018533952,0.560460189198085,0.5,0.582703121970138,0.980969400238686,1,0.333203277409286,0.533359344518143,0.581377599694715,0.408522438099795,0.506342442423343,0.40911996590667,0.975873335497276,0.870935589347142,"X2clsQ",3600,"timeout" "cmu.dme1.B-f2",1,938,108,1046,2563,5979,2,1,3,1,1708,854,1882,1367,2.0120952009364,0.320717908700741,469,0.160358954350371,0.160358954350371,2.45028680688337,7.61111111111111,3.80555555555556,6.2925430210325,15.2222222222222,7.61111111111111,20.1876332622601,0.571332998829236,0.359926409098511,0.582703121970138,0.879683840749415,0.0687405920722529,1,0.750292740046838,0.961828018533952,0.560460189198085,0.5,0.582703121970138,0.980969400238686,1,0.333203277409286,0.533359344518143,0.581377599694715,0.408522438099795,0.506342442423343,0.40911996590667,0.975873335497276,0.870935589347142,"quantor",3600,"memout" "cmu.dme1.B-f2",1,938,108,1046,2563,5979,2,1,3,1,1708,854,1882,1367,2.0120952009364,0.320717908700741,469,0.160358954350371,0.160358954350371,2.45028680688337,7.61111111111111,3.80555555555556,6.2925430210325,15.2222222222222,7.61111111111111,20.1876332622601,0.571332998829236,0.359926409098511,0.582703121970138,0.879683840749415,0.0687405920722529,1,0.750292740046838,0.961828018533952,0.560460189198085,0.5,0.582703121970138,0.980969400238686,1,0.333203277409286,0.533359344518143,0.581377599694715,0.408522438099795,0.506342442423343,0.40911996590667,0.975873335497276,0.870935589347142,"QuBE",3600,"timeout" "cmu.dme1.B-f2",1,938,108,1046,2563,5979,2,1,3,1,1708,854,1882,1367,2.0120952009364,0.320717908700741,469,0.160358954350371,0.160358954350371,2.45028680688337,7.61111111111111,3.80555555555556,6.2925430210325,15.2222222222222,7.61111111111111,20.1876332622601,0.571332998829236,0.359926409098511,0.582703121970138,0.879683840749415,0.0687405920722529,1,0.750292740046838,0.961828018533952,0.560460189198085,0.5,0.582703121970138,0.980969400238686,1,0.333203277409286,0.533359344518143,0.581377599694715,0.408522438099795,0.506342442423343,0.40911996590667,0.975873335497276,0.870935589347142,"sKizzo",3600,"memout" "cmu.dme1.B-f2",1,938,108,1046,2563,5979,2,1,3,1,1708,854,1882,1367,2.0120952009364,0.320717908700741,469,0.160358954350371,0.160358954350371,2.45028680688337,7.61111111111111,3.80555555555556,6.2925430210325,15.2222222222222,7.61111111111111,20.1876332622601,0.571332998829236,0.359926409098511,0.582703121970138,0.879683840749415,0.0687405920722529,1,0.750292740046838,0.961828018533952,0.560460189198085,0.5,0.582703121970138,0.980969400238686,1,0.333203277409286,0.533359344518143,0.581377599694715,0.408522438099795,0.506342442423343,0.40911996590667,0.975873335497276,0.870935589347142,"sSolve",3600,"timeout" "cmu.dme1.B-f3",1,1633,162,1795,4540,10592,2,1,3,1,3026,1513,3308,2375,2.10440528634361,0.22863436123348,816.5,0.11431718061674,0.11431718061674,2.52924791086351,6.40740740740741,3.2037037037037,6.64679665738162,12.8148148148148,6.40740740740741,25.7103490508267,0.571374622356495,0.379626132930514,0.579129160561022,0.91424322538004,0.0489992447129909,1,0.750165234633179,0.991483595517674,0.574197062382174,0.5,0.579129160561022,1.00493973640978,1,0.333259911894273,0.523127753303965,0.579864779209804,0.421636322680754,0.405003743090932,0.413836334625029,1.00357290180941,0.69844515068296,"X2clsQ",3600,"timeout" "cmu.dme1.B-f3",1,1633,162,1795,4540,10592,2,1,3,1,3026,1513,3308,2375,2.10440528634361,0.22863436123348,816.5,0.11431718061674,0.11431718061674,2.52924791086351,6.40740740740741,3.2037037037037,6.64679665738162,12.8148148148148,6.40740740740741,25.7103490508267,0.571374622356495,0.379626132930514,0.579129160561022,0.91424322538004,0.0489992447129909,1,0.750165234633179,0.991483595517674,0.574197062382174,0.5,0.579129160561022,1.00493973640978,1,0.333259911894273,0.523127753303965,0.579864779209804,0.421636322680754,0.405003743090932,0.413836334625029,1.00357290180941,0.69844515068296,"quantor",3600,"memout" "cmu.dme1.B-f3",1,1633,162,1795,4540,10592,2,1,3,1,3026,1513,3308,2375,2.10440528634361,0.22863436123348,816.5,0.11431718061674,0.11431718061674,2.52924791086351,6.40740740740741,3.2037037037037,6.64679665738162,12.8148148148148,6.40740740740741,25.7103490508267,0.571374622356495,0.379626132930514,0.579129160561022,0.91424322538004,0.0489992447129909,1,0.750165234633179,0.991483595517674,0.574197062382174,0.5,0.579129160561022,1.00493973640978,1,0.333259911894273,0.523127753303965,0.579864779209804,0.421636322680754,0.405003743090932,0.413836334625029,1.00357290180941,0.69844515068296,"QuBE",3600,"timeout" "cmu.dme1.B-f3",1,1633,162,1795,4540,10592,2,1,3,1,3026,1513,3308,2375,2.10440528634361,0.22863436123348,816.5,0.11431718061674,0.11431718061674,2.52924791086351,6.40740740740741,3.2037037037037,6.64679665738162,12.8148148148148,6.40740740740741,25.7103490508267,0.571374622356495,0.379626132930514,0.579129160561022,0.91424322538004,0.0489992447129909,1,0.750165234633179,0.991483595517674,0.574197062382174,0.5,0.579129160561022,1.00493973640978,1,0.333259911894273,0.523127753303965,0.579864779209804,0.421636322680754,0.405003743090932,0.413836334625029,1.00357290180941,0.69844515068296,"sKizzo",3600,"memout" "cmu.dme1.B-f3",1,1633,162,1795,4540,10592,2,1,3,1,3026,1513,3308,2375,2.10440528634361,0.22863436123348,816.5,0.11431718061674,0.11431718061674,2.52924791086351,6.40740740740741,3.2037037037037,6.64679665738162,12.8148148148148,6.40740740740741,25.7103490508267,0.571374622356495,0.379626132930514,0.579129160561022,0.91424322538004,0.0489992447129909,1,0.750165234633179,0.991483595517674,0.574197062382174,0.5,0.579129160561022,1.00493973640978,1,0.333259911894273,0.523127753303965,0.579864779209804,0.421636322680754,0.405003743090932,0.413836334625029,1.00357290180941,0.69844515068296,"sSolve",3600,"timeout" "cmu.dme1.B-f4-shuffled",1,2418,216,2634,6742,15730,2,1,3,1,4494,2247,4890,3491,2.14713734796796,0.185998220112726,1209,0.0929991100563631,0.0929991100563631,2.55960516324981,5.80555555555556,2.90277777777778,6.79384965831435,11.6111111111111,5.80555555555556,28.3374689826303,0.571392244119517,0.388747616020343,0.577576678640508,0.930240320427236,0.0398601398601399,1,0.750111259457054,1.00248833052803,0.579013880322249,0.5,0.577576678640508,1.01333871133389,1,0.333283892020172,0.51779887273806,0.579218397291196,0.42624955189353,0.35957094933534,0.415817091454273,1.0129947439468,0.620786478842745,"X2clsQ",3600,"timeout" "cmu.dme1.B-f4-shuffled",1,2418,216,2634,6742,15730,2,1,3,1,4494,2247,4890,3491,2.14713734796796,0.185998220112726,1209,0.0929991100563631,0.0929991100563631,2.55960516324981,5.80555555555556,2.90277777777778,6.79384965831435,11.6111111111111,5.80555555555556,28.3374689826303,0.571392244119517,0.388747616020343,0.577576678640508,0.930240320427236,0.0398601398601399,1,0.750111259457054,1.00248833052803,0.579013880322249,0.5,0.577576678640508,1.01333871133389,1,0.333283892020172,0.51779887273806,0.579218397291196,0.42624955189353,0.35957094933534,0.415817091454273,1.0129947439468,0.620786478842745,"quantor",3600,"memout" "cmu.dme1.B-f4-shuffled",1,2418,216,2634,6742,15730,2,1,3,1,4494,2247,4890,3491,2.14713734796796,0.185998220112726,1209,0.0929991100563631,0.0929991100563631,2.55960516324981,5.80555555555556,2.90277777777778,6.79384965831435,11.6111111111111,5.80555555555556,28.3374689826303,0.571392244119517,0.388747616020343,0.577576678640508,0.930240320427236,0.0398601398601399,1,0.750111259457054,1.00248833052803,0.579013880322249,0.5,0.577576678640508,1.01333871133389,1,0.333283892020172,0.51779887273806,0.579218397291196,0.42624955189353,0.35957094933534,0.415817091454273,1.0129947439468,0.620786478842745,"QuBE",3600,"timeout" "cmu.dme1.B-f4-shuffled",1,2418,216,2634,6742,15730,2,1,3,1,4494,2247,4890,3491,2.14713734796796,0.185998220112726,1209,0.0929991100563631,0.0929991100563631,2.55960516324981,5.80555555555556,2.90277777777778,6.79384965831435,11.6111111111111,5.80555555555556,28.3374689826303,0.571392244119517,0.388747616020343,0.577576678640508,0.930240320427236,0.0398601398601399,1,0.750111259457054,1.00248833052803,0.579013880322249,0.5,0.577576678640508,1.01333871133389,1,0.333283892020172,0.51779887273806,0.579218397291196,0.42624955189353,0.35957094933534,0.415817091454273,1.0129947439468,0.620786478842745,"sKizzo",3600,"memout" "cmu.dme1.B-f4-shuffled",1,2418,216,2634,6742,15730,2,1,3,1,4494,2247,4890,3491,2.14713734796796,0.185998220112726,1209,0.0929991100563631,0.0929991100563631,2.55960516324981,5.80555555555556,2.90277777777778,6.79384965831435,11.6111111111111,5.80555555555556,28.3374689826303,0.571392244119517,0.388747616020343,0.577576678640508,0.930240320427236,0.0398601398601399,1,0.750111259457054,1.00248833052803,0.579013880322249,0.5,0.577576678640508,1.01333871133389,1,0.333283892020172,0.51779887273806,0.579218397291196,0.42624955189353,0.35957094933534,0.415817091454273,1.0129947439468,0.620786478842745,"sSolve",3600,"timeout" "cmu.dme2.B-f2",1,1203,110,1313,3346,7806,2,1,3,1,2230,1115,2404,1718,2.05140466228332,0.281530185295876,601.5,0.140765092647938,0.140765092647938,2.54836252856055,8.56363636363636,4.28181818181818,6.70601675552171,17.1272727272727,8.56363636363636,32.7007481296758,0.571355367665898,0.368306430950551,0.581148018648019,0.894394618834081,0.0603382013835511,1,0.750224215246637,0.959727251177718,0.55774359046444,0.5,0.581148018648019,0.976176337929466,1,0.3332337118948,0.513448894202032,0.57975372279496,0.409601830665794,0.536657815266063,0.41236626809314,0.974670931982933,0.925665147398909,"X2clsQ",3600,"timeout" "cmu.dme2.B-f2",1,1203,110,1313,3346,7806,2,1,3,1,2230,1115,2404,1718,2.05140466228332,0.281530185295876,601.5,0.140765092647938,0.140765092647938,2.54836252856055,8.56363636363636,4.28181818181818,6.70601675552171,17.1272727272727,8.56363636363636,32.7007481296758,0.571355367665898,0.368306430950551,0.581148018648019,0.894394618834081,0.0603382013835511,1,0.750224215246637,0.959727251177718,0.55774359046444,0.5,0.581148018648019,0.976176337929466,1,0.3332337118948,0.513448894202032,0.57975372279496,0.409601830665794,0.536657815266063,0.41236626809314,0.974670931982933,0.925665147398909,"quantor",3600,"memout" "cmu.dme2.B-f2",1,1203,110,1313,3346,7806,2,1,3,1,2230,1115,2404,1718,2.05140466228332,0.281530185295876,601.5,0.140765092647938,0.140765092647938,2.54836252856055,8.56363636363636,4.28181818181818,6.70601675552171,17.1272727272727,8.56363636363636,32.7007481296758,0.571355367665898,0.368306430950551,0.581148018648019,0.894394618834081,0.0603382013835511,1,0.750224215246637,0.959727251177718,0.55774359046444,0.5,0.581148018648019,0.976176337929466,1,0.3332337118948,0.513448894202032,0.57975372279496,0.409601830665794,0.536657815266063,0.41236626809314,0.974670931982933,0.925665147398909,"QuBE",3600,"timeout" "cmu.dme2.B-f2",1,1203,110,1313,3346,7806,2,1,3,1,2230,1115,2404,1718,2.05140466228332,0.281530185295876,601.5,0.140765092647938,0.140765092647938,2.54836252856055,8.56363636363636,4.28181818181818,6.70601675552171,17.1272727272727,8.56363636363636,32.7007481296758,0.571355367665898,0.368306430950551,0.581148018648019,0.894394618834081,0.0603382013835511,1,0.750224215246637,0.959727251177718,0.55774359046444,0.5,0.581148018648019,0.976176337929466,1,0.3332337118948,0.513448894202032,0.57975372279496,0.409601830665794,0.536657815266063,0.41236626809314,0.974670931982933,0.925665147398909,"sKizzo",3600,"memout" "cmu.dme2.B-f2",1,1203,110,1313,3346,7806,2,1,3,1,2230,1115,2404,1718,2.05140466228332,0.281530185295876,601.5,0.140765092647938,0.140765092647938,2.54836252856055,8.56363636363636,4.28181818181818,6.70601675552171,17.1272727272727,8.56363636363636,32.7007481296758,0.571355367665898,0.368306430950551,0.581148018648019,0.894394618834081,0.0603382013835511,1,0.750224215246637,0.959727251177718,0.55774359046444,0.5,0.581148018648019,0.976176337929466,1,0.3332337118948,0.513448894202032,0.57975372279496,0.409601830665794,0.536657815266063,0.41236626809314,0.974670931982933,0.925665147398909,"sSolve",3600,"timeout" "cmu.periodic.N-d2-shuffled",1,4950,64,5014,14563,33979,2,1,3,1,9708,4854,9867,6525,2.15676714962576,0.176474627480602,2475,0.0882373137403008,0.0882373137403008,2.90446749102513,40.15625,20.078125,7.5045871559633,80.3125,40.15625,42.9951515151515,0.571411754318844,0.390770770181583,0.577254926931771,0.93381747012773,0.0378174754995733,1,0.750051503914298,0.936316435492986,0.54049327555552,0.5,0.577254926931771,0.945891069741502,1,0.333310444276591,0.448053285724095,0.581049935979513,0.395382454506654,2.24175736235595,0.414030115146147,0.943746017633548,3.85811480828559,"X2clsQ",3600,"timeout" "cmu.periodic.N-d2-shuffled",1,4950,64,5014,14563,33979,2,1,3,1,9708,4854,9867,6525,2.15676714962576,0.176474627480602,2475,0.0882373137403008,0.0882373137403008,2.90446749102513,40.15625,20.078125,7.5045871559633,80.3125,40.15625,42.9951515151515,0.571411754318844,0.390770770181583,0.577254926931771,0.93381747012773,0.0378174754995733,1,0.750051503914298,0.936316435492986,0.54049327555552,0.5,0.577254926931771,0.945891069741502,1,0.333310444276591,0.448053285724095,0.581049935979513,0.395382454506654,2.24175736235595,0.414030115146147,0.943746017633548,3.85811480828559,"quantor",0.65,"ok" "cmu.periodic.N-d2-shuffled",1,4950,64,5014,14563,33979,2,1,3,1,9708,4854,9867,6525,2.15676714962576,0.176474627480602,2475,0.0882373137403008,0.0882373137403008,2.90446749102513,40.15625,20.078125,7.5045871559633,80.3125,40.15625,42.9951515151515,0.571411754318844,0.390770770181583,0.577254926931771,0.93381747012773,0.0378174754995733,1,0.750051503914298,0.936316435492986,0.54049327555552,0.5,0.577254926931771,0.945891069741502,1,0.333310444276591,0.448053285724095,0.581049935979513,0.395382454506654,2.24175736235595,0.414030115146147,0.943746017633548,3.85811480828559,"QuBE",3600,"timeout" "cmu.periodic.N-d2-shuffled",1,4950,64,5014,14563,33979,2,1,3,1,9708,4854,9867,6525,2.15676714962576,0.176474627480602,2475,0.0882373137403008,0.0882373137403008,2.90446749102513,40.15625,20.078125,7.5045871559633,80.3125,40.15625,42.9951515151515,0.571411754318844,0.390770770181583,0.577254926931771,0.93381747012773,0.0378174754995733,1,0.750051503914298,0.936316435492986,0.54049327555552,0.5,0.577254926931771,0.945891069741502,1,0.333310444276591,0.448053285724095,0.581049935979513,0.395382454506654,2.24175736235595,0.414030115146147,0.943746017633548,3.85811480828559,"sKizzo",0.07,"ok" "cmu.periodic.N-d2-shuffled",1,4950,64,5014,14563,33979,2,1,3,1,9708,4854,9867,6525,2.15676714962576,0.176474627480602,2475,0.0882373137403008,0.0882373137403008,2.90446749102513,40.15625,20.078125,7.5045871559633,80.3125,40.15625,42.9951515151515,0.571411754318844,0.390770770181583,0.577254926931771,0.93381747012773,0.0378174754995733,1,0.750051503914298,0.936316435492986,0.54049327555552,0.5,0.577254926931771,0.945891069741502,1,0.333310444276591,0.448053285724095,0.581049935979513,0.395382454506654,2.24175736235595,0.414030115146147,0.943746017633548,3.85811480828559,"sSolve",3600,"timeout" "cmu.periodic.N-d4-shuffled",1,11258,128,11386,33295,77687,2,1,3,1,22196,11098,22521,14881,2.1159032887821,0.217389998498273,5629,0.108694999249137,0.108694999249137,2.92420516423678,56.546875,28.2734375,7.62532935183559,113.09375,56.546875,42.5965535619115,0.571421215904849,0.381994413479733,0.578759102329345,0.918476302036403,0.0465843706154183,1,0.750022526581366,0.917141651478566,0.530804078918587,0.5,0.578759102329345,0.928919095308801,1,0.333323321820093,0.446943985583421,0.580438301882234,0.388957139299257,3.11132828545195,0.413511449191776,0.92705588008675,5.36030836587214,"X2clsQ",3600,"timeout" "cmu.periodic.N-d4-shuffled",1,11258,128,11386,33295,77687,2,1,3,1,22196,11098,22521,14881,2.1159032887821,0.217389998498273,5629,0.108694999249137,0.108694999249137,2.92420516423678,56.546875,28.2734375,7.62532935183559,113.09375,56.546875,42.5965535619115,0.571421215904849,0.381994413479733,0.578759102329345,0.918476302036403,0.0465843706154183,1,0.750022526581366,0.917141651478566,0.530804078918587,0.5,0.578759102329345,0.928919095308801,1,0.333323321820093,0.446943985583421,0.580438301882234,0.388957139299257,3.11132828545195,0.413511449191776,0.92705588008675,5.36030836587214,"quantor",3600,"memout" "cmu.periodic.N-d4-shuffled",1,11258,128,11386,33295,77687,2,1,3,1,22196,11098,22521,14881,2.1159032887821,0.217389998498273,5629,0.108694999249137,0.108694999249137,2.92420516423678,56.546875,28.2734375,7.62532935183559,113.09375,56.546875,42.5965535619115,0.571421215904849,0.381994413479733,0.578759102329345,0.918476302036403,0.0465843706154183,1,0.750022526581366,0.917141651478566,0.530804078918587,0.5,0.578759102329345,0.928919095308801,1,0.333323321820093,0.446943985583421,0.580438301882234,0.388957139299257,3.11132828545195,0.413511449191776,0.92705588008675,5.36030836587214,"QuBE",3600,"timeout" "cmu.periodic.N-d4-shuffled",1,11258,128,11386,33295,77687,2,1,3,1,22196,11098,22521,14881,2.1159032887821,0.217389998498273,5629,0.108694999249137,0.108694999249137,2.92420516423678,56.546875,28.2734375,7.62532935183559,113.09375,56.546875,42.5965535619115,0.571421215904849,0.381994413479733,0.578759102329345,0.918476302036403,0.0465843706154183,1,0.750022526581366,0.917141651478566,0.530804078918587,0.5,0.578759102329345,0.928919095308801,1,0.333323321820093,0.446943985583421,0.580438301882234,0.388957139299257,3.11132828545195,0.413511449191776,0.92705588008675,5.36030836587214,"sKizzo",1.05,"ok" "cmu.periodic.N-d4-shuffled",1,11258,128,11386,33295,77687,2,1,3,1,22196,11098,22521,14881,2.1159032887821,0.217389998498273,5629,0.108694999249137,0.108694999249137,2.92420516423678,56.546875,28.2734375,7.62532935183559,113.09375,56.546875,42.5965535619115,0.571421215904849,0.381994413479733,0.578759102329345,0.918476302036403,0.0465843706154183,1,0.750022526581366,0.917141651478566,0.530804078918587,0.5,0.578759102329345,0.928919095308801,1,0.333323321820093,0.446943985583421,0.580438301882234,0.388957139299257,3.11132828545195,0.413511449191776,0.92705588008675,5.36030836587214,"sSolve",3600,"timeout" "cmu.periodic.N-f4-shuffled",1,12018,128,12146,35575,83007,2,1,3,1,23716,11858,24028,15932,2.11437807449051,0.218917779339424,6009,0.109458889669712,0.109458889669712,2.92894780174543,60.84375,30.421875,7.62777869257369,121.6875,60.84375,44.3952404726244,0.571421687327575,0.381666606430783,0.578816522421197,0.917903525046382,0.0469117062416423,1,0.750021082813291,0.915827994007158,0.530096374627204,0.5,0.578816522421197,0.927679831520499,1,0.333323963457484,0.447842586085734,0.580526566001856,0.388304199199657,3.34597236999977,0.413363599608357,0.925694377111318,5.76368518850707,"X2clsQ",3600,"timeout" "cmu.periodic.N-f4-shuffled",1,12018,128,12146,35575,83007,2,1,3,1,23716,11858,24028,15932,2.11437807449051,0.218917779339424,6009,0.109458889669712,0.109458889669712,2.92894780174543,60.84375,30.421875,7.62777869257369,121.6875,60.84375,44.3952404726244,0.571421687327575,0.381666606430783,0.578816522421197,0.917903525046382,0.0469117062416423,1,0.750021082813291,0.915827994007158,0.530096374627204,0.5,0.578816522421197,0.927679831520499,1,0.333323963457484,0.447842586085734,0.580526566001856,0.388304199199657,3.34597236999977,0.413363599608357,0.925694377111318,5.76368518850707,"quantor",3600,"memout" "cmu.periodic.N-f4-shuffled",1,12018,128,12146,35575,83007,2,1,3,1,23716,11858,24028,15932,2.11437807449051,0.218917779339424,6009,0.109458889669712,0.109458889669712,2.92894780174543,60.84375,30.421875,7.62777869257369,121.6875,60.84375,44.3952404726244,0.571421687327575,0.381666606430783,0.578816522421197,0.917903525046382,0.0469117062416423,1,0.750021082813291,0.915827994007158,0.530096374627204,0.5,0.578816522421197,0.927679831520499,1,0.333323963457484,0.447842586085734,0.580526566001856,0.388304199199657,3.34597236999977,0.413363599608357,0.925694377111318,5.76368518850707,"QuBE",3600,"timeout" "cmu.periodic.N-f4-shuffled",1,12018,128,12146,35575,83007,2,1,3,1,23716,11858,24028,15932,2.11437807449051,0.218917779339424,6009,0.109458889669712,0.109458889669712,2.92894780174543,60.84375,30.421875,7.62777869257369,121.6875,60.84375,44.3952404726244,0.571421687327575,0.381666606430783,0.578816522421197,0.917903525046382,0.0469117062416423,1,0.750021082813291,0.915827994007158,0.530096374627204,0.5,0.578816522421197,0.927679831520499,1,0.333323963457484,0.447842586085734,0.580526566001856,0.388304199199657,3.34597236999977,0.413363599608357,0.925694377111318,5.76368518850707,"sKizzo",3600,"memout" "cmu.periodic.N-f4-shuffled",1,12018,128,12146,35575,83007,2,1,3,1,23716,11858,24028,15932,2.11437807449051,0.218917779339424,6009,0.109458889669712,0.109458889669712,2.92894780174543,60.84375,30.421875,7.62777869257369,121.6875,60.84375,44.3952404726244,0.571421687327575,0.381666606430783,0.578816522421197,0.917903525046382,0.0469117062416423,1,0.750021082813291,0.915827994007158,0.530096374627204,0.5,0.578816522421197,0.927679831520499,1,0.333323963457484,0.447842586085734,0.580526566001856,0.388304199199657,3.34597236999977,0.413363599608357,0.925694377111318,5.76368518850707,"sSolve",3600,"timeout" "comp.blif_0.10_0.20_0_0_inp_exact-shuffled",1,306,4,310,831,1951,4,3,7,0,607,224,562,563,2.32611311672684,0.0216606498194946,76.5,0.0108303249097473,0.0108303249097473,3.04516129032258,4.5,2.25,21.0935483870968,21,10.5,81.0980392156863,0.516145566376217,0.479241414659149,0.516295913088463,0.991062562065541,0.00461301896463352,1,0.937437934458788,1.00372525569257,0.518219247377748,0.5,0.516295913088464,1.00401762823633,1,0.269554753309266,0.677496991576414,0.516310377986537,0.486865012543469,0.240772246467934,0.483587644212877,1.00656493417574,0.466332378223496,"X2clsQ",0.02,"ok" "comp.blif_0.10_0.20_0_0_inp_exact-shuffled",1,306,4,310,831,1951,4,3,7,0,607,224,562,563,2.32611311672684,0.0216606498194946,76.5,0.0108303249097473,0.0108303249097473,3.04516129032258,4.5,2.25,21.0935483870968,21,10.5,81.0980392156863,0.516145566376217,0.479241414659149,0.516295913088463,0.991062562065541,0.00461301896463352,1,0.937437934458788,1.00372525569257,0.518219247377748,0.5,0.516295913088464,1.00401762823633,1,0.269554753309266,0.677496991576414,0.516310377986537,0.486865012543469,0.240772246467934,0.483587644212877,1.00656493417574,0.466332378223496,"quantor",0.02,"ok" "comp.blif_0.10_0.20_0_0_inp_exact-shuffled",1,306,4,310,831,1951,4,3,7,0,607,224,562,563,2.32611311672684,0.0216606498194946,76.5,0.0108303249097473,0.0108303249097473,3.04516129032258,4.5,2.25,21.0935483870968,21,10.5,81.0980392156863,0.516145566376217,0.479241414659149,0.516295913088463,0.991062562065541,0.00461301896463352,1,0.937437934458788,1.00372525569257,0.518219247377748,0.5,0.516295913088464,1.00401762823633,1,0.269554753309266,0.677496991576414,0.516310377986537,0.486865012543469,0.240772246467934,0.483587644212877,1.00656493417574,0.466332378223496,"QuBE",0.06,"ok" "comp.blif_0.10_0.20_0_0_inp_exact-shuffled",1,306,4,310,831,1951,4,3,7,0,607,224,562,563,2.32611311672684,0.0216606498194946,76.5,0.0108303249097473,0.0108303249097473,3.04516129032258,4.5,2.25,21.0935483870968,21,10.5,81.0980392156863,0.516145566376217,0.479241414659149,0.516295913088463,0.991062562065541,0.00461301896463352,1,0.937437934458788,1.00372525569257,0.518219247377748,0.5,0.516295913088464,1.00401762823633,1,0.269554753309266,0.677496991576414,0.516310377986537,0.486865012543469,0.240772246467934,0.483587644212877,1.00656493417574,0.466332378223496,"sKizzo",0.04,"ok" "comp.blif_0.10_0.20_0_0_inp_exact-shuffled",1,306,4,310,831,1951,4,3,7,0,607,224,562,563,2.32611311672684,0.0216606498194946,76.5,0.0108303249097473,0.0108303249097473,3.04516129032258,4.5,2.25,21.0935483870968,21,10.5,81.0980392156863,0.516145566376217,0.479241414659149,0.516295913088463,0.991062562065541,0.00461301896463352,1,0.937437934458788,1.00372525569257,0.518219247377748,0.5,0.516295913088464,1.00401762823633,1,0.269554753309266,0.677496991576414,0.516310377986537,0.486865012543469,0.240772246467934,0.483587644212877,1.00656493417574,0.466332378223496,"sSolve",5.91,"ok" "comp.blif_0.10_1.00_0_0_inp_exact-shuffled",1,305,1,306,842,1986,2,1,3,0,610,232,564,560,2.3562945368171,0.00237529691211401,152.5,0.00118764845605701,0.00118764845605701,3.13398692810458,2,1,9.38562091503268,4,2,36.3606557377049,0.517119838872105,0.482376636455186,0.517137096774194,0.999026290165531,0.000503524672708963,1,0.933787731256086,1.00226833737804,0.518310138180377,0.5,0.517137096774194,1.00230178619886,1,0.275534441805226,0.665083135391924,0.51714862138534,0.484097147959915,0.102891728312038,0.482839838492598,1.00258002648523,0.198959687906372,"X2clsQ",0.01,"ok" "comp.blif_0.10_1.00_0_0_inp_exact-shuffled",1,305,1,306,842,1986,2,1,3,0,610,232,564,560,2.3562945368171,0.00237529691211401,152.5,0.00118764845605701,0.00118764845605701,3.13398692810458,2,1,9.38562091503268,4,2,36.3606557377049,0.517119838872105,0.482376636455186,0.517137096774194,0.999026290165531,0.000503524672708963,1,0.933787731256086,1.00226833737804,0.518310138180377,0.5,0.517137096774194,1.00230178619886,1,0.275534441805226,0.665083135391924,0.51714862138534,0.484097147959915,0.102891728312038,0.482839838492598,1.00258002648523,0.198959687906372,"quantor",0,"ok" "comp.blif_0.10_1.00_0_0_inp_exact-shuffled",1,305,1,306,842,1986,2,1,3,0,610,232,564,560,2.3562945368171,0.00237529691211401,152.5,0.00118764845605701,0.00118764845605701,3.13398692810458,2,1,9.38562091503268,4,2,36.3606557377049,0.517119838872105,0.482376636455186,0.517137096774194,0.999026290165531,0.000503524672708963,1,0.933787731256086,1.00226833737804,0.518310138180377,0.5,0.517137096774194,1.00230178619886,1,0.275534441805226,0.665083135391924,0.51714862138534,0.484097147959915,0.102891728312038,0.482839838492598,1.00258002648523,0.198959687906372,"QuBE",0.05,"ok" "comp.blif_0.10_1.00_0_0_inp_exact-shuffled",1,305,1,306,842,1986,2,1,3,0,610,232,564,560,2.3562945368171,0.00237529691211401,152.5,0.00118764845605701,0.00118764845605701,3.13398692810458,2,1,9.38562091503268,4,2,36.3606557377049,0.517119838872105,0.482376636455186,0.517137096774194,0.999026290165531,0.000503524672708963,1,0.933787731256086,1.00226833737804,0.518310138180377,0.5,0.517137096774194,1.00230178619886,1,0.275534441805226,0.665083135391924,0.51714862138534,0.484097147959915,0.102891728312038,0.482839838492598,1.00258002648523,0.198959687906372,"sKizzo",0.01,"ok" "comp.blif_0.10_1.00_0_0_inp_exact-shuffled",1,305,1,306,842,1986,2,1,3,0,610,232,564,560,2.3562945368171,0.00237529691211401,152.5,0.00118764845605701,0.00118764845605701,3.13398692810458,2,1,9.38562091503268,4,2,36.3606557377049,0.517119838872105,0.482376636455186,0.517137096774194,0.999026290165531,0.000503524672708963,1,0.933787731256086,1.00226833737804,0.518310138180377,0.5,0.517137096774194,1.00230178619886,1,0.275534441805226,0.665083135391924,0.51714862138534,0.484097147959915,0.102891728312038,0.482839838492598,1.00258002648523,0.198959687906372,"sSolve",32.3,"ok" "connect_5x4_3_R-shuffled",1,4984,50,5034,15588,55567,11,10,21,229,64,15295,1332,12041,3.48710546574288,0.077623813189633,453.090909090909,0.0452912496792404,0.0323325635103926,6.99106078665077,24.2,14.12,72.8464441795789,264.56,154.36,380.958065810594,0.366656468767434,0.6206381485414,0.365546295785271,0.975262589574948,0.0090701315529001,1.40079365079365,1.72734858152547,0.988038134288799,0.361173680083861,0.583471074380165,0.365546295785271,0.985046524061054,1.40079365079365,0.981203489863998,0.772453169104439,0.376954508771185,0.616051369138496,0.942525251667162,0.623955630254438,0.988774299487305,2.50036869101169,"X2clsQ",371.38,"ok" "connect_5x4_3_R-shuffled",1,4984,50,5034,15588,55567,11,10,21,229,64,15295,1332,12041,3.48710546574288,0.077623813189633,453.090909090909,0.0452912496792404,0.0323325635103926,6.99106078665077,24.2,14.12,72.8464441795789,264.56,154.36,380.958065810594,0.366656468767434,0.6206381485414,0.365546295785271,0.975262589574948,0.0090701315529001,1.40079365079365,1.72734858152547,0.988038134288799,0.361173680083861,0.583471074380165,0.365546295785271,0.985046524061054,1.40079365079365,0.981203489863998,0.772453169104439,0.376954508771185,0.616051369138496,0.942525251667162,0.623955630254438,0.988774299487305,2.50036869101169,"quantor",3600,"memout" "connect_5x4_3_R-shuffled",1,4984,50,5034,15588,55567,11,10,21,229,64,15295,1332,12041,3.48710546574288,0.077623813189633,453.090909090909,0.0452912496792404,0.0323325635103926,6.99106078665077,24.2,14.12,72.8464441795789,264.56,154.36,380.958065810594,0.366656468767434,0.6206381485414,0.365546295785271,0.975262589574948,0.0090701315529001,1.40079365079365,1.72734858152547,0.988038134288799,0.361173680083861,0.583471074380165,0.365546295785271,0.985046524061054,1.40079365079365,0.981203489863998,0.772453169104439,0.376954508771185,0.616051369138496,0.942525251667162,0.623955630254438,0.988774299487305,2.50036869101169,"QuBE",19.51,"ok" "connect_5x4_3_R-shuffled",1,4984,50,5034,15588,55567,11,10,21,229,64,15295,1332,12041,3.48710546574288,0.077623813189633,453.090909090909,0.0452912496792404,0.0323325635103926,6.99106078665077,24.2,14.12,72.8464441795789,264.56,154.36,380.958065810594,0.366656468767434,0.6206381485414,0.365546295785271,0.975262589574948,0.0090701315529001,1.40079365079365,1.72734858152547,0.988038134288799,0.361173680083861,0.583471074380165,0.365546295785271,0.985046524061054,1.40079365079365,0.981203489863998,0.772453169104439,0.376954508771185,0.616051369138496,0.942525251667162,0.623955630254438,0.988774299487305,2.50036869101169,"sKizzo",543.4,"ok" "connect_5x4_3_R-shuffled",1,4984,50,5034,15588,55567,11,10,21,229,64,15295,1332,12041,3.48710546574288,0.077623813189633,453.090909090909,0.0452912496792404,0.0323325635103926,6.99106078665077,24.2,14.12,72.8464441795789,264.56,154.36,380.958065810594,0.366656468767434,0.6206381485414,0.365546295785271,0.975262589574948,0.0090701315529001,1.40079365079365,1.72734858152547,0.988038134288799,0.361173680083861,0.583471074380165,0.365546295785271,0.985046524061054,1.40079365079365,0.981203489863998,0.772453169104439,0.376954508771185,0.616051369138496,0.942525251667162,0.623955630254438,0.988774299487305,2.50036869101169,"sSolve",7.66,"ok" "connect_5x4_4_W-shuffled",1,4304,50,4354,12868,45367,11,10,21,229,64,12575,1332,10001,3.43114703139571,0.0944202673298104,391.272727272727,0.0550979173142679,0.0393223500155424,6.52112999540652,24.3,14.18,74.172714745062,265.04,154.72,361.379879182156,0.37414861022329,0.610223290056649,0.372984236274687,0.970189701897019,0.0111534816055723,1.40118577075099,1.67273477082597,0.98452439472774,0.367212079461325,0.583539094650206,0.372984236274687,0.981460493043592,1.40118577075099,0.977230338824992,0.77719925396332,0.384117200581273,0.608113129841331,0.916026744678335,0.616715644650704,0.987384499803,2.38475846250087,"X2clsQ",0.1,"ok" "connect_5x4_4_W-shuffled",1,4304,50,4354,12868,45367,11,10,21,229,64,12575,1332,10001,3.43114703139571,0.0944202673298104,391.272727272727,0.0550979173142679,0.0393223500155424,6.52112999540652,24.3,14.18,74.172714745062,265.04,154.72,361.379879182156,0.37414861022329,0.610223290056649,0.372984236274687,0.970189701897019,0.0111534816055723,1.40118577075099,1.67273477082597,0.98452439472774,0.367212079461325,0.583539094650206,0.372984236274687,0.981460493043592,1.40118577075099,0.977230338824992,0.77719925396332,0.384117200581273,0.608113129841331,0.916026744678335,0.616715644650704,0.987384499803,2.38475846250087,"quantor",0.03,"ok" "connect_5x4_4_W-shuffled",1,4304,50,4354,12868,45367,11,10,21,229,64,12575,1332,10001,3.43114703139571,0.0944202673298104,391.272727272727,0.0550979173142679,0.0393223500155424,6.52112999540652,24.3,14.18,74.172714745062,265.04,154.72,361.379879182156,0.37414861022329,0.610223290056649,0.372984236274687,0.970189701897019,0.0111534816055723,1.40118577075099,1.67273477082597,0.98452439472774,0.367212079461325,0.583539094650206,0.372984236274687,0.981460493043592,1.40118577075099,0.977230338824992,0.77719925396332,0.384117200581273,0.608113129841331,0.916026744678335,0.616715644650704,0.987384499803,2.38475846250087,"QuBE",0.26,"ok" "connect_5x4_4_W-shuffled",1,4304,50,4354,12868,45367,11,10,21,229,64,12575,1332,10001,3.43114703139571,0.0944202673298104,391.272727272727,0.0550979173142679,0.0393223500155424,6.52112999540652,24.3,14.18,74.172714745062,265.04,154.72,361.379879182156,0.37414861022329,0.610223290056649,0.372984236274687,0.970189701897019,0.0111534816055723,1.40118577075099,1.67273477082597,0.98452439472774,0.367212079461325,0.583539094650206,0.372984236274687,0.981460493043592,1.40118577075099,0.977230338824992,0.77719925396332,0.384117200581273,0.608113129841331,0.916026744678335,0.616715644650704,0.987384499803,2.38475846250087,"sKizzo",0.05,"ok" "connect_5x4_4_W-shuffled",1,4304,50,4354,12868,45367,11,10,21,229,64,12575,1332,10001,3.43114703139571,0.0944202673298104,391.272727272727,0.0550979173142679,0.0393223500155424,6.52112999540652,24.3,14.18,74.172714745062,265.04,154.72,361.379879182156,0.37414861022329,0.610223290056649,0.372984236274687,0.970189701897019,0.0111534816055723,1.40118577075099,1.67273477082597,0.98452439472774,0.367212079461325,0.583539094650206,0.372984236274687,0.981460493043592,1.40118577075099,0.977230338824992,0.77719925396332,0.384117200581273,0.608113129841331,0.916026744678335,0.616715644650704,0.987384499803,2.38475846250087,"sSolve",0.86,"ok" "connect_6x5_4_D-shuffled",1,9886,90,9976,33003,118200,16,15,31,400,94,32509,2713,25949,3.50240887192073,0.0790837196618489,617.875,0.046359421870739,0.0327242977911099,7.38542502004812,29,17,113.822874899759,464,272,625.147987052397,0.376675126903553,0.610380710659898,0.375837010121983,0.975742874469375,0.00913705583756345,1.41666666666667,1.65480762751836,0.986821542231182,0.370884057956131,0.586206896551724,0.375837010121983,0.9846258259869,1.41666666666667,0.98503166378814,0.78626185498288,0.383994223472622,0.608212486922598,1.03909788957111,0.616696511138667,0.987348674473942,2.70602479426412,"X2clsQ",0.36,"ok" "connect_6x5_4_D-shuffled",1,9886,90,9976,33003,118200,16,15,31,400,94,32509,2713,25949,3.50240887192073,0.0790837196618489,617.875,0.046359421870739,0.0327242977911099,7.38542502004812,29,17,113.822874899759,464,272,625.147987052397,0.376675126903553,0.610380710659898,0.375837010121983,0.975742874469375,0.00913705583756345,1.41666666666667,1.65480762751836,0.986821542231182,0.370884057956131,0.586206896551724,0.375837010121983,0.9846258259869,1.41666666666667,0.98503166378814,0.78626185498288,0.383994223472622,0.608212486922598,1.03909788957111,0.616696511138667,0.987348674473942,2.70602479426412,"quantor",0.17,"ok" "connect_6x5_4_D-shuffled",1,9886,90,9976,33003,118200,16,15,31,400,94,32509,2713,25949,3.50240887192073,0.0790837196618489,617.875,0.046359421870739,0.0327242977911099,7.38542502004812,29,17,113.822874899759,464,272,625.147987052397,0.376675126903553,0.610380710659898,0.375837010121983,0.975742874469375,0.00913705583756345,1.41666666666667,1.65480762751836,0.986821542231182,0.370884057956131,0.586206896551724,0.375837010121983,0.9846258259869,1.41666666666667,0.98503166378814,0.78626185498288,0.383994223472622,0.608212486922598,1.03909788957111,0.616696511138667,0.987348674473942,2.70602479426412,"QuBE",0.43,"ok" "connect_6x5_4_D-shuffled",1,9886,90,9976,33003,118200,16,15,31,400,94,32509,2713,25949,3.50240887192073,0.0790837196618489,617.875,0.046359421870739,0.0327242977911099,7.38542502004812,29,17,113.822874899759,464,272,625.147987052397,0.376675126903553,0.610380710659898,0.375837010121983,0.975742874469375,0.00913705583756345,1.41666666666667,1.65480762751836,0.986821542231182,0.370884057956131,0.586206896551724,0.375837010121983,0.9846258259869,1.41666666666667,0.98503166378814,0.78626185498288,0.383994223472622,0.608212486922598,1.03909788957111,0.616696511138667,0.987348674473942,2.70602479426412,"sKizzo",0.13,"ok" "connect_6x5_4_D-shuffled",1,9886,90,9976,33003,118200,16,15,31,400,94,32509,2713,25949,3.50240887192073,0.0790837196618489,617.875,0.046359421870739,0.0327242977911099,7.38542502004812,29,17,113.822874899759,464,272,625.147987052397,0.376675126903553,0.610380710659898,0.375837010121983,0.975742874469375,0.00913705583756345,1.41666666666667,1.65480762751836,0.986821542231182,0.370884057956131,0.586206896551724,0.375837010121983,0.9846258259869,1.41666666666667,0.98503166378814,0.78626185498288,0.383994223472622,0.608212486922598,1.03909788957111,0.616696511138667,0.987348674473942,2.70602479426412,"sSolve",1.03,"ok" "connect_6x5_5_R-shuffled",1,8746,90,8836,27363,96780,16,15,31,400,94,26869,2713,21449,3.44150860651244,0.0953842780396886,546.625,0.0559149216094726,0.039469356430216,6.80364418288818,29,17,104.592236306021,464,272,523.088611936885,0.378828270303782,0.605362678239306,0.377859190825104,0.970542508796334,0.0111593304401736,1.41666666666667,1.63971851730628,0.983044520644563,0.371452407115806,0.586206896551724,0.377859190825104,0.980529797361583,1.41666666666667,0.981946424003216,0.783868727844169,0.386435247456916,0.603458978459317,1.12632100286674,0.61434486733193,0.983529409012037,2.91464355355502,"X2clsQ",3600,"timeout" "connect_6x5_5_R-shuffled",1,8746,90,8836,27363,96780,16,15,31,400,94,26869,2713,21449,3.44150860651244,0.0953842780396886,546.625,0.0559149216094726,0.039469356430216,6.80364418288818,29,17,104.592236306021,464,272,523.088611936885,0.378828270303782,0.605362678239306,0.377859190825104,0.970542508796334,0.0111593304401736,1.41666666666667,1.63971851730628,0.983044520644563,0.371452407115806,0.586206896551724,0.377859190825104,0.980529797361583,1.41666666666667,0.981946424003216,0.783868727844169,0.386435247456916,0.603458978459317,1.12632100286674,0.61434486733193,0.983529409012037,2.91464355355502,"quantor",3600,"memout" "connect_6x5_5_R-shuffled",1,8746,90,8836,27363,96780,16,15,31,400,94,26869,2713,21449,3.44150860651244,0.0953842780396886,546.625,0.0559149216094726,0.039469356430216,6.80364418288818,29,17,104.592236306021,464,272,523.088611936885,0.378828270303782,0.605362678239306,0.377859190825104,0.970542508796334,0.0111593304401736,1.41666666666667,1.63971851730628,0.983044520644563,0.371452407115806,0.586206896551724,0.377859190825104,0.980529797361583,1.41666666666667,0.981946424003216,0.783868727844169,0.386435247456916,0.603458978459317,1.12632100286674,0.61434486733193,0.983529409012037,2.91464355355502,"QuBE",236.43,"ok" "connect_6x5_5_R-shuffled",1,8746,90,8836,27363,96780,16,15,31,400,94,26869,2713,21449,3.44150860651244,0.0953842780396886,546.625,0.0559149216094726,0.039469356430216,6.80364418288818,29,17,104.592236306021,464,272,523.088611936885,0.378828270303782,0.605362678239306,0.377859190825104,0.970542508796334,0.0111593304401736,1.41666666666667,1.63971851730628,0.983044520644563,0.371452407115806,0.586206896551724,0.377859190825104,0.980529797361583,1.41666666666667,0.981946424003216,0.783868727844169,0.386435247456916,0.603458978459317,1.12632100286674,0.61434486733193,0.983529409012037,2.91464355355502,"sKizzo",3600,"memout" "connect_6x5_5_R-shuffled",1,8746,90,8836,27363,96780,16,15,31,400,94,26869,2713,21449,3.44150860651244,0.0953842780396886,546.625,0.0559149216094726,0.039469356430216,6.80364418288818,29,17,104.592236306021,464,272,523.088611936885,0.378828270303782,0.605362678239306,0.377859190825104,0.970542508796334,0.0111593304401736,1.41666666666667,1.63971851730628,0.983044520644563,0.371452407115806,0.586206896551724,0.377859190825104,0.980529797361583,1.41666666666667,0.981946424003216,0.783868727844169,0.386435247456916,0.603458978459317,1.12632100286674,0.61434486733193,0.983529409012037,2.91464355355502,"sSolve",88.56,"ok" "connect_7x6_4_R-shuffled",1,19821,147,19968,70946,256473,22,21,43,641,130,70175,4970,56153,3.54430129957996,0.0707439460998506,900.954545454545,0.0416091111549629,0.0291348349448877,7.98637820512821,34.1428571428571,20.0816326530612,171.114583333333,748.517006802721,440.326530612245,1029.77967811917,0.378211351682243,0.610278664810721,0.37754022604532,0.978690941330502,0.00805932788246716,1.42815674891147,1.6440242884094,0.987701931798315,0.372897210596536,0.588164973102211,0.37754022604532,0.985949281897354,1.42815674891147,0.989132579708511,0.791489301722437,0.383458548932967,0.609347601386526,1.11043839568817,0.617114234566474,0.988331928586379,2.8958498872385,"X2clsQ",3600,"timeout" "connect_7x6_4_R-shuffled",1,19821,147,19968,70946,256473,22,21,43,641,130,70175,4970,56153,3.54430129957996,0.0707439460998506,900.954545454545,0.0416091111549629,0.0291348349448877,7.98637820512821,34.1428571428571,20.0816326530612,171.114583333333,748.517006802721,440.326530612245,1029.77967811917,0.378211351682243,0.610278664810721,0.37754022604532,0.978690941330502,0.00805932788246716,1.42815674891147,1.6440242884094,0.987701931798315,0.372897210596536,0.588164973102211,0.37754022604532,0.985949281897354,1.42815674891147,0.989132579708511,0.791489301722437,0.383458548932967,0.609347601386526,1.11043839568817,0.617114234566474,0.988331928586379,2.8958498872385,"quantor",3600,"memout" "connect_7x6_4_R-shuffled",1,19821,147,19968,70946,256473,22,21,43,641,130,70175,4970,56153,3.54430129957996,0.0707439460998506,900.954545454545,0.0416091111549629,0.0291348349448877,7.98637820512821,34.1428571428571,20.0816326530612,171.114583333333,748.517006802721,440.326530612245,1029.77967811917,0.378211351682243,0.610278664810721,0.37754022604532,0.978690941330502,0.00805932788246716,1.42815674891147,1.6440242884094,0.987701931798315,0.372897210596536,0.588164973102211,0.37754022604532,0.985949281897354,1.42815674891147,0.989132579708511,0.791489301722437,0.383458548932967,0.609347601386526,1.11043839568817,0.617114234566474,0.988331928586379,2.8958498872385,"QuBE",3600,"memout" "connect_7x6_4_R-shuffled",1,19821,147,19968,70946,256473,22,21,43,641,130,70175,4970,56153,3.54430129957996,0.0707439460998506,900.954545454545,0.0416091111549629,0.0291348349448877,7.98637820512821,34.1428571428571,20.0816326530612,171.114583333333,748.517006802721,440.326530612245,1029.77967811917,0.378211351682243,0.610278664810721,0.37754022604532,0.978690941330502,0.00805932788246716,1.42815674891147,1.6440242884094,0.987701931798315,0.372897210596536,0.588164973102211,0.37754022604532,0.985949281897354,1.42815674891147,0.989132579708511,0.791489301722437,0.383458548932967,0.609347601386526,1.11043839568817,0.617114234566474,0.988331928586379,2.8958498872385,"sKizzo",3600,"memout" "connect_7x6_4_R-shuffled",1,19821,147,19968,70946,256473,22,21,43,641,130,70175,4970,56153,3.54430129957996,0.0707439460998506,900.954545454545,0.0416091111549629,0.0291348349448877,7.98637820512821,34.1428571428571,20.0816326530612,171.114583333333,748.517006802721,440.326530612245,1029.77967811917,0.378211351682243,0.610278664810721,0.37754022604532,0.978690941330502,0.00805932788246716,1.42815674891147,1.6440242884094,0.987701931798315,0.372897210596536,0.588164973102211,0.37754022604532,0.985949281897354,1.42815674891147,0.989132579708511,0.791489301722437,0.383458548932967,0.609347601386526,1.11043839568817,0.617114234566474,0.988331928586379,2.8958498872385,"sSolve",3600,"timeout" "connect_7x6_6_W-shuffled",1,15957,147,16104,51626,183057,22,21,43,641,130,50855,4970,40697,3.44847557432302,0.0973540464107233,725.318181818182,0.0572579707899121,0.0400960756208112,7.02334823646299,34.1904761904762,20.108843537415,157.04085941381,748.816326530612,440.544217687075,806.598483424203,0.382137804071956,0.601714220160934,0.381298762575057,0.970408702986291,0.0113079532604599,1.4280193236715,1.61685703257902,0.981503397815379,0.374246031050218,0.588141663350577,0.381298762575057,0.979348358268548,1.4280193236715,0.985065664587611,0.788304342773021,0.387498555927213,0.602315090395298,1.20234385203209,0.613163746733846,0.983369257695533,3.10283440709888,"X2clsQ",0.49,"ok" "connect_7x6_6_W-shuffled",1,15957,147,16104,51626,183057,22,21,43,641,130,50855,4970,40697,3.44847557432302,0.0973540464107233,725.318181818182,0.0572579707899121,0.0400960756208112,7.02334823646299,34.1904761904762,20.108843537415,157.04085941381,748.816326530612,440.544217687075,806.598483424203,0.382137804071956,0.601714220160934,0.381298762575057,0.970408702986291,0.0113079532604599,1.4280193236715,1.61685703257902,0.981503397815379,0.374246031050218,0.588141663350577,0.381298762575057,0.979348358268548,1.4280193236715,0.985065664587611,0.788304342773021,0.387498555927213,0.602315090395298,1.20234385203209,0.613163746733846,0.983369257695533,3.10283440709888,"quantor",0.24,"ok" "connect_7x6_6_W-shuffled",1,15957,147,16104,51626,183057,22,21,43,641,130,50855,4970,40697,3.44847557432302,0.0973540464107233,725.318181818182,0.0572579707899121,0.0400960756208112,7.02334823646299,34.1904761904762,20.108843537415,157.04085941381,748.816326530612,440.544217687075,806.598483424203,0.382137804071956,0.601714220160934,0.381298762575057,0.970408702986291,0.0113079532604599,1.4280193236715,1.61685703257902,0.981503397815379,0.374246031050218,0.588141663350577,0.381298762575057,0.979348358268548,1.4280193236715,0.985065664587611,0.788304342773021,0.387498555927213,0.602315090395298,1.20234385203209,0.613163746733846,0.983369257695533,3.10283440709888,"QuBE",0.62,"ok" "connect_7x6_6_W-shuffled",1,15957,147,16104,51626,183057,22,21,43,641,130,50855,4970,40697,3.44847557432302,0.0973540464107233,725.318181818182,0.0572579707899121,0.0400960756208112,7.02334823646299,34.1904761904762,20.108843537415,157.04085941381,748.816326530612,440.544217687075,806.598483424203,0.382137804071956,0.601714220160934,0.381298762575057,0.970408702986291,0.0113079532604599,1.4280193236715,1.61685703257902,0.981503397815379,0.374246031050218,0.588141663350577,0.381298762575057,0.979348358268548,1.4280193236715,0.985065664587611,0.788304342773021,0.387498555927213,0.602315090395298,1.20234385203209,0.613163746733846,0.983369257695533,3.10283440709888,"sKizzo",0.2,"ok" "connect_7x6_6_W-shuffled",1,15957,147,16104,51626,183057,22,21,43,641,130,50855,4970,40697,3.44847557432302,0.0973540464107233,725.318181818182,0.0572579707899121,0.0400960756208112,7.02334823646299,34.1904761904762,20.108843537415,157.04085941381,748.816326530612,440.544217687075,806.598483424203,0.382137804071956,0.601714220160934,0.381298762575057,0.970408702986291,0.0113079532604599,1.4280193236715,1.61685703257902,0.981503397815379,0.374246031050218,0.588141663350577,0.381298762575057,0.979348358268548,1.4280193236715,0.985065664587611,0.788304342773021,0.387498555927213,0.602315090395298,1.20234385203209,0.613163746733846,0.983369257695533,3.10283440709888,"sSolve",0.92,"ok" "connect_8x7_3_D-shuffled",1,39892,224,40116,142577,517229,29,28,57,964,172,141441,8415,110989,3.56644479824937,0.0612721546953576,1375.58620689655,0.0361348604613647,0.0251372942339929,8.16801276298734,39,23,232.441170605245,1131,667,1419.23611250376,0.366493371408022,0.623545856864174,0.365741514632453,0.981093157347767,0.00692923250629798,1.4375,1.72856230975781,0.988630316608463,0.361583149407941,0.58974358974359,0.365741514632453,0.986602153318034,1.4375,0.992032375488333,0.778449539547052,0.370264333231288,0.623124836242412,1.25708087177431,0.63043182358027,0.989502213587138,3.39509036909873,"X2clsQ",2.21,"ok" "connect_8x7_3_D-shuffled",1,39892,224,40116,142577,517229,29,28,57,964,172,141441,8415,110989,3.56644479824937,0.0612721546953576,1375.58620689655,0.0361348604613647,0.0251372942339929,8.16801276298734,39,23,232.441170605245,1131,667,1419.23611250376,0.366493371408022,0.623545856864174,0.365741514632453,0.981093157347767,0.00692923250629798,1.4375,1.72856230975781,0.988630316608463,0.361583149407941,0.58974358974359,0.365741514632453,0.986602153318034,1.4375,0.992032375488333,0.778449539547052,0.370264333231288,0.623124836242412,1.25708087177431,0.63043182358027,0.989502213587138,3.39509036909873,"quantor",0.79,"ok" "connect_8x7_3_D-shuffled",1,39892,224,40116,142577,517229,29,28,57,964,172,141441,8415,110989,3.56644479824937,0.0612721546953576,1375.58620689655,0.0361348604613647,0.0251372942339929,8.16801276298734,39,23,232.441170605245,1131,667,1419.23611250376,0.366493371408022,0.623545856864174,0.365741514632453,0.981093157347767,0.00692923250629798,1.4375,1.72856230975781,0.988630316608463,0.361583149407941,0.58974358974359,0.365741514632453,0.986602153318034,1.4375,0.992032375488333,0.778449539547052,0.370264333231288,0.623124836242412,1.25708087177431,0.63043182358027,0.989502213587138,3.39509036909873,"QuBE",1.75,"ok" "connect_8x7_3_D-shuffled",1,39892,224,40116,142577,517229,29,28,57,964,172,141441,8415,110989,3.56644479824937,0.0612721546953576,1375.58620689655,0.0361348604613647,0.0251372942339929,8.16801276298734,39,23,232.441170605245,1131,667,1419.23611250376,0.366493371408022,0.623545856864174,0.365741514632453,0.981093157347767,0.00692923250629798,1.4375,1.72856230975781,0.988630316608463,0.361583149407941,0.58974358974359,0.365741514632453,0.986602153318034,1.4375,0.992032375488333,0.778449539547052,0.370264333231288,0.623124836242412,1.25708087177431,0.63043182358027,0.989502213587138,3.39509036909873,"sKizzo",0.82,"ok" "connect_8x7_3_D-shuffled",1,39892,224,40116,142577,517229,29,28,57,964,172,141441,8415,110989,3.56644479824937,0.0612721546953576,1375.58620689655,0.0361348604613647,0.0251372942339929,8.16801276298734,39,23,232.441170605245,1131,667,1419.23611250376,0.366493371408022,0.623545856864174,0.365741514632453,0.981093157347767,0.00692923250629798,1.4375,1.72856230975781,0.988630316608463,0.361583149407941,0.58974358974359,0.365741514632453,0.986602153318034,1.4375,0.992032375488333,0.778449539547052,0.370264333231288,0.623124836242412,1.25708087177431,0.63043182358027,0.989502213587138,3.39509036909873,"sSolve",1.43,"ok" "connect_8x7_7_D-shuffled",1,26900,224,27124,89265,316973,29,28,57,964,172,88129,8415,70669,3.45305550887806,0.0978659048899345,927.586206896552,0.0577157900632947,0.0401501148266398,7.19141719510397,39,23,203.962911075063,1131,667,1064.99267657993,0.384616355336259,0.599129894344313,0.383889669312899,0.97060198666262,0.0113069567439498,1.4375,1.59999343794345,0.980536928684496,0.376417997301777,0.58974358974359,0.383889669312899,0.978684322908435,1.4375,0.987273847532628,0.791676468940794,0.388904469854366,0.599543204498984,1.39019552375001,0.611710252309021,0.981095712410306,3.57464527026547,"X2clsQ",1.29,"ok" "connect_8x7_7_D-shuffled",1,26900,224,27124,89265,316973,29,28,57,964,172,88129,8415,70669,3.45305550887806,0.0978659048899345,927.586206896552,0.0577157900632947,0.0401501148266398,7.19141719510397,39,23,203.962911075063,1131,667,1064.99267657993,0.384616355336259,0.599129894344313,0.383889669312899,0.97060198666262,0.0113069567439498,1.4375,1.59999343794345,0.980536928684496,0.376417997301777,0.58974358974359,0.383889669312899,0.978684322908435,1.4375,0.987273847532628,0.791676468940794,0.388904469854366,0.599543204498984,1.39019552375001,0.611710252309021,0.981095712410306,3.57464527026547,"quantor",0.52,"ok" "connect_8x7_7_D-shuffled",1,26900,224,27124,89265,316973,29,28,57,964,172,88129,8415,70669,3.45305550887806,0.0978659048899345,927.586206896552,0.0577157900632947,0.0401501148266398,7.19141719510397,39,23,203.962911075063,1131,667,1064.99267657993,0.384616355336259,0.599129894344313,0.383889669312899,0.97060198666262,0.0113069567439498,1.4375,1.59999343794345,0.980536928684496,0.376417997301777,0.58974358974359,0.383889669312899,0.978684322908435,1.4375,0.987273847532628,0.791676468940794,0.388904469854366,0.599543204498984,1.39019552375001,0.611710252309021,0.981095712410306,3.57464527026547,"QuBE",1.03,"ok" "connect_8x7_7_D-shuffled",1,26900,224,27124,89265,316973,29,28,57,964,172,88129,8415,70669,3.45305550887806,0.0978659048899345,927.586206896552,0.0577157900632947,0.0401501148266398,7.19141719510397,39,23,203.962911075063,1131,667,1064.99267657993,0.384616355336259,0.599129894344313,0.383889669312899,0.97060198666262,0.0113069567439498,1.4375,1.59999343794345,0.980536928684496,0.376417997301777,0.58974358974359,0.383889669312899,0.978684322908435,1.4375,0.987273847532628,0.791676468940794,0.388904469854366,0.599543204498984,1.39019552375001,0.611710252309021,0.981095712410306,3.57464527026547,"sKizzo",0.4,"ok" "connect_8x7_7_D-shuffled",1,26900,224,27124,89265,316973,29,28,57,964,172,88129,8415,70669,3.45305550887806,0.0978659048899345,927.586206896552,0.0577157900632947,0.0401501148266398,7.19141719510397,39,23,203.962911075063,1131,667,1064.99267657993,0.384616355336259,0.599129894344313,0.383889669312899,0.97060198666262,0.0113069567439498,1.4375,1.59999343794345,0.980536928684496,0.376417997301777,0.58974358974359,0.383889669312899,0.978684322908435,1.4375,0.987273847532628,0.791676468940794,0.388904469854366,0.599543204498984,1.39019552375001,0.611710252309021,0.981095712410306,3.57464527026547,"sSolve",1.11,"ok" "connect_9x8_3_R-shuffled",1,66442,324,66766,242432,881755,37,36,73,1381,220,240831,13408,188925,3.57817037354805,0.0589526135163675,1795.72972972973,0.0348303854276663,0.0241222280887012,8.36627924392655,44.1111111111111,26.0617283950617,305.030180031753,1628.49382716049,962.308641975309,1909.46595526926,0.366509971590748,0.623913672165171,0.365806956607948,0.981904372903593,0.00663222777302085,1.44391244870041,1.72843872612726,0.988588809480662,0.361632663732795,0.590820039182759,0.365806956607948,0.986692564361116,1.44391244870041,0.993396086325238,0.779290687697994,0.369446392705142,0.623927920258773,1.37712757341317,0.631211838110856,0.989492270031553,3.7275436994516,"X2clsQ",3600,"timeout" "connect_9x8_3_R-shuffled",1,66442,324,66766,242432,881755,37,36,73,1381,220,240831,13408,188925,3.57817037354805,0.0589526135163675,1795.72972972973,0.0348303854276663,0.0241222280887012,8.36627924392655,44.1111111111111,26.0617283950617,305.030180031753,1628.49382716049,962.308641975309,1909.46595526926,0.366509971590748,0.623913672165171,0.365806956607948,0.981904372903593,0.00663222777302085,1.44391244870041,1.72843872612726,0.988588809480662,0.361632663732795,0.590820039182759,0.365806956607948,0.986692564361116,1.44391244870041,0.993396086325238,0.779290687697994,0.369446392705142,0.623927920258773,1.37712757341317,0.631211838110856,0.989492270031553,3.7275436994516,"quantor",3600,"memout" "connect_9x8_3_R-shuffled",1,66442,324,66766,242432,881755,37,36,73,1381,220,240831,13408,188925,3.57817037354805,0.0589526135163675,1795.72972972973,0.0348303854276663,0.0241222280887012,8.36627924392655,44.1111111111111,26.0617283950617,305.030180031753,1628.49382716049,962.308641975309,1909.46595526926,0.366509971590748,0.623913672165171,0.365806956607948,0.981904372903593,0.00663222777302085,1.44391244870041,1.72843872612726,0.988588809480662,0.361632663732795,0.590820039182759,0.365806956607948,0.986692564361116,1.44391244870041,0.993396086325238,0.779290687697994,0.369446392705142,0.623927920258773,1.37712757341317,0.631211838110856,0.989492270031553,3.7275436994516,"QuBE",3600,"memout" "connect_9x8_3_R-shuffled",1,66442,324,66766,242432,881755,37,36,73,1381,220,240831,13408,188925,3.57817037354805,0.0589526135163675,1795.72972972973,0.0348303854276663,0.0241222280887012,8.36627924392655,44.1111111111111,26.0617283950617,305.030180031753,1628.49382716049,962.308641975309,1909.46595526926,0.366509971590748,0.623913672165171,0.365806956607948,0.981904372903593,0.00663222777302085,1.44391244870041,1.72843872612726,0.988588809480662,0.361632663732795,0.590820039182759,0.365806956607948,0.986692564361116,1.44391244870041,0.993396086325238,0.779290687697994,0.369446392705142,0.623927920258773,1.37712757341317,0.631211838110856,0.989492270031553,3.7275436994516,"sKizzo",3600,"memout" "connect_9x8_3_R-shuffled",1,66442,324,66766,242432,881755,37,36,73,1381,220,240831,13408,188925,3.57817037354805,0.0589526135163675,1795.72972972973,0.0348303854276663,0.0241222280887012,8.36627924392655,44.1111111111111,26.0617283950617,305.030180031753,1628.49382716049,962.308641975309,1909.46595526926,0.366509971590748,0.623913672165171,0.365806956607948,0.981904372903593,0.00663222777302085,1.44391244870041,1.72843872612726,0.988588809480662,0.361632663732795,0.590820039182759,0.365806956607948,0.986692564361116,1.44391244870041,0.993396086325238,0.779290687697994,0.369446392705142,0.623927920258773,1.37712757341317,0.631211838110856,0.989492270031553,3.7275436994516,"sSolve",3600,"timeout" "connect_9x8_6_D-shuffled",1,50458,324,50782,198368,721483,37,36,73,1381,220,196767,13408,160845,3.56504577351186,0.0720479109533796,1363.72972972973,0.0425673495725117,0.0294805613808679,8.63469339529755,44.1111111111111,26.0617283950617,314.405832775393,1628.49382716049,962.308641975309,2035.36432280312,0.392242090250221,0.596054238284201,0.391899783792497,0.979335396966742,0.00810552708795633,1.44391244870041,1.54944592856436,0.986484784950937,0.386603173936861,0.590820039182759,0.391899783792497,0.985623887763389,1.44391244870041,0.991929141797064,0.810841466365543,0.395496992867533,0.596504062106809,1.28086347570796,0.604779897024491,0.986767733276296,3.23861748333689,"X2clsQ",1.56,"ok" "connect_9x8_6_D-shuffled",1,50458,324,50782,198368,721483,37,36,73,1381,220,196767,13408,160845,3.56504577351186,0.0720479109533796,1363.72972972973,0.0425673495725117,0.0294805613808679,8.63469339529755,44.1111111111111,26.0617283950617,314.405832775393,1628.49382716049,962.308641975309,2035.36432280312,0.392242090250221,0.596054238284201,0.391899783792497,0.979335396966742,0.00810552708795633,1.44391244870041,1.54944592856436,0.986484784950937,0.386603173936861,0.590820039182759,0.391899783792497,0.985623887763389,1.44391244870041,0.991929141797064,0.810841466365543,0.395496992867533,0.596504062106809,1.28086347570796,0.604779897024491,0.986767733276296,3.23861748333689,"quantor",0.83,"ok" "connect_9x8_6_D-shuffled",1,50458,324,50782,198368,721483,37,36,73,1381,220,196767,13408,160845,3.56504577351186,0.0720479109533796,1363.72972972973,0.0425673495725117,0.0294805613808679,8.63469339529755,44.1111111111111,26.0617283950617,314.405832775393,1628.49382716049,962.308641975309,2035.36432280312,0.392242090250221,0.596054238284201,0.391899783792497,0.979335396966742,0.00810552708795633,1.44391244870041,1.54944592856436,0.986484784950937,0.386603173936861,0.590820039182759,0.391899783792497,0.985623887763389,1.44391244870041,0.991929141797064,0.810841466365543,0.395496992867533,0.596504062106809,1.28086347570796,0.604779897024491,0.986767733276296,3.23861748333689,"QuBE",1.94,"ok" "connect_9x8_6_D-shuffled",1,50458,324,50782,198368,721483,37,36,73,1381,220,196767,13408,160845,3.56504577351186,0.0720479109533796,1363.72972972973,0.0425673495725117,0.0294805613808679,8.63469339529755,44.1111111111111,26.0617283950617,314.405832775393,1628.49382716049,962.308641975309,2035.36432280312,0.392242090250221,0.596054238284201,0.391899783792497,0.979335396966742,0.00810552708795633,1.44391244870041,1.54944592856436,0.986484784950937,0.386603173936861,0.590820039182759,0.391899783792497,0.985623887763389,1.44391244870041,0.991929141797064,0.810841466365543,0.395496992867533,0.596504062106809,1.28086347570796,0.604779897024491,0.986767733276296,3.23861748333689,"sKizzo",0.92,"ok" "connect_9x8_6_D-shuffled",1,50458,324,50782,198368,721483,37,36,73,1381,220,196767,13408,160845,3.56504577351186,0.0720479109533796,1363.72972972973,0.0425673495725117,0.0294805613808679,8.63469339529755,44.1111111111111,26.0617283950617,314.405832775393,1628.49382716049,962.308641975309,2035.36432280312,0.392242090250221,0.596054238284201,0.391899783792497,0.979335396966742,0.00810552708795633,1.44391244870041,1.54944592856436,0.986484784950937,0.386603173936861,0.590820039182759,0.391899783792497,0.985623887763389,1.44391244870041,0.991929141797064,0.810841466365543,0.395496992867533,0.596504062106809,1.28086347570796,0.604779897024491,0.986767733276296,3.23861748333689,"sSolve",1.42,"ok" "connect_9x8_8_D-shuffled",1,42682,324,43006,144512,513835,37,36,73,1381,220,142911,13408,114765,3.45675791629761,0.0988983613817538,1153.56756756757,0.0584311337466785,0.0404672276350753,7.32974468678789,44.1111111111111,26.0617283950617,266.456889736316,1628.49382716049,962.308641975309,1415.478960686,0.386528749501299,0.59703795965631,0.385880694955189,0.970555656254406,0.011381085367871,1.44391244870041,1.58712967997905,0.979565506225141,0.377995418296289,0.590820039182759,0.385880694955189,0.977923165570427,1.44391244870041,0.988921335252436,0.794155502657219,0.389911387042664,0.597994251642614,1.52531989698055,0.610642659301933,0.980176057940016,3.91196550721319,"X2clsQ",2.43,"ok" "connect_9x8_8_D-shuffled",1,42682,324,43006,144512,513835,37,36,73,1381,220,142911,13408,114765,3.45675791629761,0.0988983613817538,1153.56756756757,0.0584311337466785,0.0404672276350753,7.32974468678789,44.1111111111111,26.0617283950617,266.456889736316,1628.49382716049,962.308641975309,1415.478960686,0.386528749501299,0.59703795965631,0.385880694955189,0.970555656254406,0.011381085367871,1.44391244870041,1.58712967997905,0.979565506225141,0.377995418296289,0.590820039182759,0.385880694955189,0.977923165570427,1.44391244870041,0.988921335252436,0.794155502657219,0.389911387042664,0.597994251642614,1.52531989698055,0.610642659301933,0.980176057940016,3.91196550721319,"quantor",0.88,"ok" "connect_9x8_8_D-shuffled",1,42682,324,43006,144512,513835,37,36,73,1381,220,142911,13408,114765,3.45675791629761,0.0988983613817538,1153.56756756757,0.0584311337466785,0.0404672276350753,7.32974468678789,44.1111111111111,26.0617283950617,266.456889736316,1628.49382716049,962.308641975309,1415.478960686,0.386528749501299,0.59703795965631,0.385880694955189,0.970555656254406,0.011381085367871,1.44391244870041,1.58712967997905,0.979565506225141,0.377995418296289,0.590820039182759,0.385880694955189,0.977923165570427,1.44391244870041,0.988921335252436,0.794155502657219,0.389911387042664,0.597994251642614,1.52531989698055,0.610642659301933,0.980176057940016,3.91196550721319,"QuBE",1.58,"ok" "connect_9x8_8_D-shuffled",1,42682,324,43006,144512,513835,37,36,73,1381,220,142911,13408,114765,3.45675791629761,0.0988983613817538,1153.56756756757,0.0584311337466785,0.0404672276350753,7.32974468678789,44.1111111111111,26.0617283950617,266.456889736316,1628.49382716049,962.308641975309,1415.478960686,0.386528749501299,0.59703795965631,0.385880694955189,0.970555656254406,0.011381085367871,1.44391244870041,1.58712967997905,0.979565506225141,0.377995418296289,0.590820039182759,0.385880694955189,0.977923165570427,1.44391244870041,0.988921335252436,0.794155502657219,0.389911387042664,0.597994251642614,1.52531989698055,0.610642659301933,0.980176057940016,3.91196550721319,"sKizzo",0.83,"ok" "connect_9x8_8_D-shuffled",1,42682,324,43006,144512,513835,37,36,73,1381,220,142911,13408,114765,3.45675791629761,0.0988983613817538,1153.56756756757,0.0584311337466785,0.0404672276350753,7.32974468678789,44.1111111111111,26.0617283950617,266.456889736316,1628.49382716049,962.308641975309,1415.478960686,0.386528749501299,0.59703795965631,0.385880694955189,0.970555656254406,0.011381085367871,1.44391244870041,1.58712967997905,0.979565506225141,0.377995418296289,0.590820039182759,0.385880694955189,0.977923165570427,1.44391244870041,0.988921335252436,0.794155502657219,0.389911387042664,0.597994251642614,1.52531989698055,0.610642659301933,0.980176057940016,3.91196550721319,"sSolve",1.42,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000007",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"X2clsQ",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000007",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000007",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"QuBE",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000007",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"sKizzo",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000007",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"sSolve",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000015",1,1426,195,1621,4968,14503,10,10,20,0,1388,3580,4105,1409,2.38989533011272,0.52938808373591,142.6,0.300925925925926,0.228462157809984,3.08698334361505,13.4871794871795,7.66666666666667,32.2794571252313,100.25641025641,64.4871794871795,147.103786816269,0.654967937668069,0.241949941391436,0.704455487239956,0.880513738288241,0.078259670413018,1.31718061674009,0.526792293925676,0.930606561161259,0.655570898471555,0.568441064638783,0.704455487239956,1.0009205959083,1.31718061674009,0.72061191626409,0.283615136876006,0.63941148094549,0.31138900962398,0.399572207821122,0.316581713921631,0.863557748428777,0.624906214117832,"X2clsQ",1535.95,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000015",1,1426,195,1621,4968,14503,10,10,20,0,1388,3580,4105,1409,2.38989533011272,0.52938808373591,142.6,0.300925925925926,0.228462157809984,3.08698334361505,13.4871794871795,7.66666666666667,32.2794571252313,100.25641025641,64.4871794871795,147.103786816269,0.654967937668069,0.241949941391436,0.704455487239956,0.880513738288241,0.078259670413018,1.31718061674009,0.526792293925676,0.930606561161259,0.655570898471555,0.568441064638783,0.704455487239956,1.0009205959083,1.31718061674009,0.72061191626409,0.283615136876006,0.63941148094549,0.31138900962398,0.399572207821122,0.316581713921631,0.863557748428777,0.624906214117832,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000015",1,1426,195,1621,4968,14503,10,10,20,0,1388,3580,4105,1409,2.38989533011272,0.52938808373591,142.6,0.300925925925926,0.228462157809984,3.08698334361505,13.4871794871795,7.66666666666667,32.2794571252313,100.25641025641,64.4871794871795,147.103786816269,0.654967937668069,0.241949941391436,0.704455487239956,0.880513738288241,0.078259670413018,1.31718061674009,0.526792293925676,0.930606561161259,0.655570898471555,0.568441064638783,0.704455487239956,1.0009205959083,1.31718061674009,0.72061191626409,0.283615136876006,0.63941148094549,0.31138900962398,0.399572207821122,0.316581713921631,0.863557748428777,0.624906214117832,"QuBE",37.42,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000015",1,1426,195,1621,4968,14503,10,10,20,0,1388,3580,4105,1409,2.38989533011272,0.52938808373591,142.6,0.300925925925926,0.228462157809984,3.08698334361505,13.4871794871795,7.66666666666667,32.2794571252313,100.25641025641,64.4871794871795,147.103786816269,0.654967937668069,0.241949941391436,0.704455487239956,0.880513738288241,0.078259670413018,1.31718061674009,0.526792293925676,0.930606561161259,0.655570898471555,0.568441064638783,0.704455487239956,1.0009205959083,1.31718061674009,0.72061191626409,0.283615136876006,0.63941148094549,0.31138900962398,0.399572207821122,0.316581713921631,0.863557748428777,0.624906214117832,"sKizzo",165.44,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000015",1,1426,195,1621,4968,14503,10,10,20,0,1388,3580,4105,1409,2.38989533011272,0.52938808373591,142.6,0.300925925925926,0.228462157809984,3.08698334361505,13.4871794871795,7.66666666666667,32.2794571252313,100.25641025641,64.4871794871795,147.103786816269,0.654967937668069,0.241949941391436,0.704455487239956,0.880513738288241,0.078259670413018,1.31718061674009,0.526792293925676,0.930606561161259,0.655570898471555,0.568441064638783,0.704455487239956,1.0009205959083,1.31718061674009,0.72061191626409,0.283615136876006,0.63941148094549,0.31138900962398,0.399572207821122,0.316581713921631,0.863557748428777,0.624906214117832,"sSolve",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000026",1,1451,205,1656,5018,14628,10,10,20,0,1438,3580,4120,1459,2.38102829812674,0.534077321642088,145.1,0.304902351534476,0.229174970107613,3.07306763285024,13.0731707317073,7.46341463414634,32.2765700483092,97.5609756097561,62.9268292682927,145.120606478291,0.652105550998086,0.243300519551545,0.702125878808169,0.879442289548171,0.0786163522012579,1.3304347826087,0.533494076947269,0.932187300885531,0.654512827848068,0.57089552238806,0.702125878808169,1.00369154479102,1.3304347826087,0.713431646074133,0.290753288162615,0.635799945489234,0.315337830565437,0.390802305406537,0.31989586620385,0.865836857133463,0.614662376395493,"X2clsQ",1276.05,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000026",1,1451,205,1656,5018,14628,10,10,20,0,1438,3580,4120,1459,2.38102829812674,0.534077321642088,145.1,0.304902351534476,0.229174970107613,3.07306763285024,13.0731707317073,7.46341463414634,32.2765700483092,97.5609756097561,62.9268292682927,145.120606478291,0.652105550998086,0.243300519551545,0.702125878808169,0.879442289548171,0.0786163522012579,1.3304347826087,0.533494076947269,0.932187300885531,0.654512827848068,0.57089552238806,0.702125878808169,1.00369154479102,1.3304347826087,0.713431646074133,0.290753288162615,0.635799945489234,0.315337830565437,0.390802305406537,0.31989586620385,0.865836857133463,0.614662376395493,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000026",1,1451,205,1656,5018,14628,10,10,20,0,1438,3580,4120,1459,2.38102829812674,0.534077321642088,145.1,0.304902351534476,0.229174970107613,3.07306763285024,13.0731707317073,7.46341463414634,32.2765700483092,97.5609756097561,62.9268292682927,145.120606478291,0.652105550998086,0.243300519551545,0.702125878808169,0.879442289548171,0.0786163522012579,1.3304347826087,0.533494076947269,0.932187300885531,0.654512827848068,0.57089552238806,0.702125878808169,1.00369154479102,1.3304347826087,0.713431646074133,0.290753288162615,0.635799945489234,0.315337830565437,0.390802305406537,0.31989586620385,0.865836857133463,0.614662376395493,"QuBE",55.32,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000026",1,1451,205,1656,5018,14628,10,10,20,0,1438,3580,4120,1459,2.38102829812674,0.534077321642088,145.1,0.304902351534476,0.229174970107613,3.07306763285024,13.0731707317073,7.46341463414634,32.2765700483092,97.5609756097561,62.9268292682927,145.120606478291,0.652105550998086,0.243300519551545,0.702125878808169,0.879442289548171,0.0786163522012579,1.3304347826087,0.533494076947269,0.932187300885531,0.654512827848068,0.57089552238806,0.702125878808169,1.00369154479102,1.3304347826087,0.713431646074133,0.290753288162615,0.635799945489234,0.315337830565437,0.390802305406537,0.31989586620385,0.865836857133463,0.614662376395493,"sKizzo",117.58,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000026",1,1451,205,1656,5018,14628,10,10,20,0,1438,3580,4120,1459,2.38102829812674,0.534077321642088,145.1,0.304902351534476,0.229174970107613,3.07306763285024,13.0731707317073,7.46341463414634,32.2765700483092,97.5609756097561,62.9268292682927,145.120606478291,0.652105550998086,0.243300519551545,0.702125878808169,0.879442289548171,0.0786163522012579,1.3304347826087,0.533494076947269,0.932187300885531,0.654512827848068,0.57089552238806,0.702125878808169,1.00369154479102,1.3304347826087,0.713431646074133,0.290753288162615,0.635799945489234,0.315337830565437,0.390802305406537,0.31989586620385,0.865836857133463,0.614662376395493,"sSolve",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000056",1,1426,195,1621,4973,14513,10,10,20,0,1393,3580,4110,1414,2.38849788859843,0.529861250754072,142.6,0.300623366177358,0.229237884576714,3.09006785934608,13.5128205128205,7.66666666666667,32.2362739049969,99.6153846153846,63.7179487179487,147.159887798036,0.654861158960932,0.242127747536691,0.704158949318067,0.880050505050505,0.0785502652794047,1.31140350877193,0.527041245791246,0.930356968734034,0.655119185594499,0.567362428842505,0.704158949318067,1.00039401731197,1.31140350877193,0.719887391916348,0.284335411220591,0.639782166614966,0.312112493557925,0.401128760140238,0.317016873607131,0.866454863228023,0.62697708856528,"X2clsQ",1837.26,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000056",1,1426,195,1621,4973,14513,10,10,20,0,1393,3580,4110,1414,2.38849788859843,0.529861250754072,142.6,0.300623366177358,0.229237884576714,3.09006785934608,13.5128205128205,7.66666666666667,32.2362739049969,99.6153846153846,63.7179487179487,147.159887798036,0.654861158960932,0.242127747536691,0.704158949318067,0.880050505050505,0.0785502652794047,1.31140350877193,0.527041245791246,0.930356968734034,0.655119185594499,0.567362428842505,0.704158949318067,1.00039401731197,1.31140350877193,0.719887391916348,0.284335411220591,0.639782166614966,0.312112493557925,0.401128760140238,0.317016873607131,0.866454863228023,0.62697708856528,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000056",1,1426,195,1621,4973,14513,10,10,20,0,1393,3580,4110,1414,2.38849788859843,0.529861250754072,142.6,0.300623366177358,0.229237884576714,3.09006785934608,13.5128205128205,7.66666666666667,32.2362739049969,99.6153846153846,63.7179487179487,147.159887798036,0.654861158960932,0.242127747536691,0.704158949318067,0.880050505050505,0.0785502652794047,1.31140350877193,0.527041245791246,0.930356968734034,0.655119185594499,0.567362428842505,0.704158949318067,1.00039401731197,1.31140350877193,0.719887391916348,0.284335411220591,0.639782166614966,0.312112493557925,0.401128760140238,0.317016873607131,0.866454863228023,0.62697708856528,"QuBE",37.78,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000056",1,1426,195,1621,4973,14513,10,10,20,0,1393,3580,4110,1414,2.38849788859843,0.529861250754072,142.6,0.300623366177358,0.229237884576714,3.09006785934608,13.5128205128205,7.66666666666667,32.2362739049969,99.6153846153846,63.7179487179487,147.159887798036,0.654861158960932,0.242127747536691,0.704158949318067,0.880050505050505,0.0785502652794047,1.31140350877193,0.527041245791246,0.930356968734034,0.655119185594499,0.567362428842505,0.704158949318067,1.00039401731197,1.31140350877193,0.719887391916348,0.284335411220591,0.639782166614966,0.312112493557925,0.401128760140238,0.317016873607131,0.866454863228023,0.62697708856528,"sKizzo",108.29,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000056",1,1426,195,1621,4973,14513,10,10,20,0,1393,3580,4110,1414,2.38849788859843,0.529861250754072,142.6,0.300623366177358,0.229237884576714,3.09006785934608,13.5128205128205,7.66666666666667,32.2362739049969,99.6153846153846,63.7179487179487,147.159887798036,0.654861158960932,0.242127747536691,0.704158949318067,0.880050505050505,0.0785502652794047,1.31140350877193,0.527041245791246,0.930356968734034,0.655119185594499,0.567362428842505,0.704158949318067,1.00039401731197,1.31140350877193,0.719887391916348,0.284335411220591,0.639782166614966,0.312112493557925,0.401128760140238,0.317016873607131,0.866454863228023,0.62697708856528,"sSolve",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000077",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"X2clsQ",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000077",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000077",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"QuBE",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000077",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"sKizzo",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000077",1,1431,200,1631,4978,14528,10,10,20,0,1398,3580,4105,1419,2.38810767376456,0.530333467255926,143.1,0.3023302531137,0.228003214142226,3.08031882280809,13.2,7.525,32.1489883507051,97.25,62.625,146.701607267645,0.654185022026432,0.242221916299559,0.703987213997308,0.880576599326599,0.078125,1.32599118942731,0.528619528619529,0.932646989105305,0.656571555503222,0.570075757575758,0.703987213997308,1.00364810167833,1.32599118942731,0.719164323021294,0.28505423865006,0.639001721170396,0.313169828159599,0.388801204819277,0.31724960254372,0.867510585299546,0.60845095081614,"sSolve",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000095",1,1441,200,1641,4998,14578,10,10,20,0,1418,3580,4115,1439,2.38455382152861,0.532212885154062,144.1,0.3031212484994,0.229091636654662,3.07982937233394,13.3,7.575,32.3004265691651,99.25,63.875,145.905621096461,0.653313211688846,0.242763067636164,0.703054203725457,0.879777404451911,0.0785430100150912,1.32314410480349,0.530659386812264,0.931000759845647,0.654543997881077,0.569548872180451,0.703054203725457,1.0018839144383,1.32314410480349,0.716286514605842,0.287915166066427,0.637275029083693,0.313512779609839,0.397251591049066,0.318577763699715,0.864326431174151,0.623359731543624,"X2clsQ",2492.89,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000095",1,1441,200,1641,4998,14578,10,10,20,0,1418,3580,4115,1439,2.38455382152861,0.532212885154062,144.1,0.3031212484994,0.229091636654662,3.07982937233394,13.3,7.575,32.3004265691651,99.25,63.875,145.905621096461,0.653313211688846,0.242763067636164,0.703054203725457,0.879777404451911,0.0785430100150912,1.32314410480349,0.530659386812264,0.931000759845647,0.654543997881077,0.569548872180451,0.703054203725457,1.0018839144383,1.32314410480349,0.716286514605842,0.287915166066427,0.637275029083693,0.313512779609839,0.397251591049066,0.318577763699715,0.864326431174151,0.623359731543624,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000095",1,1441,200,1641,4998,14578,10,10,20,0,1418,3580,4115,1439,2.38455382152861,0.532212885154062,144.1,0.3031212484994,0.229091636654662,3.07982937233394,13.3,7.575,32.3004265691651,99.25,63.875,145.905621096461,0.653313211688846,0.242763067636164,0.703054203725457,0.879777404451911,0.0785430100150912,1.32314410480349,0.530659386812264,0.931000759845647,0.654543997881077,0.569548872180451,0.703054203725457,1.0018839144383,1.32314410480349,0.716286514605842,0.287915166066427,0.637275029083693,0.313512779609839,0.397251591049066,0.318577763699715,0.864326431174151,0.623359731543624,"QuBE",37.9,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000095",1,1441,200,1641,4998,14578,10,10,20,0,1418,3580,4115,1439,2.38455382152861,0.532212885154062,144.1,0.3031212484994,0.229091636654662,3.07982937233394,13.3,7.575,32.3004265691651,99.25,63.875,145.905621096461,0.653313211688846,0.242763067636164,0.703054203725457,0.879777404451911,0.0785430100150912,1.32314410480349,0.530659386812264,0.931000759845647,0.654543997881077,0.569548872180451,0.703054203725457,1.0018839144383,1.32314410480349,0.716286514605842,0.287915166066427,0.637275029083693,0.313512779609839,0.397251591049066,0.318577763699715,0.864326431174151,0.623359731543624,"sKizzo",136.33,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000095",1,1441,200,1641,4998,14578,10,10,20,0,1418,3580,4115,1439,2.38455382152861,0.532212885154062,144.1,0.3031212484994,0.229091636654662,3.07982937233394,13.3,7.575,32.3004265691651,99.25,63.875,145.905621096461,0.653313211688846,0.242763067636164,0.703054203725457,0.879777404451911,0.0785430100150912,1.32314410480349,0.530659386812264,0.931000759845647,0.654543997881077,0.569548872180451,0.703054203725457,1.0018839144383,1.32314410480349,0.716286514605842,0.287915166066427,0.637275029083693,0.313512779609839,0.397251591049066,0.318577763699715,0.864326431174151,0.623359731543624,"sSolve",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000098",1,1446,205,1651,5008,14603,10,10,20,0,1428,3580,4115,1449,2.3827875399361,0.53314696485623,144.6,0.304512779552716,0.228634185303514,3.0732889158086,13.0243902439024,7.4390243902439,32.2319806178074,97.0731707317073,62.5609756097561,145.511756569848,0.652537149900705,0.243032253646511,0.702589457806084,0.879840486934621,0.0784085461891392,1.33187772925764,0.532479798509812,0.933010079874264,0.65552304614647,0.571161048689139,0.702589457806084,1.00457582567708,1.33187772925764,0.714856230031949,0.289337060702875,0.636559213222237,0.314957700455481,0.389151988432874,0.319238065128043,0.86659976511682,0.611336668057953,"X2clsQ",1780.54,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000098",1,1446,205,1651,5008,14603,10,10,20,0,1428,3580,4115,1449,2.3827875399361,0.53314696485623,144.6,0.304512779552716,0.228634185303514,3.0732889158086,13.0243902439024,7.4390243902439,32.2319806178074,97.0731707317073,62.5609756097561,145.511756569848,0.652537149900705,0.243032253646511,0.702589457806084,0.879840486934621,0.0784085461891392,1.33187772925764,0.532479798509812,0.933010079874264,0.65552304614647,0.571161048689139,0.702589457806084,1.00457582567708,1.33187772925764,0.714856230031949,0.289337060702875,0.636559213222237,0.314957700455481,0.389151988432874,0.319238065128043,0.86659976511682,0.611336668057953,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000098",1,1446,205,1651,5008,14603,10,10,20,0,1428,3580,4115,1449,2.3827875399361,0.53314696485623,144.6,0.304512779552716,0.228634185303514,3.0732889158086,13.0243902439024,7.4390243902439,32.2319806178074,97.0731707317073,62.5609756097561,145.511756569848,0.652537149900705,0.243032253646511,0.702589457806084,0.879840486934621,0.0784085461891392,1.33187772925764,0.532479798509812,0.933010079874264,0.65552304614647,0.571161048689139,0.702589457806084,1.00457582567708,1.33187772925764,0.714856230031949,0.289337060702875,0.636559213222237,0.314957700455481,0.389151988432874,0.319238065128043,0.86659976511682,0.611336668057953,"QuBE",25.62,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000098",1,1446,205,1651,5008,14603,10,10,20,0,1428,3580,4115,1449,2.3827875399361,0.53314696485623,144.6,0.304512779552716,0.228634185303514,3.0732889158086,13.0243902439024,7.4390243902439,32.2319806178074,97.0731707317073,62.5609756097561,145.511756569848,0.652537149900705,0.243032253646511,0.702589457806084,0.879840486934621,0.0784085461891392,1.33187772925764,0.532479798509812,0.933010079874264,0.65552304614647,0.571161048689139,0.702589457806084,1.00457582567708,1.33187772925764,0.714856230031949,0.289337060702875,0.636559213222237,0.314957700455481,0.389151988432874,0.319238065128043,0.86659976511682,0.611336668057953,"sKizzo",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000098",1,1446,205,1651,5008,14603,10,10,20,0,1428,3580,4115,1449,2.3827875399361,0.53314696485623,144.6,0.304512779552716,0.228634185303514,3.0732889158086,13.0243902439024,7.4390243902439,32.2319806178074,97.0731707317073,62.5609756097561,145.511756569848,0.652537149900705,0.243032253646511,0.702589457806084,0.879840486934621,0.0784085461891392,1.33187772925764,0.532479798509812,0.933010079874264,0.65552304614647,0.571161048689139,0.702589457806084,1.00457582567708,1.33187772925764,0.714856230031949,0.289337060702875,0.636559213222237,0.314957700455481,0.389151988432874,0.319238065128043,0.86659976511682,0.611336668057953,"sSolve",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000099",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"X2clsQ",2195.68,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000099",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000099",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"QuBE",49.99,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000099",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"sKizzo",69.1,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000099",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"sSolve",3600,"timeout" "Core1108_tbm_02.tex.moduleQ3.2S.000108",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"X2clsQ",1726.9,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000108",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"quantor",3600,"memout" "Core1108_tbm_02.tex.moduleQ3.2S.000108",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"QuBE",48.69,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000108",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"sKizzo",103.51,"ok" "Core1108_tbm_02.tex.moduleQ3.2S.000108",1,1436,200,1636,4993,14563,10,10,20,0,1413,3580,4115,1434,2.38493891448027,0.531744442219107,143.6,0.30242339274985,0.229321049469257,3.08312958435208,13.275,7.55,32.2738386308068,98.625,63.25,146.357938718663,0.653642793380485,0.242669779578384,0.703224722875378,0.879714255699128,0.0786239099086727,1.31877729257642,0.529887593234583,0.931572877959694,0.655105078941424,0.568738229755179,0.703224722875378,1.00223713253745,1.31877729257642,0.717003805327458,0.287202082916083,0.637971819397305,0.313633803048243,0.396815111933902,0.318347605455122,0.866324280408542,0.62199473373099,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000003",1,673,220,893,2014,5664,10,10,20,0,882,1132,1356,894,2.07249255213505,0.739821251241311,67.3,0.441906653426018,0.297914597815293,2.61030235162374,6.77272727272727,4.04545454545455,30.6942889137738,62.0454545454545,40.9090909090909,90.148588410104,0.588453389830508,0.25441384180791,0.654767609008146,0.81998199819982,0.105932203389831,1.48333333333333,0.699369936993699,0.977835037482895,0.640254709497066,0.597315436241611,0.654767609008146,1.08802960533795,1.48333333333333,0.562065541211519,0.443892750744787,0.552124183006536,0.399152414804456,0.308411319073084,0.387171398527865,0.891212250493714,0.558590492076731,"X2clsQ",0.17,"ok" "Core1108_tbm_03.tex.module.000003",1,673,220,893,2014,5664,10,10,20,0,882,1132,1356,894,2.07249255213505,0.739821251241311,67.3,0.441906653426018,0.297914597815293,2.61030235162374,6.77272727272727,4.04545454545455,30.6942889137738,62.0454545454545,40.9090909090909,90.148588410104,0.588453389830508,0.25441384180791,0.654767609008146,0.81998199819982,0.105932203389831,1.48333333333333,0.699369936993699,0.977835037482895,0.640254709497066,0.597315436241611,0.654767609008146,1.08802960533795,1.48333333333333,0.562065541211519,0.443892750744787,0.552124183006536,0.399152414804456,0.308411319073084,0.387171398527865,0.891212250493714,0.558590492076731,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000003",1,673,220,893,2014,5664,10,10,20,0,882,1132,1356,894,2.07249255213505,0.739821251241311,67.3,0.441906653426018,0.297914597815293,2.61030235162374,6.77272727272727,4.04545454545455,30.6942889137738,62.0454545454545,40.9090909090909,90.148588410104,0.588453389830508,0.25441384180791,0.654767609008146,0.81998199819982,0.105932203389831,1.48333333333333,0.699369936993699,0.977835037482895,0.640254709497066,0.597315436241611,0.654767609008146,1.08802960533795,1.48333333333333,0.562065541211519,0.443892750744787,0.552124183006536,0.399152414804456,0.308411319073084,0.387171398527865,0.891212250493714,0.558590492076731,"QuBE",0.1,"ok" "Core1108_tbm_03.tex.module.000003",1,673,220,893,2014,5664,10,10,20,0,882,1132,1356,894,2.07249255213505,0.739821251241311,67.3,0.441906653426018,0.297914597815293,2.61030235162374,6.77272727272727,4.04545454545455,30.6942889137738,62.0454545454545,40.9090909090909,90.148588410104,0.588453389830508,0.25441384180791,0.654767609008146,0.81998199819982,0.105932203389831,1.48333333333333,0.699369936993699,0.977835037482895,0.640254709497066,0.597315436241611,0.654767609008146,1.08802960533795,1.48333333333333,0.562065541211519,0.443892750744787,0.552124183006536,0.399152414804456,0.308411319073084,0.387171398527865,0.891212250493714,0.558590492076731,"sKizzo",223.83,"ok" "Core1108_tbm_03.tex.module.000003",1,673,220,893,2014,5664,10,10,20,0,882,1132,1356,894,2.07249255213505,0.739821251241311,67.3,0.441906653426018,0.297914597815293,2.61030235162374,6.77272727272727,4.04545454545455,30.6942889137738,62.0454545454545,40.9090909090909,90.148588410104,0.588453389830508,0.25441384180791,0.654767609008146,0.81998199819982,0.105932203389831,1.48333333333333,0.699369936993699,0.977835037482895,0.640254709497066,0.597315436241611,0.654767609008146,1.08802960533795,1.48333333333333,0.562065541211519,0.443892750744787,0.552124183006536,0.399152414804456,0.308411319073084,0.387171398527865,0.891212250493714,0.558590492076731,"sSolve",1138.32,"ok" "Core1108_tbm_03.tex.module.000019",1,613,220,833,1786,5040,10,10,20,0,762,1024,1258,774,2.05767077267637,0.764277715565509,61.3,0.425531914893617,0.338745800671892,2.49819927971188,6.20454545454545,3.45454545454545,28.3793517406963,53.9772727272727,32.5,88.352365415987,0.587103174603175,0.262103174603175,0.640544217687075,0.795539033457249,0.120039682539683,1.25619834710744,0.7032781345049,0.990857803153888,0.634688236360341,0.556776556776557,0.640544217687075,1.08105059522005,1.25619834710744,0.573348264277716,0.433370660694289,0.556929997188642,0.419981401315911,0.335311932936336,0.397540983606557,0.947889495228868,0.602071956312239,"X2clsQ",0.16,"ok" "Core1108_tbm_03.tex.module.000019",1,613,220,833,1786,5040,10,10,20,0,762,1024,1258,774,2.05767077267637,0.764277715565509,61.3,0.425531914893617,0.338745800671892,2.49819927971188,6.20454545454545,3.45454545454545,28.3793517406963,53.9772727272727,32.5,88.352365415987,0.587103174603175,0.262103174603175,0.640544217687075,0.795539033457249,0.120039682539683,1.25619834710744,0.7032781345049,0.990857803153888,0.634688236360341,0.556776556776557,0.640544217687075,1.08105059522005,1.25619834710744,0.573348264277716,0.433370660694289,0.556929997188642,0.419981401315911,0.335311932936336,0.397540983606557,0.947889495228868,0.602071956312239,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000019",1,613,220,833,1786,5040,10,10,20,0,762,1024,1258,774,2.05767077267637,0.764277715565509,61.3,0.425531914893617,0.338745800671892,2.49819927971188,6.20454545454545,3.45454545454545,28.3793517406963,53.9772727272727,32.5,88.352365415987,0.587103174603175,0.262103174603175,0.640544217687075,0.795539033457249,0.120039682539683,1.25619834710744,0.7032781345049,0.990857803153888,0.634688236360341,0.556776556776557,0.640544217687075,1.08105059522005,1.25619834710744,0.573348264277716,0.433370660694289,0.556929997188642,0.419981401315911,0.335311932936336,0.397540983606557,0.947889495228868,0.602071956312239,"QuBE",0.09,"ok" "Core1108_tbm_03.tex.module.000019",1,613,220,833,1786,5040,10,10,20,0,762,1024,1258,774,2.05767077267637,0.764277715565509,61.3,0.425531914893617,0.338745800671892,2.49819927971188,6.20454545454545,3.45454545454545,28.3793517406963,53.9772727272727,32.5,88.352365415987,0.587103174603175,0.262103174603175,0.640544217687075,0.795539033457249,0.120039682539683,1.25619834710744,0.7032781345049,0.990857803153888,0.634688236360341,0.556776556776557,0.640544217687075,1.08105059522005,1.25619834710744,0.573348264277716,0.433370660694289,0.556929997188642,0.419981401315911,0.335311932936336,0.397540983606557,0.947889495228868,0.602071956312239,"sKizzo",173.4,"ok" "Core1108_tbm_03.tex.module.000019",1,613,220,833,1786,5040,10,10,20,0,762,1024,1258,774,2.05767077267637,0.764277715565509,61.3,0.425531914893617,0.338745800671892,2.49819927971188,6.20454545454545,3.45454545454545,28.3793517406963,53.9772727272727,32.5,88.352365415987,0.587103174603175,0.262103174603175,0.640544217687075,0.795539033457249,0.120039682539683,1.25619834710744,0.7032781345049,0.990857803153888,0.634688236360341,0.556776556776557,0.640544217687075,1.08105059522005,1.25619834710744,0.573348264277716,0.433370660694289,0.556929997188642,0.419981401315911,0.335311932936336,0.397540983606557,0.947889495228868,0.602071956312239,"sSolve",707.21,"ok" "Core1108_tbm_03.tex.module.000021",1,613,220,833,1781,5030,10,10,20,0,757,1024,1243,769,2.06064008983717,0.763615946097698,61.3,0.432341381246491,0.331274564851207,2.50420168067227,6.18181818181818,3.5,28.4633853541417,53.8636363636364,33.1818181818182,88.2218597063622,0.585288270377734,0.261630218687873,0.64141689373297,0.799592391304348,0.117296222664016,1.30508474576271,0.708559782608696,0.991476913397267,0.635950041999228,0.566176470588235,0.64141689373297,1.08655866550819,1.30508474576271,0.574957888826502,0.431779898933184,0.554741784037559,0.418768007720056,0.323529662825437,0.396376811594203,0.940505964196246,0.583207669108143,"X2clsQ",0.03,"ok" "Core1108_tbm_03.tex.module.000021",1,613,220,833,1781,5030,10,10,20,0,757,1024,1243,769,2.06064008983717,0.763615946097698,61.3,0.432341381246491,0.331274564851207,2.50420168067227,6.18181818181818,3.5,28.4633853541417,53.8636363636364,33.1818181818182,88.2218597063622,0.585288270377734,0.261630218687873,0.64141689373297,0.799592391304348,0.117296222664016,1.30508474576271,0.708559782608696,0.991476913397267,0.635950041999228,0.566176470588235,0.64141689373297,1.08655866550819,1.30508474576271,0.574957888826502,0.431779898933184,0.554741784037559,0.418768007720056,0.323529662825437,0.396376811594203,0.940505964196246,0.583207669108143,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000021",1,613,220,833,1781,5030,10,10,20,0,757,1024,1243,769,2.06064008983717,0.763615946097698,61.3,0.432341381246491,0.331274564851207,2.50420168067227,6.18181818181818,3.5,28.4633853541417,53.8636363636364,33.1818181818182,88.2218597063622,0.585288270377734,0.261630218687873,0.64141689373297,0.799592391304348,0.117296222664016,1.30508474576271,0.708559782608696,0.991476913397267,0.635950041999228,0.566176470588235,0.64141689373297,1.08655866550819,1.30508474576271,0.574957888826502,0.431779898933184,0.554741784037559,0.418768007720056,0.323529662825437,0.396376811594203,0.940505964196246,0.583207669108143,"QuBE",0.08,"ok" "Core1108_tbm_03.tex.module.000021",1,613,220,833,1781,5030,10,10,20,0,757,1024,1243,769,2.06064008983717,0.763615946097698,61.3,0.432341381246491,0.331274564851207,2.50420168067227,6.18181818181818,3.5,28.4633853541417,53.8636363636364,33.1818181818182,88.2218597063622,0.585288270377734,0.261630218687873,0.64141689373297,0.799592391304348,0.117296222664016,1.30508474576271,0.708559782608696,0.991476913397267,0.635950041999228,0.566176470588235,0.64141689373297,1.08655866550819,1.30508474576271,0.574957888826502,0.431779898933184,0.554741784037559,0.418768007720056,0.323529662825437,0.396376811594203,0.940505964196246,0.583207669108143,"sKizzo",217.31,"ok" "Core1108_tbm_03.tex.module.000021",1,613,220,833,1781,5030,10,10,20,0,757,1024,1243,769,2.06064008983717,0.763615946097698,61.3,0.432341381246491,0.331274564851207,2.50420168067227,6.18181818181818,3.5,28.4633853541417,53.8636363636364,33.1818181818182,88.2218597063622,0.585288270377734,0.261630218687873,0.64141689373297,0.799592391304348,0.117296222664016,1.30508474576271,0.708559782608696,0.991476913397267,0.635950041999228,0.566176470588235,0.64141689373297,1.08655866550819,1.30508474576271,0.574957888826502,0.431779898933184,0.554741784037559,0.418768007720056,0.323529662825437,0.396376811594203,0.940505964196246,0.583207669108143,"sSolve",0.77,"ok" "Core1108_tbm_03.tex.module.000023",1,613,220,833,1786,5040,10,10,20,0,762,1024,1243,774,2.05767077267637,0.764277715565509,61.3,0.433930571108623,0.330347144456887,2.51620648259304,6.20454545454545,3.52272727272727,28.7094837935174,54.2045454545455,33.75,88.352365415987,0.584126984126984,0.262103174603175,0.640544217687075,0.799592391304348,0.117063492063492,1.3135593220339,0.71195652173913,0.990857803153888,0.634688236360341,0.567765567765568,0.640544217687075,1.08655866550819,1.3135593220339,0.573348264277716,0.433370660694289,0.552195487313922,0.419588197120315,0.319045714139806,0.397540983606557,0.936989657838613,0.577776750208083,"X2clsQ",0.07,"ok" "Core1108_tbm_03.tex.module.000023",1,613,220,833,1786,5040,10,10,20,0,762,1024,1243,774,2.05767077267637,0.764277715565509,61.3,0.433930571108623,0.330347144456887,2.51620648259304,6.20454545454545,3.52272727272727,28.7094837935174,54.2045454545455,33.75,88.352365415987,0.584126984126984,0.262103174603175,0.640544217687075,0.799592391304348,0.117063492063492,1.3135593220339,0.71195652173913,0.990857803153888,0.634688236360341,0.567765567765568,0.640544217687075,1.08655866550819,1.3135593220339,0.573348264277716,0.433370660694289,0.552195487313922,0.419588197120315,0.319045714139806,0.397540983606557,0.936989657838613,0.577776750208083,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000023",1,613,220,833,1786,5040,10,10,20,0,762,1024,1243,774,2.05767077267637,0.764277715565509,61.3,0.433930571108623,0.330347144456887,2.51620648259304,6.20454545454545,3.52272727272727,28.7094837935174,54.2045454545455,33.75,88.352365415987,0.584126984126984,0.262103174603175,0.640544217687075,0.799592391304348,0.117063492063492,1.3135593220339,0.71195652173913,0.990857803153888,0.634688236360341,0.567765567765568,0.640544217687075,1.08655866550819,1.3135593220339,0.573348264277716,0.433370660694289,0.552195487313922,0.419588197120315,0.319045714139806,0.397540983606557,0.936989657838613,0.577776750208083,"QuBE",0.08,"ok" "Core1108_tbm_03.tex.module.000023",1,613,220,833,1786,5040,10,10,20,0,762,1024,1243,774,2.05767077267637,0.764277715565509,61.3,0.433930571108623,0.330347144456887,2.51620648259304,6.20454545454545,3.52272727272727,28.7094837935174,54.2045454545455,33.75,88.352365415987,0.584126984126984,0.262103174603175,0.640544217687075,0.799592391304348,0.117063492063492,1.3135593220339,0.71195652173913,0.990857803153888,0.634688236360341,0.567765567765568,0.640544217687075,1.08655866550819,1.3135593220339,0.573348264277716,0.433370660694289,0.552195487313922,0.419588197120315,0.319045714139806,0.397540983606557,0.936989657838613,0.577776750208083,"sKizzo",197.16,"ok" "Core1108_tbm_03.tex.module.000023",1,613,220,833,1786,5040,10,10,20,0,762,1024,1243,774,2.05767077267637,0.764277715565509,61.3,0.433930571108623,0.330347144456887,2.51620648259304,6.20454545454545,3.52272727272727,28.7094837935174,54.2045454545455,33.75,88.352365415987,0.584126984126984,0.262103174603175,0.640544217687075,0.799592391304348,0.117063492063492,1.3135593220339,0.71195652173913,0.990857803153888,0.634688236360341,0.567765567765568,0.640544217687075,1.08655866550819,1.3135593220339,0.573348264277716,0.433370660694289,0.552195487313922,0.419588197120315,0.319045714139806,0.397540983606557,0.936989657838613,0.577776750208083,"sSolve",0.77,"ok" "Core1108_tbm_03.tex.module.000031",1,623,220,843,1806,5090,10,10,20,0,782,1024,1253,794,2.0514950166113,0.766888150609081,62.3,0.434662236987818,0.332225913621262,2.52194543297746,6.29545454545455,3.56818181818182,28.7485172004745,55.1136363636364,33.75,87.4478330658106,0.582318271119843,0.263457760314342,0.638056680161943,0.797570850202429,0.117878192534381,1.30833333333333,0.717273954116059,0.984940414434245,0.628447810991243,0.566787003610108,0.638056680161943,1.07921705733651,1.30833333333333,0.566998892580288,0.439645625692137,0.551909032079135,0.420562366087149,0.332985956449024,0.400619637750238,0.938564702695417,0.603334856098675,"X2clsQ",1165.12,"ok" "Core1108_tbm_03.tex.module.000031",1,623,220,843,1806,5090,10,10,20,0,782,1024,1253,794,2.0514950166113,0.766888150609081,62.3,0.434662236987818,0.332225913621262,2.52194543297746,6.29545454545455,3.56818181818182,28.7485172004745,55.1136363636364,33.75,87.4478330658106,0.582318271119843,0.263457760314342,0.638056680161943,0.797570850202429,0.117878192534381,1.30833333333333,0.717273954116059,0.984940414434245,0.628447810991243,0.566787003610108,0.638056680161943,1.07921705733651,1.30833333333333,0.566998892580288,0.439645625692137,0.551909032079135,0.420562366087149,0.332985956449024,0.400619637750238,0.938564702695417,0.603334856098675,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000031",1,623,220,843,1806,5090,10,10,20,0,782,1024,1253,794,2.0514950166113,0.766888150609081,62.3,0.434662236987818,0.332225913621262,2.52194543297746,6.29545454545455,3.56818181818182,28.7485172004745,55.1136363636364,33.75,87.4478330658106,0.582318271119843,0.263457760314342,0.638056680161943,0.797570850202429,0.117878192534381,1.30833333333333,0.717273954116059,0.984940414434245,0.628447810991243,0.566787003610108,0.638056680161943,1.07921705733651,1.30833333333333,0.566998892580288,0.439645625692137,0.551909032079135,0.420562366087149,0.332985956449024,0.400619637750238,0.938564702695417,0.603334856098675,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000031",1,623,220,843,1806,5090,10,10,20,0,782,1024,1253,794,2.0514950166113,0.766888150609081,62.3,0.434662236987818,0.332225913621262,2.52194543297746,6.29545454545455,3.56818181818182,28.7485172004745,55.1136363636364,33.75,87.4478330658106,0.582318271119843,0.263457760314342,0.638056680161943,0.797570850202429,0.117878192534381,1.30833333333333,0.717273954116059,0.984940414434245,0.628447810991243,0.566787003610108,0.638056680161943,1.07921705733651,1.30833333333333,0.566998892580288,0.439645625692137,0.551909032079135,0.420562366087149,0.332985956449024,0.400619637750238,0.938564702695417,0.603334856098675,"sKizzo",158.17,"ok" "Core1108_tbm_03.tex.module.000031",1,623,220,843,1806,5090,10,10,20,0,782,1024,1253,794,2.0514950166113,0.766888150609081,62.3,0.434662236987818,0.332225913621262,2.52194543297746,6.29545454545455,3.56818181818182,28.7485172004745,55.1136363636364,33.75,87.4478330658106,0.582318271119843,0.263457760314342,0.638056680161943,0.797570850202429,0.117878192534381,1.30833333333333,0.717273954116059,0.984940414434245,0.628447810991243,0.566787003610108,0.638056680161943,1.07921705733651,1.30833333333333,0.566998892580288,0.439645625692137,0.551909032079135,0.420562366087149,0.332985956449024,0.400619637750238,0.938564702695417,0.603334856098675,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000034",1,618,220,838,1787,5038,10,10,20,0,772,1015,1244,784,2.05260212646894,0.76664801343033,61.8,0.430889759373251,0.335758254057079,2.50238663484487,6.22727272727273,3.5,28.5322195704057,54.5454545454545,33.1818181818182,86.4886731391586,0.583763398173879,0.263398173878523,0.638222464558343,0.795987759265556,0.119094878920206,1.28333333333333,0.713022781366882,0.987249011075695,0.630084496981517,0.562043795620438,0.638222464558342,1.07934909751543,1.28333333333333,0.567991046446559,0.438724118634583,0.553084112149533,0.420989625865771,0.334630416312659,0.400240963855422,0.941988497859422,0.605026268088113,"X2clsQ",1222.83,"ok" "Core1108_tbm_03.tex.module.000034",1,618,220,838,1787,5038,10,10,20,0,772,1015,1244,784,2.05260212646894,0.76664801343033,61.8,0.430889759373251,0.335758254057079,2.50238663484487,6.22727272727273,3.5,28.5322195704057,54.5454545454545,33.1818181818182,86.4886731391586,0.583763398173879,0.263398173878523,0.638222464558343,0.795987759265556,0.119094878920206,1.28333333333333,0.713022781366882,0.987249011075695,0.630084496981517,0.562043795620438,0.638222464558342,1.07934909751543,1.28333333333333,0.567991046446559,0.438724118634583,0.553084112149533,0.420989625865771,0.334630416312659,0.400240963855422,0.941988497859422,0.605026268088113,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000034",1,618,220,838,1787,5038,10,10,20,0,772,1015,1244,784,2.05260212646894,0.76664801343033,61.8,0.430889759373251,0.335758254057079,2.50238663484487,6.22727272727273,3.5,28.5322195704057,54.5454545454545,33.1818181818182,86.4886731391586,0.583763398173879,0.263398173878523,0.638222464558343,0.795987759265556,0.119094878920206,1.28333333333333,0.713022781366882,0.987249011075695,0.630084496981517,0.562043795620438,0.638222464558342,1.07934909751543,1.28333333333333,0.567991046446559,0.438724118634583,0.553084112149533,0.420989625865771,0.334630416312659,0.400240963855422,0.941988497859422,0.605026268088113,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000034",1,618,220,838,1787,5038,10,10,20,0,772,1015,1244,784,2.05260212646894,0.76664801343033,61.8,0.430889759373251,0.335758254057079,2.50238663484487,6.22727272727273,3.5,28.5322195704057,54.5454545454545,33.1818181818182,86.4886731391586,0.583763398173879,0.263398173878523,0.638222464558343,0.795987759265556,0.119094878920206,1.28333333333333,0.713022781366882,0.987249011075695,0.630084496981517,0.562043795620438,0.638222464558342,1.07934909751543,1.28333333333333,0.567991046446559,0.438724118634583,0.553084112149533,0.420989625865771,0.334630416312659,0.400240963855422,0.941988497859422,0.605026268088113,"sKizzo",196.66,"ok" "Core1108_tbm_03.tex.module.000034",1,618,220,838,1787,5038,10,10,20,0,772,1015,1244,784,2.05260212646894,0.76664801343033,61.8,0.430889759373251,0.335758254057079,2.50238663484487,6.22727272727273,3.5,28.5322195704057,54.5454545454545,33.1818181818182,86.4886731391586,0.583763398173879,0.263398173878523,0.638222464558343,0.795987759265556,0.119094878920206,1.28333333333333,0.713022781366882,0.987249011075695,0.630084496981517,0.562043795620438,0.638222464558342,1.07934909751543,1.28333333333333,0.567991046446559,0.438724118634583,0.553084112149533,0.420989625865771,0.334630416312659,0.400240963855422,0.941988497859422,0.605026268088113,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000037",1,594,215,809,1730,4882,10,10,20,0,742,988,1202,754,2.05317919075144,0.76878612716763,59.4,0.433526011560694,0.335260115606936,2.51421508034611,6.18604651162791,3.48837209302326,28.5537700865266,53.953488372093,33.0232558139535,86.6329966329966,0.583367472347399,0.263006964358869,0.638513513513513,0.796348314606741,0.118803768947153,1.29310344827586,0.714185393258427,0.990916953227482,0.632713865405385,0.56390977443609,0.638513513513513,1.08458886618999,1.29310344827586,0.571098265895954,0.435838150289017,0.552932068898781,0.421738838615169,0.327705789423938,0.399301222859995,0.943343973647004,0.592669168342138,"X2clsQ",1095.67,"ok" "Core1108_tbm_03.tex.module.000037",1,594,215,809,1730,4882,10,10,20,0,742,988,1202,754,2.05317919075144,0.76878612716763,59.4,0.433526011560694,0.335260115606936,2.51421508034611,6.18604651162791,3.48837209302326,28.5537700865266,53.953488372093,33.0232558139535,86.6329966329966,0.583367472347399,0.263006964358869,0.638513513513513,0.796348314606741,0.118803768947153,1.29310344827586,0.714185393258427,0.990916953227482,0.632713865405385,0.56390977443609,0.638513513513513,1.08458886618999,1.29310344827586,0.571098265895954,0.435838150289017,0.552932068898781,0.421738838615169,0.327705789423938,0.399301222859995,0.943343973647004,0.592669168342138,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000037",1,594,215,809,1730,4882,10,10,20,0,742,988,1202,754,2.05317919075144,0.76878612716763,59.4,0.433526011560694,0.335260115606936,2.51421508034611,6.18604651162791,3.48837209302326,28.5537700865266,53.953488372093,33.0232558139535,86.6329966329966,0.583367472347399,0.263006964358869,0.638513513513513,0.796348314606741,0.118803768947153,1.29310344827586,0.714185393258427,0.990916953227482,0.632713865405385,0.56390977443609,0.638513513513513,1.08458886618999,1.29310344827586,0.571098265895954,0.435838150289017,0.552932068898781,0.421738838615169,0.327705789423938,0.399301222859995,0.943343973647004,0.592669168342138,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000037",1,594,215,809,1730,4882,10,10,20,0,742,988,1202,754,2.05317919075144,0.76878612716763,59.4,0.433526011560694,0.335260115606936,2.51421508034611,6.18604651162791,3.48837209302326,28.5537700865266,53.953488372093,33.0232558139535,86.6329966329966,0.583367472347399,0.263006964358869,0.638513513513513,0.796348314606741,0.118803768947153,1.29310344827586,0.714185393258427,0.990916953227482,0.632713865405385,0.56390977443609,0.638513513513513,1.08458886618999,1.29310344827586,0.571098265895954,0.435838150289017,0.552932068898781,0.421738838615169,0.327705789423938,0.399301222859995,0.943343973647004,0.592669168342138,"sKizzo",189.52,"ok" "Core1108_tbm_03.tex.module.000037",1,594,215,809,1730,4882,10,10,20,0,742,988,1202,754,2.05317919075144,0.76878612716763,59.4,0.433526011560694,0.335260115606936,2.51421508034611,6.18604651162791,3.48837209302326,28.5537700865266,53.953488372093,33.0232558139535,86.6329966329966,0.583367472347399,0.263006964358869,0.638513513513513,0.796348314606741,0.118803768947153,1.29310344827586,0.714185393258427,0.990916953227482,0.632713865405385,0.56390977443609,0.638513513513513,1.08458886618999,1.29310344827586,0.571098265895954,0.435838150289017,0.552932068898781,0.421738838615169,0.327705789423938,0.399301222859995,0.943343973647004,0.592669168342138,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000038",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"X2clsQ",364.69,"ok" "Core1108_tbm_03.tex.module.000038",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000038",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"QuBE",38.4,"ok" "Core1108_tbm_03.tex.module.000038",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"sKizzo",261.63,"ok" "Core1108_tbm_03.tex.module.000038",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000039",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"X2clsQ",461.98,"ok" "Core1108_tbm_03.tex.module.000039",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000039",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"QuBE",59.18,"ok" "Core1108_tbm_03.tex.module.000039",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"sKizzo",164.19,"ok" "Core1108_tbm_03.tex.module.000039",1,589,215,804,1711,4830,10,10,20,0,732,979,1188,744,2.05435417884278,0.768556399766219,58.9,0.4354178842782,0.333138515488019,2.5,6.11627906976744,3.46511627906977,28.3271144278607,53.1395348837209,32.6744186046512,86.1629881154499,0.583850931677019,0.261904761904762,0.640113798008535,0.797872340425532,0.118012422360248,1.30701754385965,0.712765957446808,0.993388098577439,0.635881428676881,0.566539923954373,0.640113798008535,1.0891160640104,1.30701754385965,0.572180011689071,0.434833430742256,0.553737631037523,0.421262880446074,0.322405280461881,0.39762686190356,0.943980289947985,0.582234730657187,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000048",1,584,215,799,1692,4778,10,10,20,0,722,970,1184,734,2.05555555555556,0.768321513002364,58.4,0.431442080378251,0.336879432624113,2.48435544430538,6.04651162790698,3.3953488372093,28.3354192740926,53.0232558139535,32.3255813953488,86.0102739726027,0.58455420678108,0.262662201758058,0.639160437032777,0.795918367346939,0.119296776894098,1.28070175438596,0.710705334765485,0.995903742610251,0.636542271369347,0.561538461538462,0.639160437032777,1.08893625943528,1.28070175438596,0.573286052009456,0.433806146572104,0.5534516765286,0.423398111372295,0.326182285216275,0.399236641221374,0.948157431385837,0.589360009282435,"X2clsQ",0.18,"ok" "Core1108_tbm_03.tex.module.000048",1,584,215,799,1692,4778,10,10,20,0,722,970,1184,734,2.05555555555556,0.768321513002364,58.4,0.431442080378251,0.336879432624113,2.48435544430538,6.04651162790698,3.3953488372093,28.3354192740926,53.0232558139535,32.3255813953488,86.0102739726027,0.58455420678108,0.262662201758058,0.639160437032777,0.795918367346939,0.119296776894098,1.28070175438596,0.710705334765485,0.995903742610251,0.636542271369347,0.561538461538462,0.639160437032777,1.08893625943528,1.28070175438596,0.573286052009456,0.433806146572104,0.5534516765286,0.423398111372295,0.326182285216275,0.399236641221374,0.948157431385837,0.589360009282435,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000048",1,584,215,799,1692,4778,10,10,20,0,722,970,1184,734,2.05555555555556,0.768321513002364,58.4,0.431442080378251,0.336879432624113,2.48435544430538,6.04651162790698,3.3953488372093,28.3354192740926,53.0232558139535,32.3255813953488,86.0102739726027,0.58455420678108,0.262662201758058,0.639160437032777,0.795918367346939,0.119296776894098,1.28070175438596,0.710705334765485,0.995903742610251,0.636542271369347,0.561538461538462,0.639160437032777,1.08893625943528,1.28070175438596,0.573286052009456,0.433806146572104,0.5534516765286,0.423398111372295,0.326182285216275,0.399236641221374,0.948157431385837,0.589360009282435,"QuBE",0.08,"ok" "Core1108_tbm_03.tex.module.000048",1,584,215,799,1692,4778,10,10,20,0,722,970,1184,734,2.05555555555556,0.768321513002364,58.4,0.431442080378251,0.336879432624113,2.48435544430538,6.04651162790698,3.3953488372093,28.3354192740926,53.0232558139535,32.3255813953488,86.0102739726027,0.58455420678108,0.262662201758058,0.639160437032777,0.795918367346939,0.119296776894098,1.28070175438596,0.710705334765485,0.995903742610251,0.636542271369347,0.561538461538462,0.639160437032777,1.08893625943528,1.28070175438596,0.573286052009456,0.433806146572104,0.5534516765286,0.423398111372295,0.326182285216275,0.399236641221374,0.948157431385837,0.589360009282435,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.module.000048",1,584,215,799,1692,4778,10,10,20,0,722,970,1184,734,2.05555555555556,0.768321513002364,58.4,0.431442080378251,0.336879432624113,2.48435544430538,6.04651162790698,3.3953488372093,28.3354192740926,53.0232558139535,32.3255813953488,86.0102739726027,0.58455420678108,0.262662201758058,0.639160437032777,0.795918367346939,0.119296776894098,1.28070175438596,0.710705334765485,0.995903742610251,0.636542271369347,0.561538461538462,0.639160437032777,1.08893625943528,1.28070175438596,0.573286052009456,0.433806146572104,0.5534516765286,0.423398111372295,0.326182285216275,0.399236641221374,0.948157431385837,0.589360009282435,"sSolve",0.8,"ok" "Core1108_tbm_03.tex.module.000056",1,564,210,774,1616,4570,10,10,20,0,682,934,1148,694,2.04826732673267,0.77970297029703,56.4,0.426980198019802,0.352722772277228,2.46124031007752,6,3.28571428571429,27.4547803617571,51.1904761904762,30,86.790780141844,0.583150984682713,0.265864332603939,0.632930513595166,0.786116322701689,0.12472647702407,1.21052631578947,0.714821763602251,0.993970855253969,0.629114483914521,0.547619047619048,0.632930513595166,1.07881920881402,1.21052631578947,0.577970297029703,0.429455445544554,0.555996656916005,0.428677170114963,0.342695958450242,0.402856372945298,0.965481852315394,0.61636334353358,"X2clsQ",965.23,"ok" "Core1108_tbm_03.tex.module.000056",1,564,210,774,1616,4570,10,10,20,0,682,934,1148,694,2.04826732673267,0.77970297029703,56.4,0.426980198019802,0.352722772277228,2.46124031007752,6,3.28571428571429,27.4547803617571,51.1904761904762,30,86.790780141844,0.583150984682713,0.265864332603939,0.632930513595166,0.786116322701689,0.12472647702407,1.21052631578947,0.714821763602251,0.993970855253969,0.629114483914521,0.547619047619048,0.632930513595166,1.07881920881402,1.21052631578947,0.577970297029703,0.429455445544554,0.555996656916005,0.428677170114963,0.342695958450242,0.402856372945298,0.965481852315394,0.61636334353358,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000056",1,564,210,774,1616,4570,10,10,20,0,682,934,1148,694,2.04826732673267,0.77970297029703,56.4,0.426980198019802,0.352722772277228,2.46124031007752,6,3.28571428571429,27.4547803617571,51.1904761904762,30,86.790780141844,0.583150984682713,0.265864332603939,0.632930513595166,0.786116322701689,0.12472647702407,1.21052631578947,0.714821763602251,0.993970855253969,0.629114483914521,0.547619047619048,0.632930513595166,1.07881920881402,1.21052631578947,0.577970297029703,0.429455445544554,0.555996656916005,0.428677170114963,0.342695958450242,0.402856372945298,0.965481852315394,0.61636334353358,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000056",1,564,210,774,1616,4570,10,10,20,0,682,934,1148,694,2.04826732673267,0.77970297029703,56.4,0.426980198019802,0.352722772277228,2.46124031007752,6,3.28571428571429,27.4547803617571,51.1904761904762,30,86.790780141844,0.583150984682713,0.265864332603939,0.632930513595166,0.786116322701689,0.12472647702407,1.21052631578947,0.714821763602251,0.993970855253969,0.629114483914521,0.547619047619048,0.632930513595166,1.07881920881402,1.21052631578947,0.577970297029703,0.429455445544554,0.555996656916005,0.428677170114963,0.342695958450242,0.402856372945298,0.965481852315394,0.61636334353358,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.module.000056",1,564,210,774,1616,4570,10,10,20,0,682,934,1148,694,2.04826732673267,0.77970297029703,56.4,0.426980198019802,0.352722772277228,2.46124031007752,6,3.28571428571429,27.4547803617571,51.1904761904762,30,86.790780141844,0.583150984682713,0.265864332603939,0.632930513595166,0.786116322701689,0.12472647702407,1.21052631578947,0.714821763602251,0.993970855253969,0.629114483914521,0.547619047619048,0.632930513595166,1.07881920881402,1.21052631578947,0.577970297029703,0.429455445544554,0.555996656916005,0.428677170114963,0.342695958450242,0.402856372945298,0.965481852315394,0.61636334353358,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000057",1,550,205,755,1597,4518,10,10,20,0,672,925,1139,684,2.04946775203507,0.77958672510958,55,0.425798371947401,0.353788353162179,2.49668874172185,6.07317073170732,3.31707317073171,27.7350993377483,51.5853658536585,30,88.0363636363636,0.582779991146525,0.266710934041611,0.631836235869233,0.785415875427269,0.125055334218681,1.20353982300885,0.715913406760349,0.994452493058071,0.628331119964586,0.546184738955823,0.631836235869233,1.07816179263198,1.20353982300885,0.579211020663745,0.428303068252974,0.556590788777131,0.429912892824486,0.345091608671513,0.403547066848568,0.969562386038031,0.620009557523766,"X2clsQ",992.15,"ok" "Core1108_tbm_03.tex.module.000057",1,550,205,755,1597,4518,10,10,20,0,672,925,1139,684,2.04946775203507,0.77958672510958,55,0.425798371947401,0.353788353162179,2.49668874172185,6.07317073170732,3.31707317073171,27.7350993377483,51.5853658536585,30,88.0363636363636,0.582779991146525,0.266710934041611,0.631836235869233,0.785415875427269,0.125055334218681,1.20353982300885,0.715913406760349,0.994452493058071,0.628331119964586,0.546184738955823,0.631836235869233,1.07816179263198,1.20353982300885,0.579211020663745,0.428303068252974,0.556590788777131,0.429912892824486,0.345091608671513,0.403547066848568,0.969562386038031,0.620009557523766,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000057",1,550,205,755,1597,4518,10,10,20,0,672,925,1139,684,2.04946775203507,0.77958672510958,55,0.425798371947401,0.353788353162179,2.49668874172185,6.07317073170732,3.31707317073171,27.7350993377483,51.5853658536585,30,88.0363636363636,0.582779991146525,0.266710934041611,0.631836235869233,0.785415875427269,0.125055334218681,1.20353982300885,0.715913406760349,0.994452493058071,0.628331119964586,0.546184738955823,0.631836235869233,1.07816179263198,1.20353982300885,0.579211020663745,0.428303068252974,0.556590788777131,0.429912892824486,0.345091608671513,0.403547066848568,0.969562386038031,0.620009557523766,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000057",1,550,205,755,1597,4518,10,10,20,0,672,925,1139,684,2.04946775203507,0.77958672510958,55,0.425798371947401,0.353788353162179,2.49668874172185,6.07317073170732,3.31707317073171,27.7350993377483,51.5853658536585,30,88.0363636363636,0.582779991146525,0.266710934041611,0.631836235869233,0.785415875427269,0.125055334218681,1.20353982300885,0.715913406760349,0.994452493058071,0.628331119964586,0.546184738955823,0.631836235869233,1.07816179263198,1.20353982300885,0.579211020663745,0.428303068252974,0.556590788777131,0.429912892824486,0.345091608671513,0.403547066848568,0.969562386038031,0.620009557523766,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.module.000057",1,550,205,755,1597,4518,10,10,20,0,672,925,1139,684,2.04946775203507,0.77958672510958,55,0.425798371947401,0.353788353162179,2.49668874172185,6.07317073170732,3.31707317073171,27.7350993377483,51.5853658536585,30,88.0363636363636,0.582779991146525,0.266710934041611,0.631836235869233,0.785415875427269,0.125055334218681,1.20353982300885,0.715913406760349,0.994452493058071,0.628331119964586,0.546184738955823,0.631836235869233,1.07816179263198,1.20353982300885,0.579211020663745,0.428303068252974,0.556590788777131,0.429912892824486,0.345091608671513,0.403547066848568,0.969562386038031,0.620009557523766,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000058",1,536,205,741,1578,4466,10,10,20,0,662,916,1130,674,2.04752851711027,0.782636248415716,53.6,0.424588086185044,0.358048162230672,2.51686909581646,6.02439024390244,3.26829268292683,27.8407557354926,50.8536585365854,29.2682926829268,88.8619402985075,0.582400358262427,0.267577250335871,0.630145465800062,0.782775855440215,0.126511419614868,1.1858407079646,0.717031910803537,1.00016501126254,0.630249446898958,0.54251012145749,0.630145465800062,1.08215841209179,1.1858407079646,0.580481622306717,0.427122940430925,0.556963384516268,0.434348311059328,0.343493097767872,0.404814609850581,0.980389195563626,0.616724738675958,"X2clsQ",927.46,"ok" "Core1108_tbm_03.tex.module.000058",1,536,205,741,1578,4466,10,10,20,0,662,916,1130,674,2.04752851711027,0.782636248415716,53.6,0.424588086185044,0.358048162230672,2.51686909581646,6.02439024390244,3.26829268292683,27.8407557354926,50.8536585365854,29.2682926829268,88.8619402985075,0.582400358262427,0.267577250335871,0.630145465800062,0.782775855440215,0.126511419614868,1.1858407079646,0.717031910803537,1.00016501126254,0.630249446898958,0.54251012145749,0.630145465800062,1.08215841209179,1.1858407079646,0.580481622306717,0.427122940430925,0.556963384516268,0.434348311059328,0.343493097767872,0.404814609850581,0.980389195563626,0.616724738675958,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000058",1,536,205,741,1578,4466,10,10,20,0,662,916,1130,674,2.04752851711027,0.782636248415716,53.6,0.424588086185044,0.358048162230672,2.51686909581646,6.02439024390244,3.26829268292683,27.8407557354926,50.8536585365854,29.2682926829268,88.8619402985075,0.582400358262427,0.267577250335871,0.630145465800062,0.782775855440215,0.126511419614868,1.1858407079646,0.717031910803537,1.00016501126254,0.630249446898958,0.54251012145749,0.630145465800062,1.08215841209179,1.1858407079646,0.580481622306717,0.427122940430925,0.556963384516268,0.434348311059328,0.343493097767872,0.404814609850581,0.980389195563626,0.616724738675958,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000058",1,536,205,741,1578,4466,10,10,20,0,662,916,1130,674,2.04752851711027,0.782636248415716,53.6,0.424588086185044,0.358048162230672,2.51686909581646,6.02439024390244,3.26829268292683,27.8407557354926,50.8536585365854,29.2682926829268,88.8619402985075,0.582400358262427,0.267577250335871,0.630145465800062,0.782775855440215,0.126511419614868,1.1858407079646,0.717031910803537,1.00016501126254,0.630249446898958,0.54251012145749,0.630145465800062,1.08215841209179,1.1858407079646,0.580481622306717,0.427122940430925,0.556963384516268,0.434348311059328,0.343493097767872,0.404814609850581,0.980389195563626,0.616724738675958,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.module.000058",1,536,205,741,1578,4466,10,10,20,0,662,916,1130,674,2.04752851711027,0.782636248415716,53.6,0.424588086185044,0.358048162230672,2.51686909581646,6.02439024390244,3.26829268292683,27.8407557354926,50.8536585365854,29.2682926829268,88.8619402985075,0.582400358262427,0.267577250335871,0.630145465800062,0.782775855440215,0.126511419614868,1.1858407079646,0.717031910803537,1.00016501126254,0.630249446898958,0.54251012145749,0.630145465800062,1.08215841209179,1.1858407079646,0.580481622306717,0.427122940430925,0.556963384516268,0.434348311059328,0.343493097767872,0.404814609850581,0.980389195563626,0.616724738675958,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000064",1,531,205,736,1559,4414,10,10,20,0,652,907,1121,664,2.04554201411161,0.785760102629891,53.1,0.423348300192431,0.36241180243746,2.50679347826087,5.97560975609756,3.21951219512195,27.6086956521739,50.1219512195122,28.5365853658537,89.0583804143126,0.58201178069778,0.26846397825102,0.628410159924741,0.780070066173608,0.128001812415043,1.16814159292035,0.718178279486181,1.00139514999782,0.629286886357993,0.538775510204082,0.628410159924741,1.08122705970579,1.16814159292035,0.581783194355356,0.425914047466325,0.557346694259884,0.436909846770668,0.346080585302095,0.406118439517261,0.987024926968875,0.620943102141594,"X2clsQ",922.25,"ok" "Core1108_tbm_03.tex.module.000064",1,531,205,736,1559,4414,10,10,20,0,652,907,1121,664,2.04554201411161,0.785760102629891,53.1,0.423348300192431,0.36241180243746,2.50679347826087,5.97560975609756,3.21951219512195,27.6086956521739,50.1219512195122,28.5365853658537,89.0583804143126,0.58201178069778,0.26846397825102,0.628410159924741,0.780070066173608,0.128001812415043,1.16814159292035,0.718178279486181,1.00139514999782,0.629286886357993,0.538775510204082,0.628410159924741,1.08122705970579,1.16814159292035,0.581783194355356,0.425914047466325,0.557346694259884,0.436909846770668,0.346080585302095,0.406118439517261,0.987024926968875,0.620943102141594,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000064",1,531,205,736,1559,4414,10,10,20,0,652,907,1121,664,2.04554201411161,0.785760102629891,53.1,0.423348300192431,0.36241180243746,2.50679347826087,5.97560975609756,3.21951219512195,27.6086956521739,50.1219512195122,28.5365853658537,89.0583804143126,0.58201178069778,0.26846397825102,0.628410159924741,0.780070066173608,0.128001812415043,1.16814159292035,0.718178279486181,1.00139514999782,0.629286886357993,0.538775510204082,0.628410159924741,1.08122705970579,1.16814159292035,0.581783194355356,0.425914047466325,0.557346694259884,0.436909846770668,0.346080585302095,0.406118439517261,0.987024926968875,0.620943102141594,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000064",1,531,205,736,1559,4414,10,10,20,0,652,907,1121,664,2.04554201411161,0.785760102629891,53.1,0.423348300192431,0.36241180243746,2.50679347826087,5.97560975609756,3.21951219512195,27.6086956521739,50.1219512195122,28.5365853658537,89.0583804143126,0.58201178069778,0.26846397825102,0.628410159924741,0.780070066173608,0.128001812415043,1.16814159292035,0.718178279486181,1.00139514999782,0.629286886357993,0.538775510204082,0.628410159924741,1.08122705970579,1.16814159292035,0.581783194355356,0.425914047466325,0.557346694259884,0.436909846770668,0.346080585302095,0.406118439517261,0.987024926968875,0.620943102141594,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.module.000064",1,531,205,736,1559,4414,10,10,20,0,652,907,1121,664,2.04554201411161,0.785760102629891,53.1,0.423348300192431,0.36241180243746,2.50679347826087,5.97560975609756,3.21951219512195,27.6086956521739,50.1219512195122,28.5365853658537,89.0583804143126,0.58201178069778,0.26846397825102,0.628410159924741,0.780070066173608,0.128001812415043,1.16814159292035,0.718178279486181,1.00139514999782,0.629286886357993,0.538775510204082,0.628410159924741,1.08122705970579,1.16814159292035,0.581783194355356,0.425914047466325,0.557346694259884,0.436909846770668,0.346080585302095,0.406118439517261,0.987024926968875,0.620943102141594,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000065",1,526,200,726,1540,4362,10,10,20,0,642,898,1112,654,2.04350649350649,0.788961038961039,52.6,0.422077922077922,0.366883116883117,2.5137741046832,6.075,3.25,27.6308539944904,50.875,28.75,89.2585551330799,0.581613938560293,0.269371847776249,0.626628535112806,0.777296018919984,0.129527739569005,1.15044247787611,0.719353567205361,0.995776695728579,0.62398209214387,0.534979423868313,0.626628535112806,1.07284583599983,1.15044247787611,0.583116883116883,0.424675324675325,0.557125510542002,0.436053971493173,0.354625234573352,0.40746013667426,0.984599433638249,0.636526649494749,"X2clsQ",891.63,"ok" "Core1108_tbm_03.tex.module.000065",1,526,200,726,1540,4362,10,10,20,0,642,898,1112,654,2.04350649350649,0.788961038961039,52.6,0.422077922077922,0.366883116883117,2.5137741046832,6.075,3.25,27.6308539944904,50.875,28.75,89.2585551330799,0.581613938560293,0.269371847776249,0.626628535112806,0.777296018919984,0.129527739569005,1.15044247787611,0.719353567205361,0.995776695728579,0.62398209214387,0.534979423868313,0.626628535112806,1.07284583599983,1.15044247787611,0.583116883116883,0.424675324675325,0.557125510542002,0.436053971493173,0.354625234573352,0.40746013667426,0.984599433638249,0.636526649494749,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000065",1,526,200,726,1540,4362,10,10,20,0,642,898,1112,654,2.04350649350649,0.788961038961039,52.6,0.422077922077922,0.366883116883117,2.5137741046832,6.075,3.25,27.6308539944904,50.875,28.75,89.2585551330799,0.581613938560293,0.269371847776249,0.626628535112806,0.777296018919984,0.129527739569005,1.15044247787611,0.719353567205361,0.995776695728579,0.62398209214387,0.534979423868313,0.626628535112806,1.07284583599983,1.15044247787611,0.583116883116883,0.424675324675325,0.557125510542002,0.436053971493173,0.354625234573352,0.40746013667426,0.984599433638249,0.636526649494749,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000065",1,526,200,726,1540,4362,10,10,20,0,642,898,1112,654,2.04350649350649,0.788961038961039,52.6,0.422077922077922,0.366883116883117,2.5137741046832,6.075,3.25,27.6308539944904,50.875,28.75,89.2585551330799,0.581613938560293,0.269371847776249,0.626628535112806,0.777296018919984,0.129527739569005,1.15044247787611,0.719353567205361,0.995776695728579,0.62398209214387,0.534979423868313,0.626628535112806,1.07284583599983,1.15044247787611,0.583116883116883,0.424675324675325,0.557125510542002,0.436053971493173,0.354625234573352,0.40746013667426,0.984599433638249,0.636526649494749,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.module.000065",1,526,200,726,1540,4362,10,10,20,0,642,898,1112,654,2.04350649350649,0.788961038961039,52.6,0.422077922077922,0.366883116883117,2.5137741046832,6.075,3.25,27.6308539944904,50.875,28.75,89.2585551330799,0.581613938560293,0.269371847776249,0.626628535112806,0.777296018919984,0.129527739569005,1.15044247787611,0.719353567205361,0.995776695728579,0.62398209214387,0.534979423868313,0.626628535112806,1.07284583599983,1.15044247787611,0.583116883116883,0.424675324675325,0.557125510542002,0.436053971493173,0.354625234573352,0.40746013667426,0.984599433638249,0.636526649494749,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000090",1,479,185,664,1464,4154,10,10,20,0,602,862,1056,614,2.04166666666667,0.795765027322404,47.9,0.426912568306011,0.368852459016393,2.61746987951807,6.2972972972973,3.37837837837838,28.4262048192771,51.7567567567568,29.3243243243243,91.8997912317328,0.581608088589311,0.267934520943669,0.627634660421546,0.776490066225165,0.129995185363505,1.15740740740741,0.719370860927152,0.997451961687957,0.626035423260826,0.536480686695279,0.627634660421546,1.07638706466286,1.15740740740741,0.58879781420765,0.419398907103825,0.558531165945503,0.436081777784722,0.348383467083034,0.405364677516576,0.987797425652228,0.623749377518222,"X2clsQ",785.45,"ok" "Core1108_tbm_03.tex.module.000090",1,479,185,664,1464,4154,10,10,20,0,602,862,1056,614,2.04166666666667,0.795765027322404,47.9,0.426912568306011,0.368852459016393,2.61746987951807,6.2972972972973,3.37837837837838,28.4262048192771,51.7567567567568,29.3243243243243,91.8997912317328,0.581608088589311,0.267934520943669,0.627634660421546,0.776490066225165,0.129995185363505,1.15740740740741,0.719370860927152,0.997451961687957,0.626035423260826,0.536480686695279,0.627634660421546,1.07638706466286,1.15740740740741,0.58879781420765,0.419398907103825,0.558531165945503,0.436081777784722,0.348383467083034,0.405364677516576,0.987797425652228,0.623749377518222,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000090",1,479,185,664,1464,4154,10,10,20,0,602,862,1056,614,2.04166666666667,0.795765027322404,47.9,0.426912568306011,0.368852459016393,2.61746987951807,6.2972972972973,3.37837837837838,28.4262048192771,51.7567567567568,29.3243243243243,91.8997912317328,0.581608088589311,0.267934520943669,0.627634660421546,0.776490066225165,0.129995185363505,1.15740740740741,0.719370860927152,0.997451961687957,0.626035423260826,0.536480686695279,0.627634660421546,1.07638706466286,1.15740740740741,0.58879781420765,0.419398907103825,0.558531165945503,0.436081777784722,0.348383467083034,0.405364677516576,0.987797425652228,0.623749377518222,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000090",1,479,185,664,1464,4154,10,10,20,0,602,862,1056,614,2.04166666666667,0.795765027322404,47.9,0.426912568306011,0.368852459016393,2.61746987951807,6.2972972972973,3.37837837837838,28.4262048192771,51.7567567567568,29.3243243243243,91.8997912317328,0.581608088589311,0.267934520943669,0.627634660421546,0.776490066225165,0.129995185363505,1.15740740740741,0.719370860927152,0.997451961687957,0.626035423260826,0.536480686695279,0.627634660421546,1.07638706466286,1.15740740740741,0.58879781420765,0.419398907103825,0.558531165945503,0.436081777784722,0.348383467083034,0.405364677516576,0.987797425652228,0.623749377518222,"sKizzo",312.22,"ok" "Core1108_tbm_03.tex.module.000090",1,479,185,664,1464,4154,10,10,20,0,602,862,1056,614,2.04166666666667,0.795765027322404,47.9,0.426912568306011,0.368852459016393,2.61746987951807,6.2972972972973,3.37837837837838,28.4262048192771,51.7567567567568,29.3243243243243,91.8997912317328,0.581608088589311,0.267934520943669,0.627634660421546,0.776490066225165,0.129995185363505,1.15740740740741,0.719370860927152,0.997451961687957,0.626035423260826,0.536480686695279,0.627634660421546,1.07638706466286,1.15740740740741,0.58879781420765,0.419398907103825,0.558531165945503,0.436081777784722,0.348383467083034,0.405364677516576,0.987797425652228,0.623749377518222,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.module.000092",1,465,185,650,1445,4102,10,10,20,0,592,853,1047,604,2.03944636678201,0.799307958477509,46.5,0.42560553633218,0.373702422145329,2.62923076923077,6.24324324324324,3.32432432432432,28.4230769230769,50.9459459459459,28.5135135135135,92.9677419354839,0.583373963920039,0.266699171136031,0.62877502544961,0.774341830338487,0.131643100926377,1.13888888888889,0.71416631842875,1.00425703695548,0.631451743969635,0.532467532467532,0.62877502544961,1.08241331122584,1.13888888888889,0.590311418685121,0.417993079584775,0.56111177099418,0.438332650035059,0.346385107045518,0.404040404040404,0.998734121960801,0.617319266768886,"X2clsQ",774.67,"ok" "Core1108_tbm_03.tex.module.000092",1,465,185,650,1445,4102,10,10,20,0,592,853,1047,604,2.03944636678201,0.799307958477509,46.5,0.42560553633218,0.373702422145329,2.62923076923077,6.24324324324324,3.32432432432432,28.4230769230769,50.9459459459459,28.5135135135135,92.9677419354839,0.583373963920039,0.266699171136031,0.62877502544961,0.774341830338487,0.131643100926377,1.13888888888889,0.71416631842875,1.00425703695548,0.631451743969635,0.532467532467532,0.62877502544961,1.08241331122584,1.13888888888889,0.590311418685121,0.417993079584775,0.56111177099418,0.438332650035059,0.346385107045518,0.404040404040404,0.998734121960801,0.617319266768886,"quantor",3600,"memout" "Core1108_tbm_03.tex.module.000092",1,465,185,650,1445,4102,10,10,20,0,592,853,1047,604,2.03944636678201,0.799307958477509,46.5,0.42560553633218,0.373702422145329,2.62923076923077,6.24324324324324,3.32432432432432,28.4230769230769,50.9459459459459,28.5135135135135,92.9677419354839,0.583373963920039,0.266699171136031,0.62877502544961,0.774341830338487,0.131643100926377,1.13888888888889,0.71416631842875,1.00425703695548,0.631451743969635,0.532467532467532,0.62877502544961,1.08241331122584,1.13888888888889,0.590311418685121,0.417993079584775,0.56111177099418,0.438332650035059,0.346385107045518,0.404040404040404,0.998734121960801,0.617319266768886,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.module.000092",1,465,185,650,1445,4102,10,10,20,0,592,853,1047,604,2.03944636678201,0.799307958477509,46.5,0.42560553633218,0.373702422145329,2.62923076923077,6.24324324324324,3.32432432432432,28.4230769230769,50.9459459459459,28.5135135135135,92.9677419354839,0.583373963920039,0.266699171136031,0.62877502544961,0.774341830338487,0.131643100926377,1.13888888888889,0.71416631842875,1.00425703695548,0.631451743969635,0.532467532467532,0.62877502544961,1.08241331122584,1.13888888888889,0.590311418685121,0.417993079584775,0.56111177099418,0.438332650035059,0.346385107045518,0.404040404040404,0.998734121960801,0.617319266768886,"sKizzo",200.93,"ok" "Core1108_tbm_03.tex.module.000092",1,465,185,650,1445,4102,10,10,20,0,592,853,1047,604,2.03944636678201,0.799307958477509,46.5,0.42560553633218,0.373702422145329,2.62923076923077,6.24324324324324,3.32432432432432,28.4230769230769,50.9459459459459,28.5135135135135,92.9677419354839,0.583373963920039,0.266699171136031,0.62877502544961,0.774341830338487,0.131643100926377,1.13888888888889,0.71416631842875,1.00425703695548,0.631451743969635,0.532467532467532,0.62877502544961,1.08241331122584,1.13888888888889,0.590311418685121,0.417993079584775,0.56111177099418,0.438332650035059,0.346385107045518,0.404040404040404,0.998734121960801,0.617319266768886,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.moduleQ3.2S.000002",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"X2clsQ",1566.84,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000002",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"quantor",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000002",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"QuBE",58.85,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000002",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"sKizzo",355.77,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000002",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.moduleQ3.2S.000003",1,1361,225,1586,4368,12820,10,10,20,0,1253,3115,3623,1273,2.40155677655678,0.533424908424908,136.1,0.303342490842491,0.230082417582418,2.96847414880202,10.3555555555556,5.88888888888889,31.2200504413619,80.8888888888889,51,127.413666421749,0.632761310452418,0.263884555382215,0.677502383222116,0.876109467455621,0.078393135725429,1.318407960199,0.580374753451677,0.953525958819396,0.646016109564294,0.568669527896996,0.677502383222116,1.02094754987848,1.31840796019901,0.713141025641026,0.291437728937729,0.616875580315692,0.342995653559122,0.366788747893669,0.342579250720461,0.895259179359404,0.594591129228947,"X2clsQ",1671.12,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000003",1,1361,225,1586,4368,12820,10,10,20,0,1253,3115,3623,1273,2.40155677655678,0.533424908424908,136.1,0.303342490842491,0.230082417582418,2.96847414880202,10.3555555555556,5.88888888888889,31.2200504413619,80.8888888888889,51,127.413666421749,0.632761310452418,0.263884555382215,0.677502383222116,0.876109467455621,0.078393135725429,1.318407960199,0.580374753451677,0.953525958819396,0.646016109564294,0.568669527896996,0.677502383222116,1.02094754987848,1.31840796019901,0.713141025641026,0.291437728937729,0.616875580315692,0.342995653559122,0.366788747893669,0.342579250720461,0.895259179359404,0.594591129228947,"quantor",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000003",1,1361,225,1586,4368,12820,10,10,20,0,1253,3115,3623,1273,2.40155677655678,0.533424908424908,136.1,0.303342490842491,0.230082417582418,2.96847414880202,10.3555555555556,5.88888888888889,31.2200504413619,80.8888888888889,51,127.413666421749,0.632761310452418,0.263884555382215,0.677502383222116,0.876109467455621,0.078393135725429,1.318407960199,0.580374753451677,0.953525958819396,0.646016109564294,0.568669527896996,0.677502383222116,1.02094754987848,1.31840796019901,0.713141025641026,0.291437728937729,0.616875580315692,0.342995653559122,0.366788747893669,0.342579250720461,0.895259179359404,0.594591129228947,"QuBE",68.15,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000003",1,1361,225,1586,4368,12820,10,10,20,0,1253,3115,3623,1273,2.40155677655678,0.533424908424908,136.1,0.303342490842491,0.230082417582418,2.96847414880202,10.3555555555556,5.88888888888889,31.2200504413619,80.8888888888889,51,127.413666421749,0.632761310452418,0.263884555382215,0.677502383222116,0.876109467455621,0.078393135725429,1.318407960199,0.580374753451677,0.953525958819396,0.646016109564294,0.568669527896996,0.677502383222116,1.02094754987848,1.31840796019901,0.713141025641026,0.291437728937729,0.616875580315692,0.342995653559122,0.366788747893669,0.342579250720461,0.895259179359404,0.594591129228947,"sKizzo",225.34,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000003",1,1361,225,1586,4368,12820,10,10,20,0,1253,3115,3623,1273,2.40155677655678,0.533424908424908,136.1,0.303342490842491,0.230082417582418,2.96847414880202,10.3555555555556,5.88888888888889,31.2200504413619,80.8888888888889,51,127.413666421749,0.632761310452418,0.263884555382215,0.677502383222116,0.876109467455621,0.078393135725429,1.318407960199,0.580374753451677,0.953525958819396,0.646016109564294,0.568669527896996,0.677502383222116,1.02094754987848,1.31840796019901,0.713141025641026,0.291437728937729,0.616875580315692,0.342995653559122,0.366788747893669,0.342579250720461,0.895259179359404,0.594591129228947,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.moduleQ3.2S.000009",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"X2clsQ",1488.55,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000009",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"quantor",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000009",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"QuBE",60.27,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000009",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"sKizzo",225.11,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000009",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.moduleQ3.2S.000011",1,1356,220,1576,4363,12805,10,10,20,0,1248,3115,3628,1268,2.40201696080678,0.532890213156085,135.6,0.301398120559248,0.231492092596837,2.97779187817259,10.5681818181818,5.97727272727273,31.2404822335025,81.9318181818182,51.25,127.824483775811,0.633502538071066,0.263803201874268,0.677671755725191,0.875493096646943,0.0788754392815307,1.3019801980198,0.578525641025641,0.95121397776265,0.644610846380758,0.565591397849462,0.677671755725191,1.01753474949527,1.3019801980198,0.713958285583314,0.290625716250286,0.618052053838098,0.342257492454884,0.375117687091621,0.342352092352092,0.896084128463426,0.60693542681744,"X2clsQ",3031.61,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000011",1,1356,220,1576,4363,12805,10,10,20,0,1248,3115,3628,1268,2.40201696080678,0.532890213156085,135.6,0.301398120559248,0.231492092596837,2.97779187817259,10.5681818181818,5.97727272727273,31.2404822335025,81.9318181818182,51.25,127.824483775811,0.633502538071066,0.263803201874268,0.677671755725191,0.875493096646943,0.0788754392815307,1.3019801980198,0.578525641025641,0.95121397776265,0.644610846380758,0.565591397849462,0.677671755725191,1.01753474949527,1.3019801980198,0.713958285583314,0.290625716250286,0.618052053838098,0.342257492454884,0.375117687091621,0.342352092352092,0.896084128463426,0.60693542681744,"quantor",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000011",1,1356,220,1576,4363,12805,10,10,20,0,1248,3115,3628,1268,2.40201696080678,0.532890213156085,135.6,0.301398120559248,0.231492092596837,2.97779187817259,10.5681818181818,5.97727272727273,31.2404822335025,81.9318181818182,51.25,127.824483775811,0.633502538071066,0.263803201874268,0.677671755725191,0.875493096646943,0.0788754392815307,1.3019801980198,0.578525641025641,0.95121397776265,0.644610846380758,0.565591397849462,0.677671755725191,1.01753474949527,1.3019801980198,0.713958285583314,0.290625716250286,0.618052053838098,0.342257492454884,0.375117687091621,0.342352092352092,0.896084128463426,0.60693542681744,"QuBE",3600,"timeout" "Core1108_tbm_03.tex.moduleQ3.2S.000011",1,1356,220,1576,4363,12805,10,10,20,0,1248,3115,3628,1268,2.40201696080678,0.532890213156085,135.6,0.301398120559248,0.231492092596837,2.97779187817259,10.5681818181818,5.97727272727273,31.2404822335025,81.9318181818182,51.25,127.824483775811,0.633502538071066,0.263803201874268,0.677671755725191,0.875493096646943,0.0788754392815307,1.3019801980198,0.578525641025641,0.95121397776265,0.644610846380758,0.565591397849462,0.677671755725191,1.01753474949527,1.3019801980198,0.713958285583314,0.290625716250286,0.618052053838098,0.342257492454884,0.375117687091621,0.342352092352092,0.896084128463426,0.60693542681744,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000011",1,1356,220,1576,4363,12805,10,10,20,0,1248,3115,3628,1268,2.40201696080678,0.532890213156085,135.6,0.301398120559248,0.231492092596837,2.97779187817259,10.5681818181818,5.97727272727273,31.2404822335025,81.9318181818182,51.25,127.824483775811,0.633502538071066,0.263803201874268,0.677671755725191,0.875493096646943,0.0788754392815307,1.3019801980198,0.578525641025641,0.95121397776265,0.644610846380758,0.565591397849462,0.677671755725191,1.01753474949527,1.3019801980198,0.713958285583314,0.290625716250286,0.618052053838098,0.342257492454884,0.375117687091621,0.342352092352092,0.896084128463426,0.60693542681744,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.moduleQ3.2S.000018",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"X2clsQ",1550.72,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000018",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"quantor",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000018",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"QuBE",70.04,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000018",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000018",1,1356,220,1576,4358,12795,10,10,20,0,1243,3115,3623,1263,2.40362551629188,0.532354290959156,135.6,0.301743919229004,0.230610371730151,2.9746192893401,10.5454545454545,5.97727272727273,31.2214467005076,82.0454545454545,51.4772727272727,127.765486725664,0.633606877686596,0.263618601016022,0.67799522673031,0.87603305785124,0.0785463071512309,1.30845771144279,0.578265696311829,0.951503225932881,0.645114645400985,0.566810344827586,0.67799522673031,1.01816231502474,1.30845771144279,0.714777420835246,0.289811840293713,0.618121847109042,0.341681976824713,0.373887889371009,0.34187725631769,0.89474083353042,0.604877324947637,"sSolve",3600,"timeout" "Core1108_tbm_03.tex.moduleQ3.2S.000048",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"X2clsQ",1507.64,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000048",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"quantor",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000048",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"QuBE",65.93,"ok" "Core1108_tbm_03.tex.moduleQ3.2S.000048",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"sKizzo",3600,"memout" "Core1108_tbm_03.tex.moduleQ3.2S.000048",1,1366,225,1591,4378,12845,10,10,20,0,1263,3115,3628,1283,2.39949748743719,0.534490634993148,136.6,0.303791685701233,0.230698949291914,2.96857322438718,10.4,5.91111111111111,31.269641734758,81.5555555555556,51.3333333333333,127.064421669107,0.632308291163877,0.264149474503698,0.677010947168015,0.875646392514159,0.0786298170494356,1.31683168316832,0.581507017975868,0.952536066069883,0.644877344301666,0.568376068376068,0.677010947168015,1.01987804574673,1.31683168316832,0.711512105984468,0.293056190041115,0.616215382241765,0.343223742222063,0.370929225916497,0.343278217109993,0.894313441291376,0.601947365492683,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.module.000008",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"X2clsQ",2179.29,"ok" "Core1108_tbm_09.tex.module.000008",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"quantor",3600,"memout" "Core1108_tbm_09.tex.module.000008",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"QuBE",2699.3,"ok" "Core1108_tbm_09.tex.module.000008",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"sKizzo",108.99,"ok" "Core1108_tbm_09.tex.module.000008",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.module.000009",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.359765051395,95.3,72.2,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552645023454554,0.342569639425703,0.292250243834471,0.359179291423118,0.765766913047635,0.528820909320111,"X2clsQ",2101.04,"ok" "Core1108_tbm_09.tex.module.000009",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.359765051395,95.3,72.2,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552645023454554,0.342569639425703,0.292250243834471,0.359179291423118,0.765766913047635,0.528820909320111,"quantor",3600,"memout" "Core1108_tbm_09.tex.module.000009",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.359765051395,95.3,72.2,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552645023454554,0.342569639425703,0.292250243834471,0.359179291423118,0.765766913047635,0.528820909320111,"QuBE",2741.78,"ok" "Core1108_tbm_09.tex.module.000009",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.359765051395,95.3,72.2,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552645023454554,0.342569639425703,0.292250243834471,0.359179291423118,0.765766913047635,0.528820909320111,"sKizzo",124.9,"ok" "Core1108_tbm_09.tex.module.000009",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.359765051395,95.3,72.2,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552645023454554,0.342569639425703,0.292250243834471,0.359179291423118,0.765766913047635,0.528820909320111,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.module.000010",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"X2clsQ",2330.59,"ok" "Core1108_tbm_09.tex.module.000010",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"quantor",3600,"memout" "Core1108_tbm_09.tex.module.000010",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"QuBE",2678.43,"ok" "Core1108_tbm_09.tex.module.000010",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"sKizzo",92.4,"ok" "Core1108_tbm_09.tex.module.000010",1,1112,250,1362,3467,9630,10,10,20,0,1642,1825,2057,1654,2.06085953273724,0.716758004038073,111.2,0.475915777329103,0.24084222670897,2.80837004405286,9.94,6.6,35.3964757709251,95.5,72.4,87.6888489208633,0.602803738317757,0.225856697819315,0.695591322603219,0.856158484065461,0.0867082035306334,1.97604790419162,0.65891472868217,0.908758040296735,0.632124207176315,0.663983903420523,0.695591322603219,1.04864015764133,1.97604790419162,0.526391693106432,0.47706951254687,0.552388468501927,0.342410607978962,0.292114572211132,0.359179291423118,0.764972713801579,0.528820909320111,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.module.000028",1,947,250,1197,2840,7914,10,10,20,0,1312,1528,1760,1324,2.04718309859155,0.73943661971831,94.7,0.464788732394366,0.274647887323944,2.64411027568922,8.4,5.28,32.2305764411028,77,55,88.2259767687434,0.600075815011372,0.233131159969674,0.68266253869969,0.83575489576753,0.0985595147839272,1.69230769230769,0.666456096020215,0.92858818358601,0.63391236681336,0.628571428571429,0.682662538699691,1.05638712801873,1.69230769230769,0.538028169014084,0.466197183098592,0.555529953917051,0.361577306945533,0.303387096774194,0.367579570688379,0.81350207990856,0.546121941103277,"X2clsQ",0.18,"ok" "Core1108_tbm_09.tex.module.000028",1,947,250,1197,2840,7914,10,10,20,0,1312,1528,1760,1324,2.04718309859155,0.73943661971831,94.7,0.464788732394366,0.274647887323944,2.64411027568922,8.4,5.28,32.2305764411028,77,55,88.2259767687434,0.600075815011372,0.233131159969674,0.68266253869969,0.83575489576753,0.0985595147839272,1.69230769230769,0.666456096020215,0.92858818358601,0.63391236681336,0.628571428571429,0.682662538699691,1.05638712801873,1.69230769230769,0.538028169014084,0.466197183098592,0.555529953917051,0.361577306945533,0.303387096774194,0.367579570688379,0.81350207990856,0.546121941103277,"quantor",3600,"memout" "Core1108_tbm_09.tex.module.000028",1,947,250,1197,2840,7914,10,10,20,0,1312,1528,1760,1324,2.04718309859155,0.73943661971831,94.7,0.464788732394366,0.274647887323944,2.64411027568922,8.4,5.28,32.2305764411028,77,55,88.2259767687434,0.600075815011372,0.233131159969674,0.68266253869969,0.83575489576753,0.0985595147839272,1.69230769230769,0.666456096020215,0.92858818358601,0.63391236681336,0.628571428571429,0.682662538699691,1.05638712801873,1.69230769230769,0.538028169014084,0.466197183098592,0.555529953917051,0.361577306945533,0.303387096774194,0.367579570688379,0.81350207990856,0.546121941103277,"QuBE",0.11,"ok" "Core1108_tbm_09.tex.module.000028",1,947,250,1197,2840,7914,10,10,20,0,1312,1528,1760,1324,2.04718309859155,0.73943661971831,94.7,0.464788732394366,0.274647887323944,2.64411027568922,8.4,5.28,32.2305764411028,77,55,88.2259767687434,0.600075815011372,0.233131159969674,0.68266253869969,0.83575489576753,0.0985595147839272,1.69230769230769,0.666456096020215,0.92858818358601,0.63391236681336,0.628571428571429,0.682662538699691,1.05638712801873,1.69230769230769,0.538028169014084,0.466197183098592,0.555529953917051,0.361577306945533,0.303387096774194,0.367579570688379,0.81350207990856,0.546121941103277,"sKizzo",116.15,"ok" "Core1108_tbm_09.tex.module.000028",1,947,250,1197,2840,7914,10,10,20,0,1312,1528,1760,1324,2.04718309859155,0.73943661971831,94.7,0.464788732394366,0.274647887323944,2.64411027568922,8.4,5.28,32.2305764411028,77,55,88.2259767687434,0.600075815011372,0.233131159969674,0.68266253869969,0.83575489576753,0.0985595147839272,1.69230769230769,0.666456096020215,0.92858818358601,0.63391236681336,0.628571428571429,0.682662538699691,1.05638712801873,1.69230769230769,0.538028169014084,0.466197183098592,0.555529953917051,0.361577306945533,0.303387096774194,0.367579570688379,0.81350207990856,0.546121941103277,"sSolve",35.71,"ok" "Core1108_tbm_09.tex.module.000033",1,902,250,1152,2669,7446,10,10,20,0,1222,1447,1679,1234,2.04421131509929,0.745597602098164,90.2,0.4608467590858,0.284750843012364,2.59114583333333,7.96,4.92,31.1979166666667,71.8,50.2,87.8159645232816,0.59911361804996,0.235697018533441,0.6783357771261,0.82963461107375,0.102068224550094,1.61842105263158,0.669132481506389,0.935830663705509,0.63480742052311,0.618090452261307,0.6783357771261,1.05957768509641,1.61842105263158,0.542150618209067,0.462345447733233,0.556898039699174,0.368300036549418,0.306783380594255,0.370329322355921,0.831185753047392,0.550878901926057,"X2clsQ",0.19,"ok" "Core1108_tbm_09.tex.module.000033",1,902,250,1152,2669,7446,10,10,20,0,1222,1447,1679,1234,2.04421131509929,0.745597602098164,90.2,0.4608467590858,0.284750843012364,2.59114583333333,7.96,4.92,31.1979166666667,71.8,50.2,87.8159645232816,0.59911361804996,0.235697018533441,0.6783357771261,0.82963461107375,0.102068224550094,1.61842105263158,0.669132481506389,0.935830663705509,0.63480742052311,0.618090452261307,0.6783357771261,1.05957768509641,1.61842105263158,0.542150618209067,0.462345447733233,0.556898039699174,0.368300036549418,0.306783380594255,0.370329322355921,0.831185753047392,0.550878901926057,"quantor",3600,"memout" "Core1108_tbm_09.tex.module.000033",1,902,250,1152,2669,7446,10,10,20,0,1222,1447,1679,1234,2.04421131509929,0.745597602098164,90.2,0.4608467590858,0.284750843012364,2.59114583333333,7.96,4.92,31.1979166666667,71.8,50.2,87.8159645232816,0.59911361804996,0.235697018533441,0.6783357771261,0.82963461107375,0.102068224550094,1.61842105263158,0.669132481506389,0.935830663705509,0.63480742052311,0.618090452261307,0.6783357771261,1.05957768509641,1.61842105263158,0.542150618209067,0.462345447733233,0.556898039699174,0.368300036549418,0.306783380594255,0.370329322355921,0.831185753047392,0.550878901926057,"QuBE",0.1,"ok" "Core1108_tbm_09.tex.module.000033",1,902,250,1152,2669,7446,10,10,20,0,1222,1447,1679,1234,2.04421131509929,0.745597602098164,90.2,0.4608467590858,0.284750843012364,2.59114583333333,7.96,4.92,31.1979166666667,71.8,50.2,87.8159645232816,0.59911361804996,0.235697018533441,0.6783357771261,0.82963461107375,0.102068224550094,1.61842105263158,0.669132481506389,0.935830663705509,0.63480742052311,0.618090452261307,0.6783357771261,1.05957768509641,1.61842105263158,0.542150618209067,0.462345447733233,0.556898039699174,0.368300036549418,0.306783380594255,0.370329322355921,0.831185753047392,0.550878901926057,"sKizzo",138.49,"ok" "Core1108_tbm_09.tex.module.000033",1,902,250,1152,2669,7446,10,10,20,0,1222,1447,1679,1234,2.04421131509929,0.745597602098164,90.2,0.4608467590858,0.284750843012364,2.59114583333333,7.96,4.92,31.1979166666667,71.8,50.2,87.8159645232816,0.59911361804996,0.235697018533441,0.6783357771261,0.82963461107375,0.102068224550094,1.61842105263158,0.669132481506389,0.935830663705509,0.63480742052311,0.618090452261307,0.6783357771261,1.05957768509641,1.61842105263158,0.542150618209067,0.462345447733233,0.556898039699174,0.368300036549418,0.306783380594255,0.370329322355921,0.831185753047392,0.550878901926057,"sSolve",55.15,"ok" "Core1108_tbm_09.tex.moduleQ3.10S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"X2clsQ",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.10S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"quantor",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.10S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"QuBE",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.10S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"sKizzo",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.10S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.2S.000003",1,2919,245,3164,10224,30262,10,10,20,0,2580,7644,8651,2608,2.55399061032864,0.405907668231612,291.9,0.243544600938967,0.162363067292645,3.03697850821745,16.9387755102041,10.1632653061224,32.2250316055626,131.428571428571,91.2244897959184,167.934224049332,0.682473068534796,0.23524552243738,0.727366727941176,0.919624267660872,0.0548542726852158,1.5,0.465259284365467,0.935286982891382,0.680296632431679,0.6,0.727366727941177,0.99681095679308,1.5,0.747652582159624,0.25508607198748,0.66656856012296,0.28219331725829,0.415990432276119,0.290982857560583,0.846330859988353,0.624077487542139,"X2clsQ",2792.55,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000003",1,2919,245,3164,10224,30262,10,10,20,0,2580,7644,8651,2608,2.55399061032864,0.405907668231612,291.9,0.243544600938967,0.162363067292645,3.03697850821745,16.9387755102041,10.1632653061224,32.2250316055626,131.428571428571,91.2244897959184,167.934224049332,0.682473068534796,0.23524552243738,0.727366727941176,0.919624267660872,0.0548542726852158,1.5,0.465259284365467,0.935286982891382,0.680296632431679,0.6,0.727366727941177,0.99681095679308,1.5,0.747652582159624,0.25508607198748,0.66656856012296,0.28219331725829,0.415990432276119,0.290982857560583,0.846330859988353,0.624077487542139,"quantor",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.2S.000003",1,2919,245,3164,10224,30262,10,10,20,0,2580,7644,8651,2608,2.55399061032864,0.405907668231612,291.9,0.243544600938967,0.162363067292645,3.03697850821745,16.9387755102041,10.1632653061224,32.2250316055626,131.428571428571,91.2244897959184,167.934224049332,0.682473068534796,0.23524552243738,0.727366727941176,0.919624267660872,0.0548542726852158,1.5,0.465259284365467,0.935286982891382,0.680296632431679,0.6,0.727366727941177,0.99681095679308,1.5,0.747652582159624,0.25508607198748,0.66656856012296,0.28219331725829,0.415990432276119,0.290982857560583,0.846330859988353,0.624077487542139,"QuBE",90.34,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000003",1,2919,245,3164,10224,30262,10,10,20,0,2580,7644,8651,2608,2.55399061032864,0.405907668231612,291.9,0.243544600938967,0.162363067292645,3.03697850821745,16.9387755102041,10.1632653061224,32.2250316055626,131.428571428571,91.2244897959184,167.934224049332,0.682473068534796,0.23524552243738,0.727366727941176,0.919624267660872,0.0548542726852158,1.5,0.465259284365467,0.935286982891382,0.680296632431679,0.6,0.727366727941177,0.99681095679308,1.5,0.747652582159624,0.25508607198748,0.66656856012296,0.28219331725829,0.415990432276119,0.290982857560583,0.846330859988353,0.624077487542139,"sKizzo",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.2S.000003",1,2919,245,3164,10224,30262,10,10,20,0,2580,7644,8651,2608,2.55399061032864,0.405907668231612,291.9,0.243544600938967,0.162363067292645,3.03697850821745,16.9387755102041,10.1632653061224,32.2250316055626,131.428571428571,91.2244897959184,167.934224049332,0.682473068534796,0.23524552243738,0.727366727941176,0.919624267660872,0.0548542726852158,1.5,0.465259284365467,0.935286982891382,0.680296632431679,0.6,0.727366727941177,0.99681095679308,1.5,0.747652582159624,0.25508607198748,0.66656856012296,0.28219331725829,0.415990432276119,0.290982857560583,0.846330859988353,0.624077487542139,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.2S.000005",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"X2clsQ",2841.91,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000005",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"quantor",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.2S.000005",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"QuBE",79.25,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000005",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"sKizzo",233.87,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000005",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.2S.000007",1,2919,245,3164,10244,30302,10,10,20,0,2600,7644,8671,2628,2.55095665755564,0.407067551737603,291.9,0.243069113627489,0.163998438110113,3.04329962073325,17.0204081632653,10.1632653061224,32.3103666245259,132.244897959184,91.0204081632653,168.043850633779,0.68223219589466,0.235595010230348,0.726810041328639,0.918734581337977,0.0554418850240908,1.48214285714286,0.465776616843225,0.934767782104592,0.679398610344119,0.597122302158273,0.726810041328639,0.995846596558191,1.48214285714286,0.746192893401015,0.25654041390082,0.66625314224152,0.282846635753328,0.425824444890097,0.291811178854368,0.847488535631438,0.639133113064905,"X2clsQ",2499.83,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000007",1,2919,245,3164,10244,30302,10,10,20,0,2600,7644,8671,2628,2.55095665755564,0.407067551737603,291.9,0.243069113627489,0.163998438110113,3.04329962073325,17.0204081632653,10.1632653061224,32.3103666245259,132.244897959184,91.0204081632653,168.043850633779,0.68223219589466,0.235595010230348,0.726810041328639,0.918734581337977,0.0554418850240908,1.48214285714286,0.465776616843225,0.934767782104592,0.679398610344119,0.597122302158273,0.726810041328639,0.995846596558191,1.48214285714286,0.746192893401015,0.25654041390082,0.66625314224152,0.282846635753328,0.425824444890097,0.291811178854368,0.847488535631438,0.639133113064905,"quantor",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.2S.000007",1,2919,245,3164,10244,30302,10,10,20,0,2600,7644,8671,2628,2.55095665755564,0.407067551737603,291.9,0.243069113627489,0.163998438110113,3.04329962073325,17.0204081632653,10.1632653061224,32.3103666245259,132.244897959184,91.0204081632653,168.043850633779,0.68223219589466,0.235595010230348,0.726810041328639,0.918734581337977,0.0554418850240908,1.48214285714286,0.465776616843225,0.934767782104592,0.679398610344119,0.597122302158273,0.726810041328639,0.995846596558191,1.48214285714286,0.746192893401015,0.25654041390082,0.66625314224152,0.282846635753328,0.425824444890097,0.291811178854368,0.847488535631438,0.639133113064905,"QuBE",75.37,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000007",1,2919,245,3164,10244,30302,10,10,20,0,2600,7644,8671,2628,2.55095665755564,0.407067551737603,291.9,0.243069113627489,0.163998438110113,3.04329962073325,17.0204081632653,10.1632653061224,32.3103666245259,132.244897959184,91.0204081632653,168.043850633779,0.68223219589466,0.235595010230348,0.726810041328639,0.918734581337977,0.0554418850240908,1.48214285714286,0.465776616843225,0.934767782104592,0.679398610344119,0.597122302158273,0.726810041328639,0.995846596558191,1.48214285714286,0.746192893401015,0.25654041390082,0.66625314224152,0.282846635753328,0.425824444890097,0.291811178854368,0.847488535631438,0.639133113064905,"sKizzo",782.72,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000007",1,2919,245,3164,10244,30302,10,10,20,0,2600,7644,8671,2628,2.55095665755564,0.407067551737603,291.9,0.243069113627489,0.163998438110113,3.04329962073325,17.0204081632653,10.1632653061224,32.3103666245259,132.244897959184,91.0204081632653,168.043850633779,0.68223219589466,0.235595010230348,0.726810041328639,0.918734581337977,0.0554418850240908,1.48214285714286,0.465776616843225,0.934767782104592,0.679398610344119,0.597122302158273,0.726810041328639,0.995846596558191,1.48214285714286,0.746192893401015,0.25654041390082,0.66625314224152,0.282846635753328,0.425824444890097,0.291811178854368,0.847488535631438,0.639133113064905,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.2S.000010",1,2944,255,3199,10274,30387,10,10,20,0,2630,7644,8666,2658,2.54886120303679,0.408798909869574,294.4,0.245766011290637,0.163032898578937,3.03032197561738,16.4705882352941,9.90196078431373,32.2866520787746,129.21568627451,89.7058823529412,166.779891304348,0.6809819988811,0.235923256655807,0.726238209798755,0.919054752814962,0.0551222562279922,1.50746268656716,0.468467597738366,0.936427926219164,0.680069740742966,0.601190476190476,0.726238209798755,0.998660378483377,1.50746268656716,0.744014015962624,0.258711310103173,0.664375771755378,0.283924289119697,0.410709893888016,0.292623457913316,0.845958858824569,0.618189150400323,"X2clsQ",2225.13,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000010",1,2944,255,3199,10274,30387,10,10,20,0,2630,7644,8666,2658,2.54886120303679,0.408798909869574,294.4,0.245766011290637,0.163032898578937,3.03032197561738,16.4705882352941,9.90196078431373,32.2866520787746,129.21568627451,89.7058823529412,166.779891304348,0.6809819988811,0.235923256655807,0.726238209798755,0.919054752814962,0.0551222562279922,1.50746268656716,0.468467597738366,0.936427926219164,0.680069740742966,0.601190476190476,0.726238209798755,0.998660378483377,1.50746268656716,0.744014015962624,0.258711310103173,0.664375771755378,0.283924289119697,0.410709893888016,0.292623457913316,0.845958858824569,0.618189150400323,"quantor",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.2S.000010",1,2944,255,3199,10274,30387,10,10,20,0,2630,7644,8666,2658,2.54886120303679,0.408798909869574,294.4,0.245766011290637,0.163032898578937,3.03032197561738,16.4705882352941,9.90196078431373,32.2866520787746,129.21568627451,89.7058823529412,166.779891304348,0.6809819988811,0.235923256655807,0.726238209798755,0.919054752814962,0.0551222562279922,1.50746268656716,0.468467597738366,0.936427926219164,0.680069740742966,0.601190476190476,0.726238209798755,0.998660378483377,1.50746268656716,0.744014015962624,0.258711310103173,0.664375771755378,0.283924289119697,0.410709893888016,0.292623457913316,0.845958858824569,0.618189150400323,"QuBE",101.11,"ok" "Core1108_tbm_09.tex.moduleQ3.2S.000010",1,2944,255,3199,10274,30387,10,10,20,0,2630,7644,8666,2658,2.54886120303679,0.408798909869574,294.4,0.245766011290637,0.163032898578937,3.03032197561738,16.4705882352941,9.90196078431373,32.2866520787746,129.21568627451,89.7058823529412,166.779891304348,0.6809819988811,0.235923256655807,0.726238209798755,0.919054752814962,0.0551222562279922,1.50746268656716,0.468467597738366,0.936427926219164,0.680069740742966,0.601190476190476,0.726238209798755,0.998660378483377,1.50746268656716,0.744014015962624,0.258711310103173,0.664375771755378,0.283924289119697,0.410709893888016,0.292623457913316,0.845958858824569,0.618189150400323,"sKizzo",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.2S.000010",1,2944,255,3199,10274,30387,10,10,20,0,2630,7644,8666,2658,2.54886120303679,0.408798909869574,294.4,0.245766011290637,0.163032898578937,3.03032197561738,16.4705882352941,9.90196078431373,32.2866520787746,129.21568627451,89.7058823529412,166.779891304348,0.6809819988811,0.235923256655807,0.726238209798755,0.919054752814962,0.0551222562279922,1.50746268656716,0.468467597738366,0.936427926219164,0.680069740742966,0.601190476190476,0.726238209798755,0.998660378483377,1.50746268656716,0.744014015962624,0.258711310103173,0.664375771755378,0.283924289119697,0.410709893888016,0.292623457913316,0.845958858824569,0.618189150400323,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.2S.000011",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"X2clsQ",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.2S.000011",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"quantor",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.2S.000011",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"QuBE",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.2S.000011",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"sKizzo",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.2S.000011",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"sSolve",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.9S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"X2clsQ",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.9S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"quantor",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.9S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"QuBE",3600,"timeout" "Core1108_tbm_09.tex.moduleQ3.9S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"sKizzo",3600,"memout" "Core1108_tbm_09.tex.moduleQ3.9S.000001",1,2929,250,3179,10244,30312,10,10,20,0,2600,7644,8656,2628,2.55193283873487,0.407067551737603,292.9,0.24453338539633,0.162534166341273,3.03365838313935,16.68,10.02,32.2444164831708,130,90.3,167.470126322977,0.681842174716284,0.235517286883083,0.72691454364624,0.919440681246371,0.0549287410926366,1.5045045045045,0.466615057093091,0.936042082789654,0.680422603444717,0.600719424460432,0.72691454364624,0.997918035398503,1.5045045045045,0.746192893401015,0.25654041390082,0.665639168868448,0.282977115498566,0.411672048797991,0.29164082168789,0.84632256278616,0.618461274593879,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.module.000008",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4625144175317,70.375,48.625,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.55985612635859,0.374434879674871,0.294897959183674,0.369360336875877,0.850710192958237,0.526738826815642,"X2clsQ",3600,"timeout" "Core1108_tbm_21.tex.module.000008",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4625144175317,70.375,48.625,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.55985612635859,0.374434879674871,0.294897959183674,0.369360336875877,0.850710192958237,0.526738826815642,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000008",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4625144175317,70.375,48.625,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.55985612635859,0.374434879674871,0.294897959183674,0.369360336875877,0.850710192958237,0.526738826815642,"QuBE",2409.01,"ok" "Core1108_tbm_21.tex.module.000008",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4625144175317,70.375,48.625,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.55985612635859,0.374434879674871,0.294897959183674,0.369360336875877,0.850710192958237,0.526738826815642,"sKizzo",432.06,"ok" "Core1108_tbm_21.tex.module.000008",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4625144175317,70.375,48.625,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.55985612635859,0.374434879674871,0.294897959183674,0.369360336875877,0.850710192958237,0.526738826815642,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.module.000009",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.520184544406,70.625,48.875,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.559418704586296,0.374142329569648,0.294667552152512,0.369360336875877,0.849201574066664,0.526738826815642,"X2clsQ",3600,"timeout" "Core1108_tbm_21.tex.module.000009",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.520184544406,70.625,48.875,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.559418704586296,0.374142329569648,0.294667552152512,0.369360336875877,0.849201574066664,0.526738826815642,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000009",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.520184544406,70.625,48.875,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.559418704586296,0.374142329569648,0.294667552152512,0.369360336875877,0.849201574066664,0.526738826815642,"QuBE",2328.56,"ok" "Core1108_tbm_21.tex.module.000009",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.520184544406,70.625,48.875,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.559418704586296,0.374142329569648,0.294667552152512,0.369360336875877,0.849201574066664,0.526738826815642,"sKizzo",470.04,"ok" "Core1108_tbm_21.tex.module.000009",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.520184544406,70.625,48.875,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.559418704586296,0.374142329569648,0.294667552152512,0.369360336875877,0.849201574066664,0.526738826815642,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.module.000010",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4048442906574,70.125,48.375,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.560294232725565,0.374727887640811,0.295128726817435,0.369360336875877,0.852224181555779,0.526738826815642,"X2clsQ",3600,"timeout" "Core1108_tbm_21.tex.module.000010",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4048442906574,70.125,48.375,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.560294232725565,0.374727887640811,0.295128726817435,0.369360336875877,0.852224181555779,0.526738826815642,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000010",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4048442906574,70.125,48.375,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.560294232725565,0.374727887640811,0.295128726817435,0.369360336875877,0.852224181555779,0.526738826815642,"QuBE",2491.45,"ok" "Core1108_tbm_21.tex.module.000010",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4048442906574,70.125,48.375,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.560294232725565,0.374727887640811,0.295128726817435,0.369360336875877,0.852224181555779,0.526738826815642,"sKizzo",439.64,"ok" "Core1108_tbm_21.tex.module.000010",1,667,200,867,2118,5938,10,10,20,0,932,1186,1383,944,2.0505193578848,0.753068932955618,66.7,0.460339943342776,0.292728989612842,2.74163783160323,7.975,4.875,32.4048442906574,70.125,48.375,91.1694152923538,0.599696867632199,0.236106433142472,0.677181671655538,0.825891603482168,0.104412260020209,1.57258064516129,0.667509126649818,0.950698699151603,0.643795734332228,0.61128526645768,0.677181671655538,1.07353526269721,1.57258064516129,0.559962228517469,0.445703493862134,0.560294232725565,0.374727887640811,0.295128726817435,0.369360336875877,0.852224181555779,0.526738826815642,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.module.000014",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.0279805352798,64,42.625,91.4790996784566,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.561995534947621,0.385365053648549,0.301738794435858,0.37376183138895,0.87981992056283,0.536906035141329,"X2clsQ",228.3,"ok" "Core1108_tbm_21.tex.module.000014",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.0279805352798,64,42.625,91.4790996784566,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.561995534947621,0.385365053648549,0.301738794435858,0.37376183138895,0.87981992056283,0.536906035141329,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000014",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.0279805352798,64,42.625,91.4790996784566,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.561995534947621,0.385365053648549,0.301738794435858,0.37376183138895,0.87981992056283,0.536906035141329,"QuBE",7.69,"ok" "Core1108_tbm_21.tex.module.000014",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.0279805352798,64,42.625,91.4790996784566,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.561995534947621,0.385365053648549,0.301738794435858,0.37376183138895,0.87981992056283,0.536906035141329,"sKizzo",188.26,"ok" "Core1108_tbm_21.tex.module.000014",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.0279805352798,64,42.625,91.4790996784566,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.561995534947621,0.385365053648549,0.301738794435858,0.37376183138895,0.87981992056283,0.536906035141329,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.module.000017",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.514598540146,65,43.625,91.8006430868167,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.558913672739656,0.386584637419156,0.299169930189001,0.375683358845397,0.876437589485698,0.535270373191165,"X2clsQ",0.1,"ok" "Core1108_tbm_21.tex.module.000017",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.514598540146,65,43.625,91.8006430868167,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.558913672739656,0.386584637419156,0.299169930189001,0.375683358845397,0.876437589485698,0.535270373191165,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000017",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.514598540146,65,43.625,91.8006430868167,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.558913672739656,0.386584637419156,0.299169930189001,0.375683358845397,0.876437589485698,0.535270373191165,"QuBE",0.09,"ok" "Core1108_tbm_21.tex.module.000017",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.514598540146,65,43.625,91.8006430868167,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.558913672739656,0.386584637419156,0.299169930189001,0.375683358845397,0.876437589485698,0.535270373191165,"sKizzo",158.06,"ok" "Core1108_tbm_21.tex.module.000017",1,622,200,822,1947,5470,10,10,20,0,842,1105,1302,854,2.04417051874679,0.765279917822291,62.2,0.454545454545455,0.310734463276836,2.67274939172749,7.45,4.425,31.514598540146,65,43.625,91.8006430868167,0.598354661791591,0.239853747714808,0.67035175879397,0.815154292697831,0.110603290676417,1.46280991735537,0.671249618087382,0.96156174867885,0.644584609415873,0.593959731543624,0.67035175879397,1.07726178231128,1.46280991735537,0.56753980482794,0.438623523369286,0.558913672739656,0.386584637419156,0.299169930189001,0.375683358845397,0.876437589485698,0.535270373191165,"sSolve",75.24,"ok" "Core1108_tbm_21.tex.module.000023",1,577,200,777,1776,5002,10,10,20,0,752,1024,1221,764,2.03941441441441,0.777027027027027,57.7,0.447635135135135,0.329391891891892,2.5958815958816,6.9,3.975,29.6203346203346,58.25,37.375,90.4332755632582,0.596761295481807,0.244302279088365,0.662617338487024,0.804020100502513,0.116953218712515,1.35897435897436,0.67571189279732,0.975101813693933,0.646119368543744,0.576086956521739,0.662617338487024,1.08270991003545,1.35897435897436,0.576576576576577,0.43018018018018,0.563199848168533,0.397162304088562,0.307835927120896,0.378654970760234,0.909254043120849,0.546583824768323,"X2clsQ",0.1,"ok" "Core1108_tbm_21.tex.module.000023",1,577,200,777,1776,5002,10,10,20,0,752,1024,1221,764,2.03941441441441,0.777027027027027,57.7,0.447635135135135,0.329391891891892,2.5958815958816,6.9,3.975,29.6203346203346,58.25,37.375,90.4332755632582,0.596761295481807,0.244302279088365,0.662617338487024,0.804020100502513,0.116953218712515,1.35897435897436,0.67571189279732,0.975101813693933,0.646119368543744,0.576086956521739,0.662617338487024,1.08270991003545,1.35897435897436,0.576576576576577,0.43018018018018,0.563199848168533,0.397162304088562,0.307835927120896,0.378654970760234,0.909254043120849,0.546583824768323,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000023",1,577,200,777,1776,5002,10,10,20,0,752,1024,1221,764,2.03941441441441,0.777027027027027,57.7,0.447635135135135,0.329391891891892,2.5958815958816,6.9,3.975,29.6203346203346,58.25,37.375,90.4332755632582,0.596761295481807,0.244302279088365,0.662617338487024,0.804020100502513,0.116953218712515,1.35897435897436,0.67571189279732,0.975101813693933,0.646119368543744,0.576086956521739,0.662617338487024,1.08270991003545,1.35897435897436,0.576576576576577,0.43018018018018,0.563199848168533,0.397162304088562,0.307835927120896,0.378654970760234,0.909254043120849,0.546583824768323,"QuBE",0.09,"ok" "Core1108_tbm_21.tex.module.000023",1,577,200,777,1776,5002,10,10,20,0,752,1024,1221,764,2.03941441441441,0.777027027027027,57.7,0.447635135135135,0.329391891891892,2.5958815958816,6.9,3.975,29.6203346203346,58.25,37.375,90.4332755632582,0.596761295481807,0.244302279088365,0.662617338487024,0.804020100502513,0.116953218712515,1.35897435897436,0.67571189279732,0.975101813693933,0.646119368543744,0.576086956521739,0.662617338487024,1.08270991003545,1.35897435897436,0.576576576576577,0.43018018018018,0.563199848168533,0.397162304088562,0.307835927120896,0.378654970760234,0.909254043120849,0.546583824768323,"sKizzo",297.47,"ok" "Core1108_tbm_21.tex.module.000023",1,577,200,777,1776,5002,10,10,20,0,752,1024,1221,764,2.03941441441441,0.777027027027027,57.7,0.447635135135135,0.329391891891892,2.5958815958816,6.9,3.975,29.6203346203346,58.25,37.375,90.4332755632582,0.596761295481807,0.244302279088365,0.662617338487024,0.804020100502513,0.116953218712515,1.35897435897436,0.67571189279732,0.975101813693933,0.646119368543744,0.576086956521739,0.662617338487024,1.08270991003545,1.35897435897436,0.576576576576577,0.43018018018018,0.563199848168533,0.397162304088562,0.307835927120896,0.378654970760234,0.909254043120849,0.546583824768323,"sSolve",60.03,"ok" "Core1108_tbm_21.tex.module.000026",1,577,195,772,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.63212435233161,7.07692307692308,4.15384615384615,30.0388601036269,59.4871794871795,39.2307692307692,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.968827027248026,0.641961586249382,0.58695652173913,0.662617338487024,1.08117570855872,1.42105263157895,0.576576576576577,0.43018018018018,0.559460486322188,0.394981378369409,0.297073493882004,0.378654970760234,0.896585586777304,0.530999956466849,"X2clsQ",0.03,"ok" "Core1108_tbm_21.tex.module.000026",1,577,195,772,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.63212435233161,7.07692307692308,4.15384615384615,30.0388601036269,59.4871794871795,39.2307692307692,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.968827027248026,0.641961586249382,0.58695652173913,0.662617338487024,1.08117570855872,1.42105263157895,0.576576576576577,0.43018018018018,0.559460486322188,0.394981378369409,0.297073493882004,0.378654970760234,0.896585586777304,0.530999956466849,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000026",1,577,195,772,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.63212435233161,7.07692307692308,4.15384615384615,30.0388601036269,59.4871794871795,39.2307692307692,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.968827027248026,0.641961586249382,0.58695652173913,0.662617338487024,1.08117570855872,1.42105263157895,0.576576576576577,0.43018018018018,0.559460486322188,0.394981378369409,0.297073493882004,0.378654970760234,0.896585586777304,0.530999956466849,"QuBE",0.08,"ok" "Core1108_tbm_21.tex.module.000026",1,577,195,772,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.63212435233161,7.07692307692308,4.15384615384615,30.0388601036269,59.4871794871795,39.2307692307692,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.968827027248026,0.641961586249382,0.58695652173913,0.662617338487024,1.08117570855872,1.42105263157895,0.576576576576577,0.43018018018018,0.559460486322188,0.394981378369409,0.297073493882004,0.378654970760234,0.896585586777304,0.530999956466849,"sKizzo",6.65,"ok" "Core1108_tbm_21.tex.module.000026",1,577,195,772,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.63212435233161,7.07692307692308,4.15384615384615,30.0388601036269,59.4871794871795,39.2307692307692,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.968827027248026,0.641961586249382,0.58695652173913,0.662617338487024,1.08117570855872,1.42105263157895,0.576576576576577,0.43018018018018,0.559460486322188,0.394981378369409,0.297073493882004,0.378654970760234,0.896585586777304,0.530999956466849,"sSolve",0.76,"ok" "Core1108_tbm_21.tex.module.000027",1,577,200,777,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.61518661518661,6.9,4.05,29.9099099099099,58.25,38.5,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.975101813693933,0.646119368543744,0.58695652173913,0.662617338487024,1.08817814190431,1.42105263157895,0.576576576576577,0.43018018018018,0.558929588157146,0.397162304088562,0.291245966976656,0.378654970760234,0.900451024200789,0.521078098471986,"X2clsQ",0.02,"ok" "Core1108_tbm_21.tex.module.000027",1,577,200,777,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.61518661518661,6.9,4.05,29.9099099099099,58.25,38.5,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.975101813693933,0.646119368543744,0.58695652173913,0.662617338487024,1.08817814190431,1.42105263157895,0.576576576576577,0.43018018018018,0.558929588157146,0.397162304088562,0.291245966976656,0.378654970760234,0.900451024200789,0.521078098471986,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000027",1,577,200,777,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.61518661518661,6.9,4.05,29.9099099099099,58.25,38.5,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.975101813693933,0.646119368543744,0.58695652173913,0.662617338487024,1.08817814190431,1.42105263157895,0.576576576576577,0.43018018018018,0.558929588157146,0.397162304088562,0.291245966976656,0.378654970760234,0.900451024200789,0.521078098471986,"QuBE",0.08,"ok" "Core1108_tbm_21.tex.module.000027",1,577,200,777,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.61518661518661,6.9,4.05,29.9099099099099,58.25,38.5,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.975101813693933,0.646119368543744,0.58695652173913,0.662617338487024,1.08817814190431,1.42105263157895,0.576576576576577,0.43018018018018,0.558929588157146,0.397162304088562,0.291245966976656,0.378654970760234,0.900451024200789,0.521078098471986,"sKizzo",268.98,"ok" "Core1108_tbm_21.tex.module.000027",1,577,200,777,1776,5002,10,10,20,0,752,1024,1206,764,2.03941441441441,0.777027027027027,57.7,0.456081081081081,0.320945945945946,2.61518661518661,6.9,4.05,29.9099099099099,58.25,38.5,90.4332755632582,0.593762495001999,0.244302279088365,0.662617338487024,0.808080808080808,0.113954418232707,1.42105263157895,0.684175084175084,0.975101813693933,0.646119368543744,0.58695652173913,0.662617338487024,1.08817814190431,1.42105263157895,0.576576576576577,0.43018018018018,0.558929588157146,0.397162304088562,0.291245966976656,0.378654970760234,0.900451024200789,0.521078098471986,"sSolve",0.83,"ok" "Core1108_tbm_21.tex.module.000030",1,587,200,787,1796,5052,10,10,20,0,772,1024,1216,784,2.03340757238307,0.779510022271715,58.7,0.456570155902004,0.32293986636971,2.62007623888183,7,4.1,29.9364675984752,59.25,38.5,89.4378194207836,0.591844813935075,0.2458432304038,0.659912376779847,0.806020066889632,0.114806017418844,1.41379310344828,0.689632107023411,0.969179140010332,0.639573309809666,0.585714285714286,0.659912376779847,1.08064359904964,1.41379310344828,0.570155902004454,0.43652561247216,0.55855349447255,0.398421357888853,0.305981824995316,0.381984585741811,0.902535987713417,0.547811137202281,"X2clsQ",3600,"timeout" "Core1108_tbm_21.tex.module.000030",1,587,200,787,1796,5052,10,10,20,0,772,1024,1216,784,2.03340757238307,0.779510022271715,58.7,0.456570155902004,0.32293986636971,2.62007623888183,7,4.1,29.9364675984752,59.25,38.5,89.4378194207836,0.591844813935075,0.2458432304038,0.659912376779847,0.806020066889632,0.114806017418844,1.41379310344828,0.689632107023411,0.969179140010332,0.639573309809666,0.585714285714286,0.659912376779847,1.08064359904964,1.41379310344828,0.570155902004454,0.43652561247216,0.55855349447255,0.398421357888853,0.305981824995316,0.381984585741811,0.902535987713417,0.547811137202281,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000030",1,587,200,787,1796,5052,10,10,20,0,772,1024,1216,784,2.03340757238307,0.779510022271715,58.7,0.456570155902004,0.32293986636971,2.62007623888183,7,4.1,29.9364675984752,59.25,38.5,89.4378194207836,0.591844813935075,0.2458432304038,0.659912376779847,0.806020066889632,0.114806017418844,1.41379310344828,0.689632107023411,0.969179140010332,0.639573309809666,0.585714285714286,0.659912376779847,1.08064359904964,1.41379310344828,0.570155902004454,0.43652561247216,0.55855349447255,0.398421357888853,0.305981824995316,0.381984585741811,0.902535987713417,0.547811137202281,"QuBE",2255.1,"ok" "Core1108_tbm_21.tex.module.000030",1,587,200,787,1796,5052,10,10,20,0,772,1024,1216,784,2.03340757238307,0.779510022271715,58.7,0.456570155902004,0.32293986636971,2.62007623888183,7,4.1,29.9364675984752,59.25,38.5,89.4378194207836,0.591844813935075,0.2458432304038,0.659912376779847,0.806020066889632,0.114806017418844,1.41379310344828,0.689632107023411,0.969179140010332,0.639573309809666,0.585714285714286,0.659912376779847,1.08064359904964,1.41379310344828,0.570155902004454,0.43652561247216,0.55855349447255,0.398421357888853,0.305981824995316,0.381984585741811,0.902535987713417,0.547811137202281,"sKizzo",9.05,"ok" "Core1108_tbm_21.tex.module.000030",1,587,200,787,1796,5052,10,10,20,0,772,1024,1216,784,2.03340757238307,0.779510022271715,58.7,0.456570155902004,0.32293986636971,2.62007623888183,7,4.1,29.9364675984752,59.25,38.5,89.4378194207836,0.591844813935075,0.2458432304038,0.659912376779847,0.806020066889632,0.114806017418844,1.41379310344828,0.689632107023411,0.969179140010332,0.639573309809666,0.585714285714286,0.659912376779847,1.08064359904964,1.41379310344828,0.570155902004454,0.43652561247216,0.55855349447255,0.398421357888853,0.305981824995316,0.381984585741811,0.902535987713417,0.547811137202281,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.module.000091",1,380,160,540,1283,3648,10,10,20,0,502,781,943,514,2.00545596258769,0.837879968823071,38,0.444271239282931,0.39360872954014,2.77407407407407,6.71875,3.5625,28.9814814814815,50.46875,28.4375,104.026315789474,0.589364035087719,0.254385964912281,0.639331519626895,0.765116279069767,0.13843201754386,1.12871287128713,0.696744186046512,1.00229397506925,0.640798130193906,0.530232558139535,0.639331519626895,1.08727050183599,1.12871287128713,0.608729540140296,0.40062353858145,0.569759450171821,0.433640803038524,0.327061855670103,0.392226148409894,1.00790314444258,0.574034981905911,"X2clsQ",0.02,"ok" "Core1108_tbm_21.tex.module.000091",1,380,160,540,1283,3648,10,10,20,0,502,781,943,514,2.00545596258769,0.837879968823071,38,0.444271239282931,0.39360872954014,2.77407407407407,6.71875,3.5625,28.9814814814815,50.46875,28.4375,104.026315789474,0.589364035087719,0.254385964912281,0.639331519626895,0.765116279069767,0.13843201754386,1.12871287128713,0.696744186046512,1.00229397506925,0.640798130193906,0.530232558139535,0.639331519626895,1.08727050183599,1.12871287128713,0.608729540140296,0.40062353858145,0.569759450171821,0.433640803038524,0.327061855670103,0.392226148409894,1.00790314444258,0.574034981905911,"quantor",3600,"memout" "Core1108_tbm_21.tex.module.000091",1,380,160,540,1283,3648,10,10,20,0,502,781,943,514,2.00545596258769,0.837879968823071,38,0.444271239282931,0.39360872954014,2.77407407407407,6.71875,3.5625,28.9814814814815,50.46875,28.4375,104.026315789474,0.589364035087719,0.254385964912281,0.639331519626895,0.765116279069767,0.13843201754386,1.12871287128713,0.696744186046512,1.00229397506925,0.640798130193906,0.530232558139535,0.639331519626895,1.08727050183599,1.12871287128713,0.608729540140296,0.40062353858145,0.569759450171821,0.433640803038524,0.327061855670103,0.392226148409894,1.00790314444258,0.574034981905911,"QuBE",0.07,"ok" "Core1108_tbm_21.tex.module.000091",1,380,160,540,1283,3648,10,10,20,0,502,781,943,514,2.00545596258769,0.837879968823071,38,0.444271239282931,0.39360872954014,2.77407407407407,6.71875,3.5625,28.9814814814815,50.46875,28.4375,104.026315789474,0.589364035087719,0.254385964912281,0.639331519626895,0.765116279069767,0.13843201754386,1.12871287128713,0.696744186046512,1.00229397506925,0.640798130193906,0.530232558139535,0.639331519626895,1.08727050183599,1.12871287128713,0.608729540140296,0.40062353858145,0.569759450171821,0.433640803038524,0.327061855670103,0.392226148409894,1.00790314444258,0.574034981905911,"sKizzo",118.5,"ok" "Core1108_tbm_21.tex.module.000091",1,380,160,540,1283,3648,10,10,20,0,502,781,943,514,2.00545596258769,0.837879968823071,38,0.444271239282931,0.39360872954014,2.77407407407407,6.71875,3.5625,28.9814814814815,50.46875,28.4375,104.026315789474,0.589364035087719,0.254385964912281,0.639331519626895,0.765116279069767,0.13843201754386,1.12871287128713,0.696744186046512,1.00229397506925,0.640798130193906,0.530232558139535,0.639331519626895,1.08727050183599,1.12871287128713,0.608729540140296,0.40062353858145,0.569759450171821,0.433640803038524,0.327061855670103,0.392226148409894,1.00790314444258,0.574034981905911,"sSolve",0.77,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000002",1,1265,205,1470,4296,12444,10,10,20,0,1282,3014,3485,1301,2.33915270018622,0.557495344506518,126.5,0.323556797020484,0.233938547486034,2.97687074829932,11.6829268292683,6.78048780487805,31.9591836734694,91.3414634146341,60,134.213438735178,0.648344583735133,0.2399549983928,0.702856005572694,0.87543381259296,0.0807618129218901,1.38308457711443,0.542389687654933,0.938403573701884,0.659562587427247,0.580375782881002,0.702856005572694,1.01730253321079,1.38308457711443,0.701582867783985,0.302839851024209,0.627984321178287,0.319120078009133,0.364825206631921,0.322424693194496,0.857813517483683,0.580946361761707,"X2clsQ",1765.65,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000002",1,1265,205,1470,4296,12444,10,10,20,0,1282,3014,3485,1301,2.33915270018622,0.557495344506518,126.5,0.323556797020484,0.233938547486034,2.97687074829932,11.6829268292683,6.78048780487805,31.9591836734694,91.3414634146341,60,134.213438735178,0.648344583735133,0.2399549983928,0.702856005572694,0.87543381259296,0.0807618129218901,1.38308457711443,0.542389687654933,0.938403573701884,0.659562587427247,0.580375782881002,0.702856005572694,1.01730253321079,1.38308457711443,0.701582867783985,0.302839851024209,0.627984321178287,0.319120078009133,0.364825206631921,0.322424693194496,0.857813517483683,0.580946361761707,"quantor",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000002",1,1265,205,1470,4296,12444,10,10,20,0,1282,3014,3485,1301,2.33915270018622,0.557495344506518,126.5,0.323556797020484,0.233938547486034,2.97687074829932,11.6829268292683,6.78048780487805,31.9591836734694,91.3414634146341,60,134.213438735178,0.648344583735133,0.2399549983928,0.702856005572694,0.87543381259296,0.0807618129218901,1.38308457711443,0.542389687654933,0.938403573701884,0.659562587427247,0.580375782881002,0.702856005572694,1.01730253321079,1.38308457711443,0.701582867783985,0.302839851024209,0.627984321178287,0.319120078009133,0.364825206631921,0.322424693194496,0.857813517483683,0.580946361761707,"QuBE",2232.58,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000002",1,1265,205,1470,4296,12444,10,10,20,0,1282,3014,3485,1301,2.33915270018622,0.557495344506518,126.5,0.323556797020484,0.233938547486034,2.97687074829932,11.6829268292683,6.78048780487805,31.9591836734694,91.3414634146341,60,134.213438735178,0.648344583735133,0.2399549983928,0.702856005572694,0.87543381259296,0.0807618129218901,1.38308457711443,0.542389687654933,0.938403573701884,0.659562587427247,0.580375782881002,0.702856005572694,1.01730253321079,1.38308457711443,0.701582867783985,0.302839851024209,0.627984321178287,0.319120078009133,0.364825206631921,0.322424693194496,0.857813517483683,0.580946361761707,"sKizzo",108.42,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000002",1,1265,205,1470,4296,12444,10,10,20,0,1282,3014,3485,1301,2.33915270018622,0.557495344506518,126.5,0.323556797020484,0.233938547486034,2.97687074829932,11.6829268292683,6.78048780487805,31.9591836734694,91.3414634146341,60,134.213438735178,0.648344583735133,0.2399549983928,0.702856005572694,0.87543381259296,0.0807618129218901,1.38308457711443,0.542389687654933,0.938403573701884,0.659562587427247,0.580375782881002,0.702856005572694,1.01730253321079,1.38308457711443,0.701582867783985,0.302839851024209,0.627984321178287,0.319120078009133,0.364825206631921,0.322424693194496,0.857813517483683,0.580946361761707,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000007",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"X2clsQ",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000007",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"quantor",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000007",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"QuBE",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000007",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"sKizzo",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000007",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000011",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"X2clsQ",2231.51,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000011",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"quantor",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000011",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"QuBE",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000011",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"sKizzo",391.71,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000011",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000014",1,1260,205,1465,4286,12419,10,10,20,0,1272,3014,3480,1291,2.34111059262716,0.556462902473168,126,0.323145123658423,0.233317778814746,2.97679180887372,11.6341463414634,6.75609756097561,31.9078498293515,90.609756097561,59.6341463414634,134.619047619048,0.648844512440615,0.239632820677993,0.703408411401236,0.875899726979399,0.0805217811417989,1.385,0.541201290642839,0.939408637814307,0.66078793758156,0.580712788259958,0.703408411401236,1.01840722224192,1.385,0.703219785347644,0.301213252449837,0.628698518606776,0.318808127458193,0.360453300708391,0.321654863958256,0.858623365201609,0.573332511594225,"X2clsQ",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000014",1,1260,205,1465,4286,12419,10,10,20,0,1272,3014,3480,1291,2.34111059262716,0.556462902473168,126,0.323145123658423,0.233317778814746,2.97679180887372,11.6341463414634,6.75609756097561,31.9078498293515,90.609756097561,59.6341463414634,134.619047619048,0.648844512440615,0.239632820677993,0.703408411401236,0.875899726979399,0.0805217811417989,1.385,0.541201290642839,0.939408637814307,0.66078793758156,0.580712788259958,0.703408411401236,1.01840722224192,1.385,0.703219785347644,0.301213252449837,0.628698518606776,0.318808127458193,0.360453300708391,0.321654863958256,0.858623365201609,0.573332511594225,"quantor",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000014",1,1260,205,1465,4286,12419,10,10,20,0,1272,3014,3480,1291,2.34111059262716,0.556462902473168,126,0.323145123658423,0.233317778814746,2.97679180887372,11.6341463414634,6.75609756097561,31.9078498293515,90.609756097561,59.6341463414634,134.619047619048,0.648844512440615,0.239632820677993,0.703408411401236,0.875899726979399,0.0805217811417989,1.385,0.541201290642839,0.939408637814307,0.66078793758156,0.580712788259958,0.703408411401236,1.01840722224192,1.385,0.703219785347644,0.301213252449837,0.628698518606776,0.318808127458193,0.360453300708391,0.321654863958256,0.858623365201609,0.573332511594225,"QuBE",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000014",1,1260,205,1465,4286,12419,10,10,20,0,1272,3014,3480,1291,2.34111059262716,0.556462902473168,126,0.323145123658423,0.233317778814746,2.97679180887372,11.6341463414634,6.75609756097561,31.9078498293515,90.609756097561,59.6341463414634,134.619047619048,0.648844512440615,0.239632820677993,0.703408411401236,0.875899726979399,0.0805217811417989,1.385,0.541201290642839,0.939408637814307,0.66078793758156,0.580712788259958,0.703408411401236,1.01840722224192,1.385,0.703219785347644,0.301213252449837,0.628698518606776,0.318808127458193,0.360453300708391,0.321654863958256,0.858623365201609,0.573332511594225,"sKizzo",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000014",1,1260,205,1465,4286,12419,10,10,20,0,1272,3014,3480,1291,2.34111059262716,0.556462902473168,126,0.323145123658423,0.233317778814746,2.97679180887372,11.6341463414634,6.75609756097561,31.9078498293515,90.609756097561,59.6341463414634,134.619047619048,0.648844512440615,0.239632820677993,0.703408411401236,0.875899726979399,0.0805217811417989,1.385,0.541201290642839,0.939408637814307,0.66078793758156,0.580712788259958,0.703408411401236,1.01840722224192,1.385,0.703219785347644,0.301213252449837,0.628698518606776,0.318808127458193,0.360453300708391,0.321654863958256,0.858623365201609,0.573332511594225,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000015",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"X2clsQ",1773.8,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000015",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"quantor",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000015",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"QuBE",2764.15,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000015",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"sKizzo",104.51,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000015",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000019",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"X2clsQ",1834.48,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000019",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"quantor",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000019",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"QuBE",2931.35,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000019",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"sKizzo",90.59,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000019",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000024",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"X2clsQ",1816.28,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000024",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"quantor",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000024",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"QuBE",3104.34,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000024",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"sKizzo",129.68,"ok" "Core1108_tbm_21.tex.moduleQ3.2S.000024",1,1250,200,1450,4266,12369,10,10,20,0,1252,3014,3475,1271,2.34505391467417,0.554383497421472,125,0.321143928738865,0.233239568682607,2.98344827586207,11.825,6.85,31.8620689655172,91.375,60,135.44,0.650254668930391,0.238984558169618,0.704518192722911,0.876289941564093,0.0804430430916,1.37688442211055,0.537859007832898,0.938203573449753,0.660981485972997,0.579281183932347,0.704518192722911,1.01649633221435,1.37688442211055,0.706516643225504,0.297937177684013,0.630739719458099,0.317084282460137,0.363615473764137,0.320104829651816,0.858701298701299,0.576490527783058,"sSolve",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000027",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"X2clsQ",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000027",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"quantor",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000027",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"QuBE",3600,"timeout" "Core1108_tbm_21.tex.moduleQ3.2S.000027",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"sKizzo",3600,"memout" "Core1108_tbm_21.tex.moduleQ3.2S.000027",1,1245,200,1445,4256,12344,10,10,20,0,1242,3014,3470,1261,2.34703947368421,0.553336466165414,124.5,0.320723684210526,0.232612781954887,2.98339100346021,11.775,6.825,31.8096885813149,90.625,59.625,135.855421686747,0.650761503564485,0.238658457550227,0.705075583141456,0.876758371716669,0.080200907323396,1.37878787878788,0.536661272251961,0.939214082512812,0.662216916922388,0.579617834394904,0.705075583141456,1.01760309006473,1.37878787878788,0.708176691729323,0.296287593984962,0.631469232311084,0.316763063478609,0.359150130286631,0.319324577861163,0.859529491838779,0.568753174200102,"sSolve",3600,"timeout" "Core1108_tbm_28.tex.moduleQ2.2S.000003",1,1207,168,1375,3827,11297,8,8,16,0,1048,2779,3213,1066,2.47530702900444,0.476613535406324,150.875,0.27488894695584,0.201724588450483,2.91127272727273,10.8571428571429,6.26190476190476,24.6632727272727,68.1904761904762,43.9047619047619,97.9353769676885,0.645658139329025,0.261219792865362,0.68848305710968,0.894159583219084,0.0683367265645747,1.36269430051813,0.548807238826433,0.955256137316482,0.657677665742436,0.576754385964912,0.68848305710968,1.01861592951636,1.36269430051813,0.726156258165665,0.278547164881108,0.629619921363041,0.330160491642063,0.364710104225176,0.331269349845201,0.891409961510595,0.579254391181952,"X2clsQ",353.96,"ok" "Core1108_tbm_28.tex.moduleQ2.2S.000003",1,1207,168,1375,3827,11297,8,8,16,0,1048,2779,3213,1066,2.47530702900444,0.476613535406324,150.875,0.27488894695584,0.201724588450483,2.91127272727273,10.8571428571429,6.26190476190476,24.6632727272727,68.1904761904762,43.9047619047619,97.9353769676885,0.645658139329025,0.261219792865362,0.68848305710968,0.894159583219084,0.0683367265645747,1.36269430051813,0.548807238826433,0.955256137316482,0.657677665742436,0.576754385964912,0.68848305710968,1.01861592951636,1.36269430051813,0.726156258165665,0.278547164881108,0.629619921363041,0.330160491642063,0.364710104225176,0.331269349845201,0.891409961510595,0.579254391181952,"quantor",275.78,"ok" "Core1108_tbm_28.tex.moduleQ2.2S.000003",1,1207,168,1375,3827,11297,8,8,16,0,1048,2779,3213,1066,2.47530702900444,0.476613535406324,150.875,0.27488894695584,0.201724588450483,2.91127272727273,10.8571428571429,6.26190476190476,24.6632727272727,68.1904761904762,43.9047619047619,97.9353769676885,0.645658139329025,0.261219792865362,0.68848305710968,0.894159583219084,0.0683367265645747,1.36269430051813,0.548807238826433,0.955256137316482,0.657677665742436,0.576754385964912,0.68848305710968,1.01861592951636,1.36269430051813,0.726156258165665,0.278547164881108,0.629619921363041,0.330160491642063,0.364710104225176,0.331269349845201,0.891409961510595,0.579254391181952,"QuBE",263.95,"ok" "Core1108_tbm_28.tex.moduleQ2.2S.000003",1,1207,168,1375,3827,11297,8,8,16,0,1048,2779,3213,1066,2.47530702900444,0.476613535406324,150.875,0.27488894695584,0.201724588450483,2.91127272727273,10.8571428571429,6.26190476190476,24.6632727272727,68.1904761904762,43.9047619047619,97.9353769676885,0.645658139329025,0.261219792865362,0.68848305710968,0.894159583219084,0.0683367265645747,1.36269430051813,0.548807238826433,0.955256137316482,0.657677665742436,0.576754385964912,0.68848305710968,1.01861592951636,1.36269430051813,0.726156258165665,0.278547164881108,0.629619921363041,0.330160491642063,0.364710104225176,0.331269349845201,0.891409961510595,0.579254391181952,"sKizzo",772.89,"ok" "Core1108_tbm_28.tex.moduleQ2.2S.000003",1,1207,168,1375,3827,11297,8,8,16,0,1048,2779,3213,1066,2.47530702900444,0.476613535406324,150.875,0.27488894695584,0.201724588450483,2.91127272727273,10.8571428571429,6.26190476190476,24.6632727272727,68.1904761904762,43.9047619047619,97.9353769676885,0.645658139329025,0.261219792865362,0.68848305710968,0.894159583219084,0.0683367265645747,1.36269430051813,0.548807238826433,0.955256137316482,0.657677665742436,0.576754385964912,0.68848305710968,1.01861592951636,1.36269430051813,0.726156258165665,0.278547164881108,0.629619921363041,0.330160491642063,0.364710104225176,0.331269349845201,0.891409961510595,0.579254391181952,"sSolve",3600,"timeout" "counter_16",1,1650,16,1666,4401,13099,17,16,33,18,68,4315,2242,2243,2.01658713928653,0.959781867757328,97.0588235294118,0.479890933878664,0.479890933878664,3.91776710684274,264,132,68.4171668667467,4488,2244,147.430909090909,0.501717688373158,0.337048629666387,0.502535211267606,0.678636640292149,0.161233681960455,1,0.993152769324407,0.684102649519857,0.34378566950519,0.5,0.502535211267606,0.685217359228315,1,0.980458986593956,0.509656896159964,0.502125020201888,0.344354302661275,16.3296948095344,0.49690385729105,0.691648137853625,32.5211733184871,"X2clsQ",3600,"timeout" "counter_16",1,1650,16,1666,4401,13099,17,16,33,18,68,4315,2242,2243,2.01658713928653,0.959781867757328,97.0588235294118,0.479890933878664,0.479890933878664,3.91776710684274,264,132,68.4171668667467,4488,2244,147.430909090909,0.501717688373158,0.337048629666387,0.502535211267606,0.678636640292149,0.161233681960455,1,0.993152769324407,0.684102649519857,0.34378566950519,0.5,0.502535211267606,0.685217359228315,1,0.980458986593956,0.509656896159964,0.502125020201888,0.344354302661275,16.3296948095344,0.49690385729105,0.691648137853625,32.5211733184871,"quantor",3600,"memout" "counter_16",1,1650,16,1666,4401,13099,17,16,33,18,68,4315,2242,2243,2.01658713928653,0.959781867757328,97.0588235294118,0.479890933878664,0.479890933878664,3.91776710684274,264,132,68.4171668667467,4488,2244,147.430909090909,0.501717688373158,0.337048629666387,0.502535211267606,0.678636640292149,0.161233681960455,1,0.993152769324407,0.684102649519857,0.34378566950519,0.5,0.502535211267606,0.685217359228315,1,0.980458986593956,0.509656896159964,0.502125020201888,0.344354302661275,16.3296948095344,0.49690385729105,0.691648137853625,32.5211733184871,"QuBE",3600,"memout" "counter_16",1,1650,16,1666,4401,13099,17,16,33,18,68,4315,2242,2243,2.01658713928653,0.959781867757328,97.0588235294118,0.479890933878664,0.479890933878664,3.91776710684274,264,132,68.4171668667467,4488,2244,147.430909090909,0.501717688373158,0.337048629666387,0.502535211267606,0.678636640292149,0.161233681960455,1,0.993152769324407,0.684102649519857,0.34378566950519,0.5,0.502535211267606,0.685217359228315,1,0.980458986593956,0.509656896159964,0.502125020201888,0.344354302661275,16.3296948095344,0.49690385729105,0.691648137853625,32.5211733184871,"sKizzo",49.05,"ok" "counter_16",1,1650,16,1666,4401,13099,17,16,33,18,68,4315,2242,2243,2.01658713928653,0.959781867757328,97.0588235294118,0.479890933878664,0.479890933878664,3.91776710684274,264,132,68.4171668667467,4488,2244,147.430909090909,0.501717688373158,0.337048629666387,0.502535211267606,0.678636640292149,0.161233681960455,1,0.993152769324407,0.684102649519857,0.34378566950519,0.5,0.502535211267606,0.685217359228315,1,0.980458986593956,0.509656896159964,0.502125020201888,0.344354302661275,16.3296948095344,0.49690385729105,0.691648137853625,32.5211733184871,"sSolve",3600,"timeout" "counter_32-shuffled",1,6370,32,6402,16993,50779,33,32,65,34,132,16827,8578,8579,2.00900370740893,0.979226740422527,193.030303030303,0.489613370211263,0.489613370211263,3.95860668541081,520,260,132.538425492034,17160,8580,265.913500784929,0.500915732881703,0.335237007424329,0.501362078561176,0.672904544739739,0.163847259693968,1,0.996343764742884,0.675682839384369,0.338761752801865,0.5,0.501362078561176,0.676284912939373,1,0.990231271700112,0.504854940269523,0.501174883996005,0.339111009535855,32.2919144348182,0.498265038861914,0.679819437025177,64.4324276135825,"X2clsQ",3600,"timeout" "counter_32-shuffled",1,6370,32,6402,16993,50779,33,32,65,34,132,16827,8578,8579,2.00900370740893,0.979226740422527,193.030303030303,0.489613370211263,0.489613370211263,3.95860668541081,520,260,132.538425492034,17160,8580,265.913500784929,0.500915732881703,0.335237007424329,0.501362078561176,0.672904544739739,0.163847259693968,1,0.996343764742884,0.675682839384369,0.338761752801865,0.5,0.501362078561176,0.676284912939373,1,0.990231271700112,0.504854940269523,0.501174883996005,0.339111009535855,32.2919144348182,0.498265038861914,0.679819437025177,64.4324276135825,"quantor",3600,"memout" "counter_32-shuffled",1,6370,32,6402,16993,50779,33,32,65,34,132,16827,8578,8579,2.00900370740893,0.979226740422527,193.030303030303,0.489613370211263,0.489613370211263,3.95860668541081,520,260,132.538425492034,17160,8580,265.913500784929,0.500915732881703,0.335237007424329,0.501362078561176,0.672904544739739,0.163847259693968,1,0.996343764742884,0.675682839384369,0.338761752801865,0.5,0.501362078561176,0.676284912939373,1,0.990231271700112,0.504854940269523,0.501174883996005,0.339111009535855,32.2919144348182,0.498265038861914,0.679819437025177,64.4324276135825,"QuBE",3600,"memout" "counter_32-shuffled",1,6370,32,6402,16993,50779,33,32,65,34,132,16827,8578,8579,2.00900370740893,0.979226740422527,193.030303030303,0.489613370211263,0.489613370211263,3.95860668541081,520,260,132.538425492034,17160,8580,265.913500784929,0.500915732881703,0.335237007424329,0.501362078561176,0.672904544739739,0.163847259693968,1,0.996343764742884,0.675682839384369,0.338761752801865,0.5,0.501362078561176,0.676284912939373,1,0.990231271700112,0.504854940269523,0.501174883996005,0.339111009535855,32.2919144348182,0.498265038861914,0.679819437025177,64.4324276135825,"sKizzo",3600,"memout" "counter_32-shuffled",1,6370,32,6402,16993,50779,33,32,65,34,132,16827,8578,8579,2.00900370740893,0.979226740422527,193.030303030303,0.489613370211263,0.489613370211263,3.95860668541081,520,260,132.538425492034,17160,8580,265.913500784929,0.500915732881703,0.335237007424329,0.501362078561176,0.672904544739739,0.163847259693968,1,0.996343764742884,0.675682839384369,0.338761752801865,0.5,0.501362078561176,0.676284912939373,1,0.990231271700112,0.504854940269523,0.501174883996005,0.339111009535855,32.2919144348182,0.498265038861914,0.679819437025177,64.4324276135825,"sSolve",3600,"timeout" "counter_8-shuffled",1,442,8,450,1177,3475,9,8,17,10,36,1131,610,611,2.02803738317757,0.924384027187765,49.1111111111111,0.462192013593883,0.462192013593883,3.83777777777778,136,68,36.1933333333333,1224,612,86.5995475113122,0.503021582733813,0.340431654676259,0.504398826979472,0.688787185354691,0.156546762589928,1,0.987986270022883,0.699339171196979,0.352745857612553,0.5,0.504398826979472,0.701253921741201,1,0.960917587085811,0.519116397621071,0.503490534402341,0.353539979443995,8.3955735755876,0.495024118899657,0.712050834757866,16.6747396464035,"X2clsQ",3600,"timeout" "counter_8-shuffled",1,442,8,450,1177,3475,9,8,17,10,36,1131,610,611,2.02803738317757,0.924384027187765,49.1111111111111,0.462192013593883,0.462192013593883,3.83777777777778,136,68,36.1933333333333,1224,612,86.5995475113122,0.503021582733813,0.340431654676259,0.504398826979472,0.688787185354691,0.156546762589928,1,0.987986270022883,0.699339171196979,0.352745857612553,0.5,0.504398826979472,0.701253921741201,1,0.960917587085811,0.519116397621071,0.503490534402341,0.353539979443995,8.3955735755876,0.495024118899657,0.712050834757866,16.6747396464035,"quantor",0.38,"ok" "counter_8-shuffled",1,442,8,450,1177,3475,9,8,17,10,36,1131,610,611,2.02803738317757,0.924384027187765,49.1111111111111,0.462192013593883,0.462192013593883,3.83777777777778,136,68,36.1933333333333,1224,612,86.5995475113122,0.503021582733813,0.340431654676259,0.504398826979472,0.688787185354691,0.156546762589928,1,0.987986270022883,0.699339171196979,0.352745857612553,0.5,0.504398826979472,0.701253921741201,1,0.960917587085811,0.519116397621071,0.503490534402341,0.353539979443995,8.3955735755876,0.495024118899657,0.712050834757866,16.6747396464035,"QuBE",78.61,"ok" "counter_8-shuffled",1,442,8,450,1177,3475,9,8,17,10,36,1131,610,611,2.02803738317757,0.924384027187765,49.1111111111111,0.462192013593883,0.462192013593883,3.83777777777778,136,68,36.1933333333333,1224,612,86.5995475113122,0.503021582733813,0.340431654676259,0.504398826979472,0.688787185354691,0.156546762589928,1,0.987986270022883,0.699339171196979,0.352745857612553,0.5,0.504398826979472,0.701253921741201,1,0.960917587085811,0.519116397621071,0.503490534402341,0.353539979443995,8.3955735755876,0.495024118899657,0.712050834757866,16.6747396464035,"sKizzo",0.22,"ok" "counter_8-shuffled",1,442,8,450,1177,3475,9,8,17,10,36,1131,610,611,2.02803738317757,0.924384027187765,49.1111111111111,0.462192013593883,0.462192013593883,3.83777777777778,136,68,36.1933333333333,1224,612,86.5995475113122,0.503021582733813,0.340431654676259,0.504398826979472,0.688787185354691,0.156546762589928,1,0.987986270022883,0.699339171196979,0.352745857612553,0.5,0.504398826979472,0.701253921741201,1,0.960917587085811,0.519116397621071,0.503490534402341,0.353539979443995,8.3955735755876,0.495024118899657,0.712050834757866,16.6747396464035,"sSolve",2513.9,"ok" "counter_e_16",1,1700,16,1716,4561,13641,17,16,33,18,66,4477,2306,2294,2.03661477746108,0.954176715632537,100,0.477088357816268,0.477088357816268,3.9527972027972,272,136,69.5565268065268,4624,2312,195.471176470588,0.502749065317792,0.3377318378418,0.504037033049844,0.68270632837562,0.159519096840408,1,0.989063867016623,0.687370858613954,0.346460368180701,0.5,0.504037033049844,0.689131799701508,1,0.98158298618724,0.502959877219908,0.504078011974356,0.345445709563819,16.4840265745946,0.494112071602968,0.696572682609015,32.7013402350769,"X2clsQ",3600,"timeout" "counter_e_16",1,1700,16,1716,4561,13641,17,16,33,18,66,4477,2306,2294,2.03661477746108,0.954176715632537,100,0.477088357816268,0.477088357816268,3.9527972027972,272,136,69.5565268065268,4624,2312,195.471176470588,0.502749065317792,0.3377318378418,0.504037033049844,0.68270632837562,0.159519096840408,1,0.989063867016623,0.687370858613954,0.346460368180701,0.5,0.504037033049844,0.689131799701508,1,0.98158298618724,0.502959877219908,0.504078011974356,0.345445709563819,16.4840265745946,0.494112071602968,0.696572682609015,32.7013402350769,"quantor",3600,"memout" "counter_e_16",1,1700,16,1716,4561,13641,17,16,33,18,66,4477,2306,2294,2.03661477746108,0.954176715632537,100,0.477088357816268,0.477088357816268,3.9527972027972,272,136,69.5565268065268,4624,2312,195.471176470588,0.502749065317792,0.3377318378418,0.504037033049844,0.68270632837562,0.159519096840408,1,0.989063867016623,0.687370858613954,0.346460368180701,0.5,0.504037033049844,0.689131799701508,1,0.98158298618724,0.502959877219908,0.504078011974356,0.345445709563819,16.4840265745946,0.494112071602968,0.696572682609015,32.7013402350769,"QuBE",3600,"memout" "counter_e_16",1,1700,16,1716,4561,13641,17,16,33,18,66,4477,2306,2294,2.03661477746108,0.954176715632537,100,0.477088357816268,0.477088357816268,3.9527972027972,272,136,69.5565268065268,4624,2312,195.471176470588,0.502749065317792,0.3377318378418,0.504037033049844,0.68270632837562,0.159519096840408,1,0.989063867016623,0.687370858613954,0.346460368180701,0.5,0.504037033049844,0.689131799701508,1,0.98158298618724,0.502959877219908,0.504078011974356,0.345445709563819,16.4840265745946,0.494112071602968,0.696572682609015,32.7013402350769,"sKizzo",3600,"memout" "counter_e_16",1,1700,16,1716,4561,13641,17,16,33,18,66,4477,2306,2294,2.03661477746108,0.954176715632537,100,0.477088357816268,0.477088357816268,3.9527972027972,272,136,69.5565268065268,4624,2312,195.471176470588,0.502749065317792,0.3377318378418,0.504037033049844,0.68270632837562,0.159519096840408,1,0.989063867016623,0.687370858613954,0.346460368180701,0.5,0.504037033049844,0.689131799701508,1,0.98158298618724,0.502959877219908,0.504078011974356,0.345445709563819,16.4840265745946,0.494112071602968,0.696572682609015,32.7013402350769,"sSolve",3600,"timeout" "counter_e_8-shuffled",1,468,8,476,1257,3745,9,8,17,10,34,1213,642,638,2.06284805091488,0.916467780429594,52,0.458233890214797,0.458233890214797,3.89705882352941,144,72,37.1743697478992,1296,648,112.07905982906,0.504672897196262,0.341522029372497,0.506748939452372,0.695238095238095,0.153805073431242,1,0.981481481481481,0.704225577122773,0.3568655643422,0.5,0.506748939452372,0.707122507122507,1,0.964996022275259,0.507557677008751,0.506511978135371,0.354878078139924,8.60216972975988,0.490839185531013,0.71912196936215,16.9831516352825,"X2clsQ",3600,"timeout" "counter_e_8-shuffled",1,468,8,476,1257,3745,9,8,17,10,34,1213,642,638,2.06284805091488,0.916467780429594,52,0.458233890214797,0.458233890214797,3.89705882352941,144,72,37.1743697478992,1296,648,112.07905982906,0.504672897196262,0.341522029372497,0.506748939452372,0.695238095238095,0.153805073431242,1,0.981481481481481,0.704225577122773,0.3568655643422,0.5,0.506748939452372,0.707122507122507,1,0.964996022275259,0.507557677008751,0.506511978135371,0.354878078139924,8.60216972975988,0.490839185531013,0.71912196936215,16.9831516352825,"quantor",9.76,"ok" "counter_e_8-shuffled",1,468,8,476,1257,3745,9,8,17,10,34,1213,642,638,2.06284805091488,0.916467780429594,52,0.458233890214797,0.458233890214797,3.89705882352941,144,72,37.1743697478992,1296,648,112.07905982906,0.504672897196262,0.341522029372497,0.506748939452372,0.695238095238095,0.153805073431242,1,0.981481481481481,0.704225577122773,0.3568655643422,0.5,0.506748939452372,0.707122507122507,1,0.964996022275259,0.507557677008751,0.506511978135371,0.354878078139924,8.60216972975988,0.490839185531013,0.71912196936215,16.9831516352825,"QuBE",3600,"timeout" "counter_e_8-shuffled",1,468,8,476,1257,3745,9,8,17,10,34,1213,642,638,2.06284805091488,0.916467780429594,52,0.458233890214797,0.458233890214797,3.89705882352941,144,72,37.1743697478992,1296,648,112.07905982906,0.504672897196262,0.341522029372497,0.506748939452372,0.695238095238095,0.153805073431242,1,0.981481481481481,0.704225577122773,0.3568655643422,0.5,0.506748939452372,0.707122507122507,1,0.964996022275259,0.507557677008751,0.506511978135371,0.354878078139924,8.60216972975988,0.490839185531013,0.71912196936215,16.9831516352825,"sKizzo",7.55,"ok" "counter_e_8-shuffled",1,468,8,476,1257,3745,9,8,17,10,34,1213,642,638,2.06284805091488,0.916467780429594,52,0.458233890214797,0.458233890214797,3.89705882352941,144,72,37.1743697478992,1296,648,112.07905982906,0.504672897196262,0.341522029372497,0.506748939452372,0.695238095238095,0.153805073431242,1,0.981481481481481,0.704225577122773,0.3568655643422,0.5,0.506748939452372,0.707122507122507,1,0.964996022275259,0.507557677008751,0.506511978135371,0.354878078139924,8.60216972975988,0.490839185531013,0.71912196936215,16.9831516352825,"sSolve",3600,"timeout" "counter_r_16",1,1700,16,1716,4545,13577,17,16,33,18,82,4445,2322,2307,2.02970297029703,0.957535753575358,100,0.478767876787679,0.478767876787679,3.9516317016317,272,136,69.5180652680653,4624,2312,170.371764705882,0.500552404802239,0.339176548574796,0.500813008130081,0.679811653914067,0.160271046622965,1,0.997792819305474,0.68585280469999,0.343484006256255,0.5,0.500813008130081,0.686209881245023,1,0.977997799779978,0.507590759075908,0.499964370894793,0.348224612783131,16.6299561133257,0.500051645046632,0.696399601376936,33.2622824373721,"X2clsQ",3600,"timeout" "counter_r_16",1,1700,16,1716,4545,13577,17,16,33,18,82,4445,2322,2307,2.02970297029703,0.957535753575358,100,0.478767876787679,0.478767876787679,3.9516317016317,272,136,69.5180652680653,4624,2312,170.371764705882,0.500552404802239,0.339176548574796,0.500813008130081,0.679811653914067,0.160271046622965,1,0.997792819305474,0.68585280469999,0.343484006256255,0.5,0.500813008130081,0.686209881245023,1,0.977997799779978,0.507590759075908,0.499964370894793,0.348224612783131,16.6299561133257,0.500051645046632,0.696399601376936,33.2622824373721,"quantor",3600,"memout" "counter_r_16",1,1700,16,1716,4545,13577,17,16,33,18,82,4445,2322,2307,2.02970297029703,0.957535753575358,100,0.478767876787679,0.478767876787679,3.9516317016317,272,136,69.5180652680653,4624,2312,170.371764705882,0.500552404802239,0.339176548574796,0.500813008130081,0.679811653914067,0.160271046622965,1,0.997792819305474,0.68585280469999,0.343484006256255,0.5,0.500813008130081,0.686209881245023,1,0.977997799779978,0.507590759075908,0.499964370894793,0.348224612783131,16.6299561133257,0.500051645046632,0.696399601376936,33.2622824373721,"QuBE",3600,"memout" "counter_r_16",1,1700,16,1716,4545,13577,17,16,33,18,82,4445,2322,2307,2.02970297029703,0.957535753575358,100,0.478767876787679,0.478767876787679,3.9516317016317,272,136,69.5180652680653,4624,2312,170.371764705882,0.500552404802239,0.339176548574796,0.500813008130081,0.679811653914067,0.160271046622965,1,0.997792819305474,0.68585280469999,0.343484006256255,0.5,0.500813008130081,0.686209881245023,1,0.977997799779978,0.507590759075908,0.499964370894793,0.348224612783131,16.6299561133257,0.500051645046632,0.696399601376936,33.2622824373721,"sKizzo",3600,"memout" "counter_r_16",1,1700,16,1716,4545,13577,17,16,33,18,82,4445,2322,2307,2.02970297029703,0.957535753575358,100,0.478767876787679,0.478767876787679,3.9516317016317,272,136,69.5180652680653,4624,2312,170.371764705882,0.500552404802239,0.339176548574796,0.500813008130081,0.679811653914067,0.160271046622965,1,0.997792819305474,0.68585280469999,0.343484006256255,0.5,0.500813008130081,0.686209881245023,1,0.977997799779978,0.507590759075908,0.499964370894793,0.348224612783131,16.6299561133257,0.500051645046632,0.696399601376936,33.2622824373721,"sSolve",3600,"timeout" "counter_r_8-shuffled",1,468,8,476,1249,3713,9,8,17,10,42,1197,650,643,2.05044035228183,0.922337870296237,52,0.461168935148119,0.461168935148119,3.89285714285714,144,72,37.1029411764706,1296,648,97.9487179487179,0.500942633988688,0.343926743872879,0.501366653650918,0.690322580645161,0.155130622138433,1,0.996236559139785,0.701529161803872,0.351723328292141,0.5,0.501366653650918,0.702122966639096,1,0.958366693354684,0.514811849479584,0.499872568176026,0.35936573078702,8.73468694248577,0.500180396873121,0.7185483297255,17.4738273283481,"X2clsQ",3600,"timeout" "counter_r_8-shuffled",1,468,8,476,1249,3713,9,8,17,10,42,1197,650,643,2.05044035228183,0.922337870296237,52,0.461168935148119,0.461168935148119,3.89285714285714,144,72,37.1029411764706,1296,648,97.9487179487179,0.500942633988688,0.343926743872879,0.501366653650918,0.690322580645161,0.155130622138433,1,0.996236559139785,0.701529161803872,0.351723328292141,0.5,0.501366653650918,0.702122966639096,1,0.958366693354684,0.514811849479584,0.499872568176026,0.35936573078702,8.73468694248577,0.500180396873121,0.7185483297255,17.4738273283481,"quantor",0.48,"ok" "counter_r_8-shuffled",1,468,8,476,1249,3713,9,8,17,10,42,1197,650,643,2.05044035228183,0.922337870296237,52,0.461168935148119,0.461168935148119,3.89285714285714,144,72,37.1029411764706,1296,648,97.9487179487179,0.500942633988688,0.343926743872879,0.501366653650918,0.690322580645161,0.155130622138433,1,0.996236559139785,0.701529161803872,0.351723328292141,0.5,0.501366653650918,0.702122966639096,1,0.958366693354684,0.514811849479584,0.499872568176026,0.35936573078702,8.73468694248577,0.500180396873121,0.7185483297255,17.4738273283481,"QuBE",3600,"timeout" "counter_r_8-shuffled",1,468,8,476,1249,3713,9,8,17,10,42,1197,650,643,2.05044035228183,0.922337870296237,52,0.461168935148119,0.461168935148119,3.89285714285714,144,72,37.1029411764706,1296,648,97.9487179487179,0.500942633988688,0.343926743872879,0.501366653650918,0.690322580645161,0.155130622138433,1,0.996236559139785,0.701529161803872,0.351723328292141,0.5,0.501366653650918,0.702122966639096,1,0.958366693354684,0.514811849479584,0.499872568176026,0.35936573078702,8.73468694248577,0.500180396873121,0.7185483297255,17.4738273283481,"sKizzo",4.05,"ok" "counter_r_8-shuffled",1,468,8,476,1249,3713,9,8,17,10,42,1197,650,643,2.05044035228183,0.922337870296237,52,0.461168935148119,0.461168935148119,3.89285714285714,144,72,37.1029411764706,1296,648,97.9487179487179,0.500942633988688,0.343926743872879,0.501366653650918,0.690322580645161,0.155130622138433,1,0.996236559139785,0.701529161803872,0.351723328292141,0.5,0.501366653650918,0.702122966639096,1,0.958366693354684,0.514811849479584,0.499872568176026,0.35936573078702,8.73468694248577,0.500180396873121,0.7185483297255,17.4738273283481,"sSolve",3600,"timeout" "counter_re_16",1,1750,16,1766,4705,14151,17,16,33,18,82,4605,2386,2358,2.05547290116897,0.952178533475027,102.941176470588,0.476089266737513,0.476089266737513,4.00283125707814,280,140,71.1919592298981,4760,2380,227.547428571429,0.500459331496007,0.34124796834146,0.500672112501293,0.683705168031629,0.158292700162533,1,0.998164360350184,0.689662961729106,0.345295011962809,0.5,0.500672112501293,0.689956186710776,1,0.97874601487779,0.501168969181722,0.499834902751753,0.351861325131325,16.7208901725366,0.500236862682427,0.703490361616908,33.4528262842043,"X2clsQ",3600,"timeout" "counter_re_16",1,1750,16,1766,4705,14151,17,16,33,18,82,4605,2386,2358,2.05547290116897,0.952178533475027,102.941176470588,0.476089266737513,0.476089266737513,4.00283125707814,280,140,71.1919592298981,4760,2380,227.547428571429,0.500459331496007,0.34124796834146,0.500672112501293,0.683705168031629,0.158292700162533,1,0.998164360350184,0.689662961729106,0.345295011962809,0.5,0.500672112501293,0.689956186710776,1,0.97874601487779,0.501168969181722,0.499834902751753,0.351861325131325,16.7208901725366,0.500236862682427,0.703490361616908,33.4528262842043,"quantor",3600,"memout" "counter_re_16",1,1750,16,1766,4705,14151,17,16,33,18,82,4605,2386,2358,2.05547290116897,0.952178533475027,102.941176470588,0.476089266737513,0.476089266737513,4.00283125707814,280,140,71.1919592298981,4760,2380,227.547428571429,0.500459331496007,0.34124796834146,0.500672112501293,0.683705168031629,0.158292700162533,1,0.998164360350184,0.689662961729106,0.345295011962809,0.5,0.500672112501293,0.689956186710776,1,0.97874601487779,0.501168969181722,0.499834902751753,0.351861325131325,16.7208901725366,0.500236862682427,0.703490361616908,33.4528262842043,"QuBE",3600,"memout" "counter_re_16",1,1750,16,1766,4705,14151,17,16,33,18,82,4605,2386,2358,2.05547290116897,0.952178533475027,102.941176470588,0.476089266737513,0.476089266737513,4.00283125707814,280,140,71.1919592298981,4760,2380,227.547428571429,0.500459331496007,0.34124796834146,0.500672112501293,0.683705168031629,0.158292700162533,1,0.998164360350184,0.689662961729106,0.345295011962809,0.5,0.500672112501293,0.689956186710776,1,0.97874601487779,0.501168969181722,0.499834902751753,0.351861325131325,16.7208901725366,0.500236862682427,0.703490361616908,33.4528262842043,"sKizzo",3600,"memout" "counter_re_16",1,1750,16,1766,4705,14151,17,16,33,18,82,4605,2386,2358,2.05547290116897,0.952178533475027,102.941176470588,0.476089266737513,0.476089266737513,4.00283125707814,280,140,71.1919592298981,4760,2380,227.547428571429,0.500459331496007,0.34124796834146,0.500672112501293,0.683705168031629,0.158292700162533,1,0.998164360350184,0.689662961729106,0.345295011962809,0.5,0.500672112501293,0.689956186710776,1,0.97874601487779,0.501168969181722,0.499834902751753,0.351861325131325,16.7208901725366,0.500236862682427,0.703490361616908,33.4528262842043,"sSolve",3600,"timeout" "cube_c11_par---13_-shuffled",1,1320,11,1331,51076,546111,2,1,3,3,3159,47914,6312,4060,1.23263372229619,9.45949173780249,660,5.1707063983084,4.28878533949409,207.401202103681,43923,24009,421.320811419985,87846,48018,11635.8272727273,0.494514851376369,0.0218856606074589,0.810159153721529,0.188869140191069,0.4011162565852,1.20563422717686,1.0221839591202,0.11624495752695,0.0941769164144286,0.546615668328666,0.810159153721529,0.190443049692661,1.20563422717686,0.938092254679301,0.0794893883624403,0.510454750206895,0.028678542620392,46.2773442001278,0.18180600662939,0.0585820057134909,90.6590528962085,"X2clsQ",3600,"timeout" "cube_c11_par---13_-shuffled",1,1320,11,1331,51076,546111,2,1,3,3,3159,47914,6312,4060,1.23263372229619,9.45949173780249,660,5.1707063983084,4.28878533949409,207.401202103681,43923,24009,421.320811419985,87846,48018,11635.8272727273,0.494514851376369,0.0218856606074589,0.810159153721529,0.188869140191069,0.4011162565852,1.20563422717686,1.0221839591202,0.11624495752695,0.0941769164144286,0.546615668328666,0.810159153721529,0.190443049692661,1.20563422717686,0.938092254679301,0.0794893883624403,0.510454750206895,0.028678542620392,46.2773442001278,0.18180600662939,0.0585820057134909,90.6590528962085,"quantor",194.95,"ok" "cube_c11_par---13_-shuffled",1,1320,11,1331,51076,546111,2,1,3,3,3159,47914,6312,4060,1.23263372229619,9.45949173780249,660,5.1707063983084,4.28878533949409,207.401202103681,43923,24009,421.320811419985,87846,48018,11635.8272727273,0.494514851376369,0.0218856606074589,0.810159153721529,0.188869140191069,0.4011162565852,1.20563422717686,1.0221839591202,0.11624495752695,0.0941769164144286,0.546615668328666,0.810159153721529,0.190443049692661,1.20563422717686,0.938092254679301,0.0794893883624403,0.510454750206895,0.028678542620392,46.2773442001278,0.18180600662939,0.0585820057134909,90.6590528962085,"QuBE",3600,"timeout" "cube_c11_par---13_-shuffled",1,1320,11,1331,51076,546111,2,1,3,3,3159,47914,6312,4060,1.23263372229619,9.45949173780249,660,5.1707063983084,4.28878533949409,207.401202103681,43923,24009,421.320811419985,87846,48018,11635.8272727273,0.494514851376369,0.0218856606074589,0.810159153721529,0.188869140191069,0.4011162565852,1.20563422717686,1.0221839591202,0.11624495752695,0.0941769164144286,0.546615668328666,0.810159153721529,0.190443049692661,1.20563422717686,0.938092254679301,0.0794893883624403,0.510454750206895,0.028678542620392,46.2773442001278,0.18180600662939,0.0585820057134909,90.6590528962085,"sKizzo",3600,"memout" "cube_c11_par---13_-shuffled",1,1320,11,1331,51076,546111,2,1,3,3,3159,47914,6312,4060,1.23263372229619,9.45949173780249,660,5.1707063983084,4.28878533949409,207.401202103681,43923,24009,421.320811419985,87846,48018,11635.8272727273,0.494514851376369,0.0218856606074589,0.810159153721529,0.188869140191069,0.4011162565852,1.20563422717686,1.0221839591202,0.11624495752695,0.0941769164144286,0.546615668328666,0.810159153721529,0.190443049692661,1.20563422717686,0.938092254679301,0.0794893883624403,0.510454750206895,0.028678542620392,46.2773442001278,0.18180600662939,0.0585820057134909,90.6590528962085,"sSolve",3600,"timeout" "cube_c3_ser--opt-6_-shuffled",1,171,5,176,1026,3477,2,1,3,3,378,645,681,429,2.2046783625731,1.18421052631579,85.5,0.657894736842105,0.526315789473684,8.8125,243,135,20.5568181818182,486,270,127.526315789474,0.553925798101812,0.251941328731665,0.612732095490716,0.719626168224299,0.155306298533218,1.25,0.805295950155763,0.669583074741787,0.410275040491652,0.555555555555556,0.612732095490716,0.740667869049571,1.25,0.628654970760234,0.41812865497076,0.543181818181818,0.294736842105263,4.8,0.413114754098361,0.645195077245352,8.83682008368201,"X2clsQ",0.16,"ok" "cube_c3_ser--opt-6_-shuffled",1,171,5,176,1026,3477,2,1,3,3,378,645,681,429,2.2046783625731,1.18421052631579,85.5,0.657894736842105,0.526315789473684,8.8125,243,135,20.5568181818182,486,270,127.526315789474,0.553925798101812,0.251941328731665,0.612732095490716,0.719626168224299,0.155306298533218,1.25,0.805295950155763,0.669583074741787,0.410275040491652,0.555555555555556,0.612732095490716,0.740667869049571,1.25,0.628654970760234,0.41812865497076,0.543181818181818,0.294736842105263,4.8,0.413114754098361,0.645195077245352,8.83682008368201,"quantor",0.04,"ok" "cube_c3_ser--opt-6_-shuffled",1,171,5,176,1026,3477,2,1,3,3,378,645,681,429,2.2046783625731,1.18421052631579,85.5,0.657894736842105,0.526315789473684,8.8125,243,135,20.5568181818182,486,270,127.526315789474,0.553925798101812,0.251941328731665,0.612732095490716,0.719626168224299,0.155306298533218,1.25,0.805295950155763,0.669583074741787,0.410275040491652,0.555555555555556,0.612732095490716,0.740667869049571,1.25,0.628654970760234,0.41812865497076,0.543181818181818,0.294736842105263,4.8,0.413114754098361,0.645195077245352,8.83682008368201,"QuBE",0.13,"ok" "cube_c3_ser--opt-6_-shuffled",1,171,5,176,1026,3477,2,1,3,3,378,645,681,429,2.2046783625731,1.18421052631579,85.5,0.657894736842105,0.526315789473684,8.8125,243,135,20.5568181818182,486,270,127.526315789474,0.553925798101812,0.251941328731665,0.612732095490716,0.719626168224299,0.155306298533218,1.25,0.805295950155763,0.669583074741787,0.410275040491652,0.555555555555556,0.612732095490716,0.740667869049571,1.25,0.628654970760234,0.41812865497076,0.543181818181818,0.294736842105263,4.8,0.413114754098361,0.645195077245352,8.83682008368201,"sKizzo",0.04,"ok" "cube_c3_ser--opt-6_-shuffled",1,171,5,176,1026,3477,2,1,3,3,378,645,681,429,2.2046783625731,1.18421052631579,85.5,0.657894736842105,0.526315789473684,8.8125,243,135,20.5568181818182,486,270,127.526315789474,0.553925798101812,0.251941328731665,0.612732095490716,0.719626168224299,0.155306298533218,1.25,0.805295950155763,0.669583074741787,0.410275040491652,0.555555555555556,0.612732095490716,0.740667869049571,1.25,0.628654970760234,0.41812865497076,0.543181818181818,0.294736842105263,4.8,0.413114754098361,0.645195077245352,8.83682008368201,"sSolve",1.17,"ok" "cube_c5_ser---14_-shuffled",1,645,7,652,5210,23739,2,1,3,3,1554,3653,2961,1817,2.03723608445297,2.51919385796545,322.5,1.28406909788868,1.23512476007678,16.2515337423313,1875,955.714285714286,36.1748466257669,3750,1911.42857142857,334.018604651163,0.553645899153292,0.16453936560091,0.631995477671001,0.510385756676558,0.271072917983066,1.03962703962704,0.806208628167085,0.451964728829117,0.285639664686802,0.509714285714286,0.631995477671001,0.515924826904055,1.03962703962704,0.701151631477927,0.348752399232246,0.555417326396743,0.194465105758457,22.5957281804375,0.380792478173271,0.437410446480865,40.6824330220787,"X2clsQ",3600,"timeout" "cube_c5_ser---14_-shuffled",1,645,7,652,5210,23739,2,1,3,3,1554,3653,2961,1817,2.03723608445297,2.51919385796545,322.5,1.28406909788868,1.23512476007678,16.2515337423313,1875,955.714285714286,36.1748466257669,3750,1911.42857142857,334.018604651163,0.553645899153292,0.16453936560091,0.631995477671001,0.510385756676558,0.271072917983066,1.03962703962704,0.806208628167085,0.451964728829117,0.285639664686802,0.509714285714286,0.631995477671001,0.515924826904055,1.03962703962704,0.701151631477927,0.348752399232246,0.555417326396743,0.194465105758457,22.5957281804375,0.380792478173271,0.437410446480865,40.6824330220787,"quantor",1043.68,"ok" "cube_c5_ser---14_-shuffled",1,645,7,652,5210,23739,2,1,3,3,1554,3653,2961,1817,2.03723608445297,2.51919385796545,322.5,1.28406909788868,1.23512476007678,16.2515337423313,1875,955.714285714286,36.1748466257669,3750,1911.42857142857,334.018604651163,0.553645899153292,0.16453936560091,0.631995477671001,0.510385756676558,0.271072917983066,1.03962703962704,0.806208628167085,0.451964728829117,0.285639664686802,0.509714285714286,0.631995477671001,0.515924826904055,1.03962703962704,0.701151631477927,0.348752399232246,0.555417326396743,0.194465105758457,22.5957281804375,0.380792478173271,0.437410446480865,40.6824330220787,"QuBE",3600,"timeout" "cube_c5_ser---14_-shuffled",1,645,7,652,5210,23739,2,1,3,3,1554,3653,2961,1817,2.03723608445297,2.51919385796545,322.5,1.28406909788868,1.23512476007678,16.2515337423313,1875,955.714285714286,36.1748466257669,3750,1911.42857142857,334.018604651163,0.553645899153292,0.16453936560091,0.631995477671001,0.510385756676558,0.271072917983066,1.03962703962704,0.806208628167085,0.451964728829117,0.285639664686802,0.509714285714286,0.631995477671001,0.515924826904055,1.03962703962704,0.701151631477927,0.348752399232246,0.555417326396743,0.194465105758457,22.5957281804375,0.380792478173271,0.437410446480865,40.6824330220787,"sKizzo",351.06,"ok" "cube_c5_ser---14_-shuffled",1,645,7,652,5210,23739,2,1,3,3,1554,3653,2961,1817,2.03723608445297,2.51919385796545,322.5,1.28406909788868,1.23512476007678,16.2515337423313,1875,955.714285714286,36.1748466257669,3750,1911.42857142857,334.018604651163,0.553645899153292,0.16453936560091,0.631995477671001,0.510385756676558,0.271072917983066,1.03962703962704,0.806208628167085,0.451964728829117,0.285639664686802,0.509714285714286,0.631995477671001,0.515924826904055,1.03962703962704,0.701151631477927,0.348752399232246,0.555417326396743,0.194465105758457,22.5957281804375,0.380792478173271,0.437410446480865,40.6824330220787,"sSolve",3600,"timeout" "cube_c7_ser---23_-shuffled",1,1470,9,1479,15164,93009,2,1,3,3,3657,11504,6990,4352,1.85848061197573,4.2750593510947,735,2.37226325507782,1.90279609601688,30.8052738336714,7203,3997,65.6673427991886,14406,7994,828.114285714286,0.510144179595523,0.103086798051801,0.659782840110709,0.391881638846737,0.310228042447505,1.24672489082969,0.960230146686899,0.304858055211247,0.201140113497904,0.554907677356657,0.659782840110709,0.394280914186615,1.24672489082969,0.758638881561593,0.286995515695067,0.520640843402037,0.125020427502913,46.8063847429519,0.345094168928366,0.260807425459836,89.9014845571924,"X2clsQ",3600,"timeout" "cube_c7_ser---23_-shuffled",1,1470,9,1479,15164,93009,2,1,3,3,3657,11504,6990,4352,1.85848061197573,4.2750593510947,735,2.37226325507782,1.90279609601688,30.8052738336714,7203,3997,65.6673427991886,14406,7994,828.114285714286,0.510144179595523,0.103086798051801,0.659782840110709,0.391881638846737,0.310228042447505,1.24672489082969,0.960230146686899,0.304858055211247,0.201140113497904,0.554907677356657,0.659782840110709,0.394280914186615,1.24672489082969,0.758638881561593,0.286995515695067,0.520640843402037,0.125020427502913,46.8063847429519,0.345094168928366,0.260807425459836,89.9014845571924,"quantor",3600,"timeout" "cube_c7_ser---23_-shuffled",1,1470,9,1479,15164,93009,2,1,3,3,3657,11504,6990,4352,1.85848061197573,4.2750593510947,735,2.37226325507782,1.90279609601688,30.8052738336714,7203,3997,65.6673427991886,14406,7994,828.114285714286,0.510144179595523,0.103086798051801,0.659782840110709,0.391881638846737,0.310228042447505,1.24672489082969,0.960230146686899,0.304858055211247,0.201140113497904,0.554907677356657,0.659782840110709,0.394280914186615,1.24672489082969,0.758638881561593,0.286995515695067,0.520640843402037,0.125020427502913,46.8063847429519,0.345094168928366,0.260807425459836,89.9014845571924,"QuBE",3600,"timeout" "cube_c7_ser---23_-shuffled",1,1470,9,1479,15164,93009,2,1,3,3,3657,11504,6990,4352,1.85848061197573,4.2750593510947,735,2.37226325507782,1.90279609601688,30.8052738336714,7203,3997,65.6673427991886,14406,7994,828.114285714286,0.510144179595523,0.103086798051801,0.659782840110709,0.391881638846737,0.310228042447505,1.24672489082969,0.960230146686899,0.304858055211247,0.201140113497904,0.554907677356657,0.659782840110709,0.394280914186615,1.24672489082969,0.758638881561593,0.286995515695067,0.520640843402037,0.125020427502913,46.8063847429519,0.345094168928366,0.260807425459836,89.9014845571924,"sKizzo",3600,"memout" "cube_c7_ser---23_-shuffled",1,1470,9,1479,15164,93009,2,1,3,3,3657,11504,6990,4352,1.85848061197573,4.2750593510947,735,2.37226325507782,1.90279609601688,30.8052738336714,7203,3997,65.6673427991886,14406,7994,828.114285714286,0.510144179595523,0.103086798051801,0.659782840110709,0.391881638846737,0.310228042447505,1.24672489082969,0.960230146686899,0.304858055211247,0.201140113497904,0.554907677356657,0.659782840110709,0.394280914186615,1.24672489082969,0.758638881561593,0.286995515695067,0.520640843402037,0.125020427502913,46.8063847429519,0.345094168928366,0.260807425459836,89.9014845571924,"sSolve",3600,"timeout" "cube_c9_par--opt-11_-shuffled",1,918,10,928,24548,229452,2,1,3,3,2145,22400,4284,2777,1.32890663190484,8.01816848623106,459,4.35664819944598,3.66152028678507,123.436422413793,19683,10694.7,252.646551724138,39366,21389.4,5094.52941176471,0.50077140316929,0.0331311123895194,0.766967077432408,0.217748883841153,0.391728989069609,1.18984680084109,0.996919140492415,0.143722257714573,0.110230239961333,0.543347050754458,0.766967077432408,0.220120876039858,1.18984680084109,0.912497963174189,0.113125305523872,0.516511797676346,0.0428642451180274,34.4017124262259,0.225297482085333,0.0886562379640725,66.6039238232124,"X2clsQ",3600,"timeout" "cube_c9_par--opt-11_-shuffled",1,918,10,928,24548,229452,2,1,3,3,2145,22400,4284,2777,1.32890663190484,8.01816848623106,459,4.35664819944598,3.66152028678507,123.436422413793,19683,10694.7,252.646551724138,39366,21389.4,5094.52941176471,0.50077140316929,0.0331311123895194,0.766967077432408,0.217748883841153,0.391728989069609,1.18984680084109,0.996919140492415,0.143722257714573,0.110230239961333,0.543347050754458,0.766967077432408,0.220120876039858,1.18984680084109,0.912497963174189,0.113125305523872,0.516511797676346,0.0428642451180274,34.4017124262259,0.225297482085333,0.0886562379640725,66.6039238232124,"quantor",39.91,"ok" "cube_c9_par--opt-11_-shuffled",1,918,10,928,24548,229452,2,1,3,3,2145,22400,4284,2777,1.32890663190484,8.01816848623106,459,4.35664819944598,3.66152028678507,123.436422413793,19683,10694.7,252.646551724138,39366,21389.4,5094.52941176471,0.50077140316929,0.0331311123895194,0.766967077432408,0.217748883841153,0.391728989069609,1.18984680084109,0.996919140492415,0.143722257714573,0.110230239961333,0.543347050754458,0.766967077432408,0.220120876039858,1.18984680084109,0.912497963174189,0.113125305523872,0.516511797676346,0.0428642451180274,34.4017124262259,0.225297482085333,0.0886562379640725,66.6039238232124,"QuBE",177.81,"ok" "cube_c9_par--opt-11_-shuffled",1,918,10,928,24548,229452,2,1,3,3,2145,22400,4284,2777,1.32890663190484,8.01816848623106,459,4.35664819944598,3.66152028678507,123.436422413793,19683,10694.7,252.646551724138,39366,21389.4,5094.52941176471,0.50077140316929,0.0331311123895194,0.766967077432408,0.217748883841153,0.391728989069609,1.18984680084109,0.996919140492415,0.143722257714573,0.110230239961333,0.543347050754458,0.766967077432408,0.220120876039858,1.18984680084109,0.912497963174189,0.113125305523872,0.516511797676346,0.0428642451180274,34.4017124262259,0.225297482085333,0.0886562379640725,66.6039238232124,"sKizzo",3600,"memout" "cube_c9_par--opt-11_-shuffled",1,918,10,928,24548,229452,2,1,3,3,2145,22400,4284,2777,1.32890663190484,8.01816848623106,459,4.35664819944598,3.66152028678507,123.436422413793,19683,10694.7,252.646551724138,39366,21389.4,5094.52941176471,0.50077140316929,0.0331311123895194,0.766967077432408,0.217748883841153,0.391728989069609,1.18984680084109,0.996919140492415,0.143722257714573,0.110230239961333,0.543347050754458,0.766967077432408,0.220120876039858,1.18984680084109,0.912497963174189,0.113125305523872,0.516511797676346,0.0428642451180274,34.4017124262259,0.225297482085333,0.0886562379640725,66.6039238232124,"sSolve",17.64,"ok" "eijk.S1238.S-d3-shuffled",1,842,28,870,2461,5741,2,1,3,1,1640,820,1893,1452,2.07517269402682,0.25761885412434,421,0.12880942706217,0.12880942706217,2.82873563218391,22.6428571428571,11.3214285714286,7.69655172413793,45.2857142857143,22.6428571428571,30.2030878859857,0.571329036753179,0.37345410207281,0.580184061092618,0.903353658536585,0.0552168611740115,1,0.75030487804878,0.919148054105962,0.533275050776574,0.5,0.580184061092618,0.933393922715949,1,0.33319788703779,0.590004063388866,0.577512776831346,0.395203905504277,1.24293556150456,0.415746519443111,0.935422147302463,2.15222175399167,"X2clsQ",3600,"timeout" "eijk.S1238.S-d3-shuffled",1,842,28,870,2461,5741,2,1,3,1,1640,820,1893,1452,2.07517269402682,0.25761885412434,421,0.12880942706217,0.12880942706217,2.82873563218391,22.6428571428571,11.3214285714286,7.69655172413793,45.2857142857143,22.6428571428571,30.2030878859857,0.571329036753179,0.37345410207281,0.580184061092618,0.903353658536585,0.0552168611740115,1,0.75030487804878,0.919148054105962,0.533275050776574,0.5,0.580184061092618,0.933393922715949,1,0.33319788703779,0.590004063388866,0.577512776831346,0.395203905504277,1.24293556150456,0.415746519443111,0.935422147302463,2.15222175399167,"quantor",3600,"memout" "eijk.S1238.S-d3-shuffled",1,842,28,870,2461,5741,2,1,3,1,1640,820,1893,1452,2.07517269402682,0.25761885412434,421,0.12880942706217,0.12880942706217,2.82873563218391,22.6428571428571,11.3214285714286,7.69655172413793,45.2857142857143,22.6428571428571,30.2030878859857,0.571329036753179,0.37345410207281,0.580184061092618,0.903353658536585,0.0552168611740115,1,0.75030487804878,0.919148054105962,0.533275050776574,0.5,0.580184061092618,0.933393922715949,1,0.33319788703779,0.590004063388866,0.577512776831346,0.395203905504277,1.24293556150456,0.415746519443111,0.935422147302463,2.15222175399167,"QuBE",3600,"timeout" "eijk.S1238.S-d3-shuffled",1,842,28,870,2461,5741,2,1,3,1,1640,820,1893,1452,2.07517269402682,0.25761885412434,421,0.12880942706217,0.12880942706217,2.82873563218391,22.6428571428571,11.3214285714286,7.69655172413793,45.2857142857143,22.6428571428571,30.2030878859857,0.571329036753179,0.37345410207281,0.580184061092618,0.903353658536585,0.0552168611740115,1,0.75030487804878,0.919148054105962,0.533275050776574,0.5,0.580184061092618,0.933393922715949,1,0.33319788703779,0.590004063388866,0.577512776831346,0.395203905504277,1.24293556150456,0.415746519443111,0.935422147302463,2.15222175399167,"sKizzo",3600,"memout" "eijk.S1238.S-d3-shuffled",1,842,28,870,2461,5741,2,1,3,1,1640,820,1893,1452,2.07517269402682,0.25761885412434,421,0.12880942706217,0.12880942706217,2.82873563218391,22.6428571428571,11.3214285714286,7.69655172413793,45.2857142857143,22.6428571428571,30.2030878859857,0.571329036753179,0.37345410207281,0.580184061092618,0.903353658536585,0.0552168611740115,1,0.75030487804878,0.919148054105962,0.533275050776574,0.5,0.580184061092618,0.933393922715949,1,0.33319788703779,0.590004063388866,0.577512776831346,0.395203905504277,1.24293556150456,0.415746519443111,0.935422147302463,2.15222175399167,"sSolve",45.89,"ok" "eijk.S1423.S-d4-shuffled",1,3606,45,3651,10636,24816,2,1,3,1,7090,3545,8123,6007,2.2696502444528,0.0635577284693494,1803,0.0317788642346747,0.0317788642346747,2.91317447274719,15.0222222222222,7.51111111111111,8.41522870446453,30.0444444444444,15.0222222222222,50.1023294509151,0.5714055448098,0.414974210186976,0.573405136702568,0.976163610719323,0.0136202450032237,1,0.750070521861777,0.984898771765519,0.564746014862399,0.5,0.573405136702568,0.988345352949597,1,0.333301993230538,0.564779992478375,0.573751387347392,0.422072350743581,0.760906400295967,0.424838819138107,0.990202286212646,1.32619531224812,"X2clsQ",3600,"timeout" "eijk.S1423.S-d4-shuffled",1,3606,45,3651,10636,24816,2,1,3,1,7090,3545,8123,6007,2.2696502444528,0.0635577284693494,1803,0.0317788642346747,0.0317788642346747,2.91317447274719,15.0222222222222,7.51111111111111,8.41522870446453,30.0444444444444,15.0222222222222,50.1023294509151,0.5714055448098,0.414974210186976,0.573405136702568,0.976163610719323,0.0136202450032237,1,0.750070521861777,0.984898771765519,0.564746014862399,0.5,0.573405136702568,0.988345352949597,1,0.333301993230538,0.564779992478375,0.573751387347392,0.422072350743581,0.760906400295967,0.424838819138107,0.990202286212646,1.32619531224812,"quantor",3600,"memout" "eijk.S1423.S-d4-shuffled",1,3606,45,3651,10636,24816,2,1,3,1,7090,3545,8123,6007,2.2696502444528,0.0635577284693494,1803,0.0317788642346747,0.0317788642346747,2.91317447274719,15.0222222222222,7.51111111111111,8.41522870446453,30.0444444444444,15.0222222222222,50.1023294509151,0.5714055448098,0.414974210186976,0.573405136702568,0.976163610719323,0.0136202450032237,1,0.750070521861777,0.984898771765519,0.564746014862399,0.5,0.573405136702568,0.988345352949597,1,0.333301993230538,0.564779992478375,0.573751387347392,0.422072350743581,0.760906400295967,0.424838819138107,0.990202286212646,1.32619531224812,"QuBE",3600,"timeout" "eijk.S1423.S-d4-shuffled",1,3606,45,3651,10636,24816,2,1,3,1,7090,3545,8123,6007,2.2696502444528,0.0635577284693494,1803,0.0317788642346747,0.0317788642346747,2.91317447274719,15.0222222222222,7.51111111111111,8.41522870446453,30.0444444444444,15.0222222222222,50.1023294509151,0.5714055448098,0.414974210186976,0.573405136702568,0.976163610719323,0.0136202450032237,1,0.750070521861777,0.984898771765519,0.564746014862399,0.5,0.573405136702568,0.988345352949597,1,0.333301993230538,0.564779992478375,0.573751387347392,0.422072350743581,0.760906400295967,0.424838819138107,0.990202286212646,1.32619531224812,"sKizzo",2484.78,"ok" "eijk.S1423.S-d4-shuffled",1,3606,45,3651,10636,24816,2,1,3,1,7090,3545,8123,6007,2.2696502444528,0.0635577284693494,1803,0.0317788642346747,0.0317788642346747,2.91317447274719,15.0222222222222,7.51111111111111,8.41522870446453,30.0444444444444,15.0222222222222,50.1023294509151,0.5714055448098,0.414974210186976,0.573405136702568,0.976163610719323,0.0136202450032237,1,0.750070521861777,0.984898771765519,0.564746014862399,0.5,0.573405136702568,0.988345352949597,1,0.333301993230538,0.564779992478375,0.573751387347392,0.422072350743581,0.760906400295967,0.424838819138107,0.990202286212646,1.32619531224812,"sSolve",110.09,"ok" "eijk.S208o.S-d4-shuffled",1,92,3,95,265,617,2,1,3,1,176,88,207,153,2.2377358490566,0.0905660377358491,46,0.0452830188679245,0.0452830188679245,2.78947368421053,8,4,7.94736842105263,16,8,37.195652173913,0.570502431118314,0.410048622366288,0.573355817875211,0.965909090909091,0.0194489465153971,1,0.752840909090909,0.992442393066028,0.569022619970404,0.5,0.573355817875211,0.997406126482213,1,0.332075471698113,0.577358490566038,0.573687182382835,0.42622075467066,0.429136081309994,0.424260011607661,0.999784048373164,0.748031496062992,"X2clsQ",0,"ok" "eijk.S208o.S-d4-shuffled",1,92,3,95,265,617,2,1,3,1,176,88,207,153,2.2377358490566,0.0905660377358491,46,0.0452830188679245,0.0452830188679245,2.78947368421053,8,4,7.94736842105263,16,8,37.195652173913,0.570502431118314,0.410048622366288,0.573355817875211,0.965909090909091,0.0194489465153971,1,0.752840909090909,0.992442393066028,0.569022619970404,0.5,0.573355817875211,0.997406126482213,1,0.332075471698113,0.577358490566038,0.573687182382835,0.42622075467066,0.429136081309994,0.424260011607661,0.999784048373164,0.748031496062992,"quantor",0,"ok" "eijk.S208o.S-d4-shuffled",1,92,3,95,265,617,2,1,3,1,176,88,207,153,2.2377358490566,0.0905660377358491,46,0.0452830188679245,0.0452830188679245,2.78947368421053,8,4,7.94736842105263,16,8,37.195652173913,0.570502431118314,0.410048622366288,0.573355817875211,0.965909090909091,0.0194489465153971,1,0.752840909090909,0.992442393066028,0.569022619970404,0.5,0.573355817875211,0.997406126482213,1,0.332075471698113,0.577358490566038,0.573687182382835,0.42622075467066,0.429136081309994,0.424260011607661,0.999784048373164,0.748031496062992,"QuBE",0.04,"ok" "eijk.S208o.S-d4-shuffled",1,92,3,95,265,617,2,1,3,1,176,88,207,153,2.2377358490566,0.0905660377358491,46,0.0452830188679245,0.0452830188679245,2.78947368421053,8,4,7.94736842105263,16,8,37.195652173913,0.570502431118314,0.410048622366288,0.573355817875211,0.965909090909091,0.0194489465153971,1,0.752840909090909,0.992442393066028,0.569022619970404,0.5,0.573355817875211,0.997406126482213,1,0.332075471698113,0.577358490566038,0.573687182382835,0.42622075467066,0.429136081309994,0.424260011607661,0.999784048373164,0.748031496062992,"sKizzo",0,"ok" "eijk.S208o.S-d4-shuffled",1,92,3,95,265,617,2,1,3,1,176,88,207,153,2.2377358490566,0.0905660377358491,46,0.0452830188679245,0.0452830188679245,2.78947368421053,8,4,7.94736842105263,16,8,37.195652173913,0.570502431118314,0.410048622366288,0.573355817875211,0.965909090909091,0.0194489465153971,1,0.752840909090909,0.992442393066028,0.569022619970404,0.5,0.573355817875211,0.997406126482213,1,0.332075471698113,0.577358490566038,0.573687182382835,0.42622075467066,0.429136081309994,0.424260011607661,0.999784048373164,0.748031496062992,"sSolve",0.83,"ok" "eijk.S208o.S-f4-shuffled",1,1510,56,1566,4333,10109,2,1,3,1,2888,1444,3576,2503,2.22040156935149,0.112624048003693,755,0.0563120240018463,0.0563120240018463,2.7669220945083,8.71428571428571,4.35714285714286,7.66475095785441,17.4285714285714,8.71428571428571,34.4423841059603,0.571372044712632,0.404491047581363,0.574992204552541,0.957756232686981,0.0241369077060045,1,0.750173130193906,0.987021990109135,0.567529950034688,0.5,0.574992204552541,0.993275669131001,1,0.333256404338795,0.577659819986153,0.576389624139756,0.421459197374833,0.481615367163276,0.420885266274352,0.994921799351487,0.835572583184633,"X2clsQ",3600,"timeout" "eijk.S208o.S-f4-shuffled",1,1510,56,1566,4333,10109,2,1,3,1,2888,1444,3576,2503,2.22040156935149,0.112624048003693,755,0.0563120240018463,0.0563120240018463,2.7669220945083,8.71428571428571,4.35714285714286,7.66475095785441,17.4285714285714,8.71428571428571,34.4423841059603,0.571372044712632,0.404491047581363,0.574992204552541,0.957756232686981,0.0241369077060045,1,0.750173130193906,0.987021990109135,0.567529950034688,0.5,0.574992204552541,0.993275669131001,1,0.333256404338795,0.577659819986153,0.576389624139756,0.421459197374833,0.481615367163276,0.420885266274352,0.994921799351487,0.835572583184633,"quantor",3600,"memout" "eijk.S208o.S-f4-shuffled",1,1510,56,1566,4333,10109,2,1,3,1,2888,1444,3576,2503,2.22040156935149,0.112624048003693,755,0.0563120240018463,0.0563120240018463,2.7669220945083,8.71428571428571,4.35714285714286,7.66475095785441,17.4285714285714,8.71428571428571,34.4423841059603,0.571372044712632,0.404491047581363,0.574992204552541,0.957756232686981,0.0241369077060045,1,0.750173130193906,0.987021990109135,0.567529950034688,0.5,0.574992204552541,0.993275669131001,1,0.333256404338795,0.577659819986153,0.576389624139756,0.421459197374833,0.481615367163276,0.420885266274352,0.994921799351487,0.835572583184633,"QuBE",3600,"timeout" "eijk.S208o.S-f4-shuffled",1,1510,56,1566,4333,10109,2,1,3,1,2888,1444,3576,2503,2.22040156935149,0.112624048003693,755,0.0563120240018463,0.0563120240018463,2.7669220945083,8.71428571428571,4.35714285714286,7.66475095785441,17.4285714285714,8.71428571428571,34.4423841059603,0.571372044712632,0.404491047581363,0.574992204552541,0.957756232686981,0.0241369077060045,1,0.750173130193906,0.987021990109135,0.567529950034688,0.5,0.574992204552541,0.993275669131001,1,0.333256404338795,0.577659819986153,0.576389624139756,0.421459197374833,0.481615367163276,0.420885266274352,0.994921799351487,0.835572583184633,"sKizzo",2617.27,"ok" "eijk.S208o.S-f4-shuffled",1,1510,56,1566,4333,10109,2,1,3,1,2888,1444,3576,2503,2.22040156935149,0.112624048003693,755,0.0563120240018463,0.0563120240018463,2.7669220945083,8.71428571428571,4.35714285714286,7.66475095785441,17.4285714285714,8.71428571428571,34.4423841059603,0.571372044712632,0.404491047581363,0.574992204552541,0.957756232686981,0.0241369077060045,1,0.750173130193906,0.987021990109135,0.567529950034688,0.5,0.574992204552541,0.993275669131001,1,0.333256404338795,0.577659819986153,0.576389624139756,0.421459197374833,0.481615367163276,0.420885266274352,0.994921799351487,0.835572583184633,"sSolve",74.95,"ok" "eijk.S298.S-f2-shuffled",1,904,46,950,2578,6014,2,1,3,1,1718,859,1950,1472,2.13266097750194,0.200155159038014,452,0.100077579519007,0.100077579519007,2.71368421052632,11.2173913043478,5.60869565217391,7.19789473684211,22.4347826086957,11.2173913043478,29.4280973451327,0.571333555038244,0.385766544728966,0.578028373954165,0.924912689173457,0.0428999002327902,1,0.750291036088475,0.960719236236392,0.555322977948209,0.5,0.578028373954165,0.971976830436709,1,0.333204034134988,0.570985259891389,0.5792,0.408842750170184,0.655785953177258,0.415429097121829,0.971584482343593,1.13222712910438,"X2clsQ",3600,"timeout" "eijk.S298.S-f2-shuffled",1,904,46,950,2578,6014,2,1,3,1,1718,859,1950,1472,2.13266097750194,0.200155159038014,452,0.100077579519007,0.100077579519007,2.71368421052632,11.2173913043478,5.60869565217391,7.19789473684211,22.4347826086957,11.2173913043478,29.4280973451327,0.571333555038244,0.385766544728966,0.578028373954165,0.924912689173457,0.0428999002327902,1,0.750291036088475,0.960719236236392,0.555322977948209,0.5,0.578028373954165,0.971976830436709,1,0.333204034134988,0.570985259891389,0.5792,0.408842750170184,0.655785953177258,0.415429097121829,0.971584482343593,1.13222712910438,"quantor",3600,"memout" "eijk.S298.S-f2-shuffled",1,904,46,950,2578,6014,2,1,3,1,1718,859,1950,1472,2.13266097750194,0.200155159038014,452,0.100077579519007,0.100077579519007,2.71368421052632,11.2173913043478,5.60869565217391,7.19789473684211,22.4347826086957,11.2173913043478,29.4280973451327,0.571333555038244,0.385766544728966,0.578028373954165,0.924912689173457,0.0428999002327902,1,0.750291036088475,0.960719236236392,0.555322977948209,0.5,0.578028373954165,0.971976830436709,1,0.333204034134988,0.570985259891389,0.5792,0.408842750170184,0.655785953177258,0.415429097121829,0.971584482343593,1.13222712910438,"QuBE",3600,"timeout" "eijk.S298.S-f2-shuffled",1,904,46,950,2578,6014,2,1,3,1,1718,859,1950,1472,2.13266097750194,0.200155159038014,452,0.100077579519007,0.100077579519007,2.71368421052632,11.2173913043478,5.60869565217391,7.19789473684211,22.4347826086957,11.2173913043478,29.4280973451327,0.571333555038244,0.385766544728966,0.578028373954165,0.924912689173457,0.0428999002327902,1,0.750291036088475,0.960719236236392,0.555322977948209,0.5,0.578028373954165,0.971976830436709,1,0.333204034134988,0.570985259891389,0.5792,0.408842750170184,0.655785953177258,0.415429097121829,0.971584482343593,1.13222712910438,"sKizzo",3600,"memout" "eijk.S298.S-f2-shuffled",1,904,46,950,2578,6014,2,1,3,1,1718,859,1950,1472,2.13266097750194,0.200155159038014,452,0.100077579519007,0.100077579519007,2.71368421052632,11.2173913043478,5.60869565217391,7.19789473684211,22.4347826086957,11.2173913043478,29.4280973451327,0.571333555038244,0.385766544728966,0.578028373954165,0.924912689173457,0.0428999002327902,1,0.750291036088475,0.960719236236392,0.555322977948209,0.5,0.578028373954165,0.971976830436709,1,0.333204034134988,0.570985259891389,0.5792,0.408842750170184,0.655785953177258,0.415429097121829,0.971584482343593,1.13222712910438,"sSolve",860.74,"ok" "eijk.S298.S-f4",1,2106,52,2158,6166,14386,2,1,3,1,4110,2055,4676,3484,2.2312682452157,0.101848848524165,1053,0.0509244242620824,0.0509244242620824,2.85727525486562,12.0769230769231,6.03846153846154,7.93605189990732,24.1538461538462,12.0769230769231,41.3774928774929,0.571388850271097,0.406784373696649,0.57464747783108,0.961800486618005,0.0218267760322536,1,0.750121654501216,0.97995994047711,0.563131508170667,0.5,0.57464747783108,0.985548646781412,1,0.333279273434966,0.565034057735971,0.576235957836393,0.418304499052095,0.644875538179839,0.421318759895807,0.987116549380553,1.11911714187564,"X2clsQ",3600,"timeout" "eijk.S298.S-f4",1,2106,52,2158,6166,14386,2,1,3,1,4110,2055,4676,3484,2.2312682452157,0.101848848524165,1053,0.0509244242620824,0.0509244242620824,2.85727525486562,12.0769230769231,6.03846153846154,7.93605189990732,24.1538461538462,12.0769230769231,41.3774928774929,0.571388850271097,0.406784373696649,0.57464747783108,0.961800486618005,0.0218267760322536,1,0.750121654501216,0.97995994047711,0.563131508170667,0.5,0.57464747783108,0.985548646781412,1,0.333279273434966,0.565034057735971,0.576235957836393,0.418304499052095,0.644875538179839,0.421318759895807,0.987116549380553,1.11911714187564,"quantor",3600,"memout" "eijk.S298.S-f4",1,2106,52,2158,6166,14386,2,1,3,1,4110,2055,4676,3484,2.2312682452157,0.101848848524165,1053,0.0509244242620824,0.0509244242620824,2.85727525486562,12.0769230769231,6.03846153846154,7.93605189990732,24.1538461538462,12.0769230769231,41.3774928774929,0.571388850271097,0.406784373696649,0.57464747783108,0.961800486618005,0.0218267760322536,1,0.750121654501216,0.97995994047711,0.563131508170667,0.5,0.57464747783108,0.985548646781412,1,0.333279273434966,0.565034057735971,0.576235957836393,0.418304499052095,0.644875538179839,0.421318759895807,0.987116549380553,1.11911714187564,"QuBE",3600,"timeout" "eijk.S298.S-f4",1,2106,52,2158,6166,14386,2,1,3,1,4110,2055,4676,3484,2.2312682452157,0.101848848524165,1053,0.0509244242620824,0.0509244242620824,2.85727525486562,12.0769230769231,6.03846153846154,7.93605189990732,24.1538461538462,12.0769230769231,41.3774928774929,0.571388850271097,0.406784373696649,0.57464747783108,0.961800486618005,0.0218267760322536,1,0.750121654501216,0.97995994047711,0.563131508170667,0.5,0.57464747783108,0.985548646781412,1,0.333279273434966,0.565034057735971,0.576235957836393,0.418304499052095,0.644875538179839,0.421318759895807,0.987116549380553,1.11911714187564,"sKizzo",3600,"memout" "eijk.S298.S-f4",1,2106,52,2158,6166,14386,2,1,3,1,4110,2055,4676,3484,2.2312682452157,0.101848848524165,1053,0.0509244242620824,0.0509244242620824,2.85727525486562,12.0769230769231,6.03846153846154,7.93605189990732,24.1538461538462,12.0769230769231,41.3774928774929,0.571388850271097,0.406784373696649,0.57464747783108,0.961800486618005,0.0218267760322536,1,0.750121654501216,0.97995994047711,0.563131508170667,0.5,0.57464747783108,0.985548646781412,1,0.333279273434966,0.565034057735971,0.576235957836393,0.418304499052095,0.644875538179839,0.421318759895807,0.987116549380553,1.11911714187564,"sSolve",3600,"timeout" "eijk.S344.S-f3",1,2000,71,2071,5773,13469,2,1,3,1,3848,1924,4338,3177,2.2326346786766,0.100467694439633,1000,0.0502338472198164,0.0502338472198164,2.78754225012071,8.16901408450704,4.08450704225352,7.75615644616127,16.3380281690141,8.16901408450704,40.7435,0.571386145964808,0.407082931175291,0.574598494840562,0.962318087318087,0.0215309228599005,1,0.750129937629938,0.990909458757146,0.569375083525132,0.5,0.574598494840562,0.996480379417879,1,0.333275593279058,0.55032045730123,0.57611822140124,0.423080789022299,0.446444865259641,0.421478154348714,0.998110346759634,0.774918842479575,"X2clsQ",3600,"timeout" "eijk.S344.S-f3",1,2000,71,2071,5773,13469,2,1,3,1,3848,1924,4338,3177,2.2326346786766,0.100467694439633,1000,0.0502338472198164,0.0502338472198164,2.78754225012071,8.16901408450704,4.08450704225352,7.75615644616127,16.3380281690141,8.16901408450704,40.7435,0.571386145964808,0.407082931175291,0.574598494840562,0.962318087318087,0.0215309228599005,1,0.750129937629938,0.990909458757146,0.569375083525132,0.5,0.574598494840562,0.996480379417879,1,0.333275593279058,0.55032045730123,0.57611822140124,0.423080789022299,0.446444865259641,0.421478154348714,0.998110346759634,0.774918842479575,"quantor",3600,"memout" "eijk.S344.S-f3",1,2000,71,2071,5773,13469,2,1,3,1,3848,1924,4338,3177,2.2326346786766,0.100467694439633,1000,0.0502338472198164,0.0502338472198164,2.78754225012071,8.16901408450704,4.08450704225352,7.75615644616127,16.3380281690141,8.16901408450704,40.7435,0.571386145964808,0.407082931175291,0.574598494840562,0.962318087318087,0.0215309228599005,1,0.750129937629938,0.990909458757146,0.569375083525132,0.5,0.574598494840562,0.996480379417879,1,0.333275593279058,0.55032045730123,0.57611822140124,0.423080789022299,0.446444865259641,0.421478154348714,0.998110346759634,0.774918842479575,"QuBE",3600,"timeout" "eijk.S344.S-f3",1,2000,71,2071,5773,13469,2,1,3,1,3848,1924,4338,3177,2.2326346786766,0.100467694439633,1000,0.0502338472198164,0.0502338472198164,2.78754225012071,8.16901408450704,4.08450704225352,7.75615644616127,16.3380281690141,8.16901408450704,40.7435,0.571386145964808,0.407082931175291,0.574598494840562,0.962318087318087,0.0215309228599005,1,0.750129937629938,0.990909458757146,0.569375083525132,0.5,0.574598494840562,0.996480379417879,1,0.333275593279058,0.55032045730123,0.57611822140124,0.423080789022299,0.446444865259641,0.421478154348714,0.998110346759634,0.774918842479575,"sKizzo",3600,"memout" "eijk.S344.S-f3",1,2000,71,2071,5773,13469,2,1,3,1,3848,1924,4338,3177,2.2326346786766,0.100467694439633,1000,0.0502338472198164,0.0502338472198164,2.78754225012071,8.16901408450704,4.08450704225352,7.75615644616127,16.3380281690141,8.16901408450704,40.7435,0.571386145964808,0.407082931175291,0.574598494840562,0.962318087318087,0.0215309228599005,1,0.750129937629938,0.990909458757146,0.569375083525132,0.5,0.574598494840562,0.996480379417879,1,0.333275593279058,0.55032045730123,0.57611822140124,0.423080789022299,0.446444865259641,0.421478154348714,0.998110346759634,0.774918842479575,"sSolve",3600,"timeout" "eijk.S349.S-f3",1,1977,71,2048,5704,13308,2,1,3,1,3802,1901,4321,3122,2.23492286115007,0.0981767180925666,988.5,0.0490883590462833,0.0490883590462833,2.78515625,7.88732394366197,3.94366197183099,7.7578125,15.7746478873239,7.88732394366197,40.5432473444613,0.571385632702134,0.407574391343553,0.574521493567619,0.963177275118359,0.0210399759543132,1,0.75013150973172,0.992321830293947,0.570110220040231,0.5,0.574521493567619,0.997767860112493,1,0.333274894810659,0.547335203366059,0.576048671149536,0.423697151676989,0.431028910145686,0.421608537792937,0.999400456712414,0.748250854021666,"X2clsQ",3600,"timeout" "eijk.S349.S-f3",1,1977,71,2048,5704,13308,2,1,3,1,3802,1901,4321,3122,2.23492286115007,0.0981767180925666,988.5,0.0490883590462833,0.0490883590462833,2.78515625,7.88732394366197,3.94366197183099,7.7578125,15.7746478873239,7.88732394366197,40.5432473444613,0.571385632702134,0.407574391343553,0.574521493567619,0.963177275118359,0.0210399759543132,1,0.75013150973172,0.992321830293947,0.570110220040231,0.5,0.574521493567619,0.997767860112493,1,0.333274894810659,0.547335203366059,0.576048671149536,0.423697151676989,0.431028910145686,0.421608537792937,0.999400456712414,0.748250854021666,"quantor",3600,"memout" "eijk.S349.S-f3",1,1977,71,2048,5704,13308,2,1,3,1,3802,1901,4321,3122,2.23492286115007,0.0981767180925666,988.5,0.0490883590462833,0.0490883590462833,2.78515625,7.88732394366197,3.94366197183099,7.7578125,15.7746478873239,7.88732394366197,40.5432473444613,0.571385632702134,0.407574391343553,0.574521493567619,0.963177275118359,0.0210399759543132,1,0.75013150973172,0.992321830293947,0.570110220040231,0.5,0.574521493567619,0.997767860112493,1,0.333274894810659,0.547335203366059,0.576048671149536,0.423697151676989,0.431028910145686,0.421608537792937,0.999400456712414,0.748250854021666,"QuBE",3600,"timeout" "eijk.S349.S-f3",1,1977,71,2048,5704,13308,2,1,3,1,3802,1901,4321,3122,2.23492286115007,0.0981767180925666,988.5,0.0490883590462833,0.0490883590462833,2.78515625,7.88732394366197,3.94366197183099,7.7578125,15.7746478873239,7.88732394366197,40.5432473444613,0.571385632702134,0.407574391343553,0.574521493567619,0.963177275118359,0.0210399759543132,1,0.75013150973172,0.992321830293947,0.570110220040231,0.5,0.574521493567619,0.997767860112493,1,0.333274894810659,0.547335203366059,0.576048671149536,0.423697151676989,0.431028910145686,0.421608537792937,0.999400456712414,0.748250854021666,"sKizzo",3600,"memout" "eijk.S349.S-f3",1,1977,71,2048,5704,13308,2,1,3,1,3802,1901,4321,3122,2.23492286115007,0.0981767180925666,988.5,0.0490883590462833,0.0490883590462833,2.78515625,7.88732394366197,3.94366197183099,7.7578125,15.7746478873239,7.88732394366197,40.5432473444613,0.571385632702134,0.407574391343553,0.574521493567619,0.963177275118359,0.0210399759543132,1,0.75013150973172,0.992321830293947,0.570110220040231,0.5,0.574521493567619,0.997767860112493,1,0.333274894810659,0.547335203366059,0.576048671149536,0.423697151676989,0.431028910145686,0.421608537792937,0.999400456712414,0.748250854021666,"sSolve",3600,"timeout" "eijk.S382.S-f4-shuffled",1,2507,66,2573,7324,17088,2,1,3,1,4882,2441,5562,4079,2.23238667394866,0.100764609503004,1253.5,0.0503823047515019,0.0503823047515019,2.8464827050136,11.1818181818182,5.59090909090909,7.89389817333852,22.3636363636364,11.1818181818182,38.4204228161149,0.571395131086142,0.407010767790262,0.574617737003058,0.962208111429742,0.0215941011235955,1,0.750102417042196,0.982001099169516,0.564275249339303,0.5,0.574617737003058,0.987539477745802,1,0.333287820862916,0.556936100491535,0.576342246881649,0.419012231522876,0.600117187055571,0.421232729307451,0.989034730129965,1.04125142708618,"X2clsQ",3600,"timeout" "eijk.S382.S-f4-shuffled",1,2507,66,2573,7324,17088,2,1,3,1,4882,2441,5562,4079,2.23238667394866,0.100764609503004,1253.5,0.0503823047515019,0.0503823047515019,2.8464827050136,11.1818181818182,5.59090909090909,7.89389817333852,22.3636363636364,11.1818181818182,38.4204228161149,0.571395131086142,0.407010767790262,0.574617737003058,0.962208111429742,0.0215941011235955,1,0.750102417042196,0.982001099169516,0.564275249339303,0.5,0.574617737003058,0.987539477745802,1,0.333287820862916,0.556936100491535,0.576342246881649,0.419012231522876,0.600117187055571,0.421232729307451,0.989034730129965,1.04125142708618,"quantor",3600,"memout" "eijk.S382.S-f4-shuffled",1,2507,66,2573,7324,17088,2,1,3,1,4882,2441,5562,4079,2.23238667394866,0.100764609503004,1253.5,0.0503823047515019,0.0503823047515019,2.8464827050136,11.1818181818182,5.59090909090909,7.89389817333852,22.3636363636364,11.1818181818182,38.4204228161149,0.571395131086142,0.407010767790262,0.574617737003058,0.962208111429742,0.0215941011235955,1,0.750102417042196,0.982001099169516,0.564275249339303,0.5,0.574617737003058,0.987539477745802,1,0.333287820862916,0.556936100491535,0.576342246881649,0.419012231522876,0.600117187055571,0.421232729307451,0.989034730129965,1.04125142708618,"QuBE",3600,"timeout" "eijk.S382.S-f4-shuffled",1,2507,66,2573,7324,17088,2,1,3,1,4882,2441,5562,4079,2.23238667394866,0.100764609503004,1253.5,0.0503823047515019,0.0503823047515019,2.8464827050136,11.1818181818182,5.59090909090909,7.89389817333852,22.3636363636364,11.1818181818182,38.4204228161149,0.571395131086142,0.407010767790262,0.574617737003058,0.962208111429742,0.0215941011235955,1,0.750102417042196,0.982001099169516,0.564275249339303,0.5,0.574617737003058,0.987539477745802,1,0.333287820862916,0.556936100491535,0.576342246881649,0.419012231522876,0.600117187055571,0.421232729307451,0.989034730129965,1.04125142708618,"sKizzo",3600,"memout" "eijk.S382.S-f4-shuffled",1,2507,66,2573,7324,17088,2,1,3,1,4882,2441,5562,4079,2.23238667394866,0.100764609503004,1253.5,0.0503823047515019,0.0503823047515019,2.8464827050136,11.1818181818182,5.59090909090909,7.89389817333852,22.3636363636364,11.1818181818182,38.4204228161149,0.571395131086142,0.407010767790262,0.574617737003058,0.962208111429742,0.0215941011235955,1,0.750102417042196,0.982001099169516,0.564275249339303,0.5,0.574617737003058,0.987539477745802,1,0.333287820862916,0.556936100491535,0.576342246881649,0.419012231522876,0.600117187055571,0.421232729307451,0.989034730129965,1.04125142708618,"sSolve",3600,"timeout" "eijk.S386.S-f2",1,1699,63,1762,4888,11404,2,1,3,1,3258,1629,3833,2846,2.1828968903437,0.150163666121113,849.5,0.0750818330605565,0.0750818330605565,2.77412031782066,11.6507936507937,5.82539682539683,7.53178206583428,23.3015873015873,11.6507936507937,37.2460270747499,0.571378463696948,0.396439845668187,0.576288659793814,0.943677102516882,0.032181690634865,1,0.750153468385512,0.970330619307084,0.559190532157381,0.5,0.576288659793814,0.978669249343582,1,0.333265139116203,0.582242225859247,0.57770635779291,0.413730030209706,0.653239305438122,0.418485880232325,0.979721191271963,1.13074626343698,"X2clsQ",3600,"timeout" "eijk.S386.S-f2",1,1699,63,1762,4888,11404,2,1,3,1,3258,1629,3833,2846,2.1828968903437,0.150163666121113,849.5,0.0750818330605565,0.0750818330605565,2.77412031782066,11.6507936507937,5.82539682539683,7.53178206583428,23.3015873015873,11.6507936507937,37.2460270747499,0.571378463696948,0.396439845668187,0.576288659793814,0.943677102516882,0.032181690634865,1,0.750153468385512,0.970330619307084,0.559190532157381,0.5,0.576288659793814,0.978669249343582,1,0.333265139116203,0.582242225859247,0.57770635779291,0.413730030209706,0.653239305438122,0.418485880232325,0.979721191271963,1.13074626343698,"quantor",3600,"memout" "eijk.S386.S-f2",1,1699,63,1762,4888,11404,2,1,3,1,3258,1629,3833,2846,2.1828968903437,0.150163666121113,849.5,0.0750818330605565,0.0750818330605565,2.77412031782066,11.6507936507937,5.82539682539683,7.53178206583428,23.3015873015873,11.6507936507937,37.2460270747499,0.571378463696948,0.396439845668187,0.576288659793814,0.943677102516882,0.032181690634865,1,0.750153468385512,0.970330619307084,0.559190532157381,0.5,0.576288659793814,0.978669249343582,1,0.333265139116203,0.582242225859247,0.57770635779291,0.413730030209706,0.653239305438122,0.418485880232325,0.979721191271963,1.13074626343698,"QuBE",3600,"timeout" "eijk.S386.S-f2",1,1699,63,1762,4888,11404,2,1,3,1,3258,1629,3833,2846,2.1828968903437,0.150163666121113,849.5,0.0750818330605565,0.0750818330605565,2.77412031782066,11.6507936507937,5.82539682539683,7.53178206583428,23.3015873015873,11.6507936507937,37.2460270747499,0.571378463696948,0.396439845668187,0.576288659793814,0.943677102516882,0.032181690634865,1,0.750153468385512,0.970330619307084,0.559190532157381,0.5,0.576288659793814,0.978669249343582,1,0.333265139116203,0.582242225859247,0.57770635779291,0.413730030209706,0.653239305438122,0.418485880232325,0.979721191271963,1.13074626343698,"sKizzo",3600,"memout" "eijk.S386.S-f2",1,1699,63,1762,4888,11404,2,1,3,1,3258,1629,3833,2846,2.1828968903437,0.150163666121113,849.5,0.0750818330605565,0.0750818330605565,2.77412031782066,11.6507936507937,5.82539682539683,7.53178206583428,23.3015873015873,11.6507936507937,37.2460270747499,0.571378463696948,0.396439845668187,0.576288659793814,0.943677102516882,0.032181690634865,1,0.750153468385512,0.970330619307084,0.559190532157381,0.5,0.576288659793814,0.978669249343582,1,0.333265139116203,0.582242225859247,0.57770635779291,0.413730030209706,0.653239305438122,0.418485880232325,0.979721191271963,1.13074626343698,"sSolve",3600,"timeout" "eijk.S420.S-f2",1,1738,86,1824,4903,11439,2,1,3,1,3268,1634,4017,2943,2.1723434631858,0.160717927799307,869,0.0803589638996533,0.0803589638996533,2.68804824561404,9.16279069767442,4.58139534883721,7.26041666666667,18.3255813953488,9.16279069767442,31.1910241657077,0.571378617011977,0.394177812745869,0.576659468594498,0.939718482252142,0.034443570242154,1,0.75015299877601,0.977186338179875,0.56350375449261,0.5,0.576659468594498,0.986217785746782,1,0.333265347746278,0.6002447481134,0.578046837661303,0.41648240704873,0.532513309942907,0.417826830822906,0.987034685888726,0.921228653541955,"X2clsQ",3600,"timeout" "eijk.S420.S-f2",1,1738,86,1824,4903,11439,2,1,3,1,3268,1634,4017,2943,2.1723434631858,0.160717927799307,869,0.0803589638996533,0.0803589638996533,2.68804824561404,9.16279069767442,4.58139534883721,7.26041666666667,18.3255813953488,9.16279069767442,31.1910241657077,0.571378617011977,0.394177812745869,0.576659468594498,0.939718482252142,0.034443570242154,1,0.75015299877601,0.977186338179875,0.56350375449261,0.5,0.576659468594498,0.986217785746782,1,0.333265347746278,0.6002447481134,0.578046837661303,0.41648240704873,0.532513309942907,0.417826830822906,0.987034685888726,0.921228653541955,"quantor",3600,"memout" "eijk.S420.S-f2",1,1738,86,1824,4903,11439,2,1,3,1,3268,1634,4017,2943,2.1723434631858,0.160717927799307,869,0.0803589638996533,0.0803589638996533,2.68804824561404,9.16279069767442,4.58139534883721,7.26041666666667,18.3255813953488,9.16279069767442,31.1910241657077,0.571378617011977,0.394177812745869,0.576659468594498,0.939718482252142,0.034443570242154,1,0.75015299877601,0.977186338179875,0.56350375449261,0.5,0.576659468594498,0.986217785746782,1,0.333265347746278,0.6002447481134,0.578046837661303,0.41648240704873,0.532513309942907,0.417826830822906,0.987034685888726,0.921228653541955,"QuBE",3600,"timeout" "eijk.S420.S-f2",1,1738,86,1824,4903,11439,2,1,3,1,3268,1634,4017,2943,2.1723434631858,0.160717927799307,869,0.0803589638996533,0.0803589638996533,2.68804824561404,9.16279069767442,4.58139534883721,7.26041666666667,18.3255813953488,9.16279069767442,31.1910241657077,0.571378617011977,0.394177812745869,0.576659468594498,0.939718482252142,0.034443570242154,1,0.75015299877601,0.977186338179875,0.56350375449261,0.5,0.576659468594498,0.986217785746782,1,0.333265347746278,0.6002447481134,0.578046837661303,0.41648240704873,0.532513309942907,0.417826830822906,0.987034685888726,0.921228653541955,"sKizzo",3600,"memout" "eijk.S420.S-f2",1,1738,86,1824,4903,11439,2,1,3,1,3268,1634,4017,2943,2.1723434631858,0.160717927799307,869,0.0803589638996533,0.0803589638996533,2.68804824561404,9.16279069767442,4.58139534883721,7.26041666666667,18.3255813953488,9.16279069767442,31.1910241657077,0.571378617011977,0.394177812745869,0.576659468594498,0.939718482252142,0.034443570242154,1,0.75015299877601,0.977186338179875,0.56350375449261,0.5,0.576659468594498,0.986217785746782,1,0.333265347746278,0.6002447481134,0.578046837661303,0.41648240704873,0.532513309942907,0.417826830822906,0.987034685888726,0.921228653541955,"sSolve",3600,"timeout" "eijk.S526.S-f4-shuffled",1,3713,88,3801,10879,25383,2,1,3,1,7252,3626,8326,6214,2.2282378895119,0.10497288353709,1856.5,0.0524864417685449,0.0524864417685449,2.86214154169955,12.9772727272727,6.48863636363636,7.91791633780584,25.9545454545455,12.9772727272727,41.9534069485591,0.571406059173463,0.406098569908994,0.574770017738542,0.960631549917264,0.0224953709175432,1,0.750068946497518,0.977643466276552,0.561920152453743,0.5,0.574770017738542,0.983399009220447,1,0.333302693262248,0.571192205165916,0.576512305289375,0.417074375113812,0.694086054517056,0.420947036331652,0.984855948172957,1.2039397045804,"X2clsQ",3600,"timeout" "eijk.S526.S-f4-shuffled",1,3713,88,3801,10879,25383,2,1,3,1,7252,3626,8326,6214,2.2282378895119,0.10497288353709,1856.5,0.0524864417685449,0.0524864417685449,2.86214154169955,12.9772727272727,6.48863636363636,7.91791633780584,25.9545454545455,12.9772727272727,41.9534069485591,0.571406059173463,0.406098569908994,0.574770017738542,0.960631549917264,0.0224953709175432,1,0.750068946497518,0.977643466276552,0.561920152453743,0.5,0.574770017738542,0.983399009220447,1,0.333302693262248,0.571192205165916,0.576512305289375,0.417074375113812,0.694086054517056,0.420947036331652,0.984855948172957,1.2039397045804,"quantor",3600,"memout" "eijk.S526.S-f4-shuffled",1,3713,88,3801,10879,25383,2,1,3,1,7252,3626,8326,6214,2.2282378895119,0.10497288353709,1856.5,0.0524864417685449,0.0524864417685449,2.86214154169955,12.9772727272727,6.48863636363636,7.91791633780584,25.9545454545455,12.9772727272727,41.9534069485591,0.571406059173463,0.406098569908994,0.574770017738542,0.960631549917264,0.0224953709175432,1,0.750068946497518,0.977643466276552,0.561920152453743,0.5,0.574770017738542,0.983399009220447,1,0.333302693262248,0.571192205165916,0.576512305289375,0.417074375113812,0.694086054517056,0.420947036331652,0.984855948172957,1.2039397045804,"QuBE",3600,"timeout" "eijk.S526.S-f4-shuffled",1,3713,88,3801,10879,25383,2,1,3,1,7252,3626,8326,6214,2.2282378895119,0.10497288353709,1856.5,0.0524864417685449,0.0524864417685449,2.86214154169955,12.9772727272727,6.48863636363636,7.91791633780584,25.9545454545455,12.9772727272727,41.9534069485591,0.571406059173463,0.406098569908994,0.574770017738542,0.960631549917264,0.0224953709175432,1,0.750068946497518,0.977643466276552,0.561920152453743,0.5,0.574770017738542,0.983399009220447,1,0.333302693262248,0.571192205165916,0.576512305289375,0.417074375113812,0.694086054517056,0.420947036331652,0.984855948172957,1.2039397045804,"sKizzo",3600,"memout" "eijk.S526.S-f4-shuffled",1,3713,88,3801,10879,25383,2,1,3,1,7252,3626,8326,6214,2.2282378895119,0.10497288353709,1856.5,0.0524864417685449,0.0524864417685449,2.86214154169955,12.9772727272727,6.48863636363636,7.91791633780584,25.9545454545455,12.9772727272727,41.9534069485591,0.571406059173463,0.406098569908994,0.574770017738542,0.960631549917264,0.0224953709175432,1,0.750068946497518,0.977643466276552,0.561920152453743,0.5,0.574770017738542,0.983399009220447,1,0.333302693262248,0.571192205165916,0.576512305289375,0.417074375113812,0.694086054517056,0.420947036331652,0.984855948172957,1.2039397045804,"sSolve",3600,"timeout" "eijk.S641.S-f2",1,2116,96,2212,5965,13917,2,1,3,1,3976,1988,4664,3505,2.20301760268231,0.130092204526404,1058,0.065046102263202,0.065046102263202,2.69665461121157,8.08333333333333,4.04166666666667,7.37025316455696,16.1666666666667,8.08333333333333,32.4357277882798,0.571387511676367,0.400732916576848,0.575603074347462,0.951207243460765,0.0278795717467845,1,0.750125754527163,0.987079761149445,0.568166145143779,0.5,0.575603074347462,0.994362203466546,1,0.333277451802179,0.587594300083822,0.577281095236861,0.420863395216818,0.463617427679968,0.419478589760908,0.995610535688342,0.803105162294886,"X2clsQ",3600,"timeout" "eijk.S641.S-f2",1,2116,96,2212,5965,13917,2,1,3,1,3976,1988,4664,3505,2.20301760268231,0.130092204526404,1058,0.065046102263202,0.065046102263202,2.69665461121157,8.08333333333333,4.04166666666667,7.37025316455696,16.1666666666667,8.08333333333333,32.4357277882798,0.571387511676367,0.400732916576848,0.575603074347462,0.951207243460765,0.0278795717467845,1,0.750125754527163,0.987079761149445,0.568166145143779,0.5,0.575603074347462,0.994362203466546,1,0.333277451802179,0.587594300083822,0.577281095236861,0.420863395216818,0.463617427679968,0.419478589760908,0.995610535688342,0.803105162294886,"quantor",3600,"memout" "eijk.S641.S-f2",1,2116,96,2212,5965,13917,2,1,3,1,3976,1988,4664,3505,2.20301760268231,0.130092204526404,1058,0.065046102263202,0.065046102263202,2.69665461121157,8.08333333333333,4.04166666666667,7.37025316455696,16.1666666666667,8.08333333333333,32.4357277882798,0.571387511676367,0.400732916576848,0.575603074347462,0.951207243460765,0.0278795717467845,1,0.750125754527163,0.987079761149445,0.568166145143779,0.5,0.575603074347462,0.994362203466546,1,0.333277451802179,0.587594300083822,0.577281095236861,0.420863395216818,0.463617427679968,0.419478589760908,0.995610535688342,0.803105162294886,"QuBE",3600,"timeout" "eijk.S641.S-f2",1,2116,96,2212,5965,13917,2,1,3,1,3976,1988,4664,3505,2.20301760268231,0.130092204526404,1058,0.065046102263202,0.065046102263202,2.69665461121157,8.08333333333333,4.04166666666667,7.37025316455696,16.1666666666667,8.08333333333333,32.4357277882798,0.571387511676367,0.400732916576848,0.575603074347462,0.951207243460765,0.0278795717467845,1,0.750125754527163,0.987079761149445,0.568166145143779,0.5,0.575603074347462,0.994362203466546,1,0.333277451802179,0.587594300083822,0.577281095236861,0.420863395216818,0.463617427679968,0.419478589760908,0.995610535688342,0.803105162294886,"sKizzo",3600,"memout" "eijk.S641.S-f2",1,2116,96,2212,5965,13917,2,1,3,1,3976,1988,4664,3505,2.20301760268231,0.130092204526404,1058,0.065046102263202,0.065046102263202,2.69665461121157,8.08333333333333,4.04166666666667,7.37025316455696,16.1666666666667,8.08333333333333,32.4357277882798,0.571387511676367,0.400732916576848,0.575603074347462,0.951207243460765,0.0278795717467845,1,0.750125754527163,0.987079761149445,0.568166145143779,0.5,0.575603074347462,0.994362203466546,1,0.333277451802179,0.587594300083822,0.577281095236861,0.420863395216818,0.463617427679968,0.419478589760908,0.995610535688342,0.803105162294886,"sSolve",3600,"timeout" "eijk.S713.S-f2",1,2127,96,2223,5998,13994,2,1,3,1,3998,1999,4702,3526,2.20373457819273,0.129376458819607,1063.5,0.0646882294098033,0.0646882294098033,2.69815564552407,8.08333333333333,4.04166666666667,7.3783175888439,16.1666666666667,8.08333333333333,32.7672778561354,0.571387737601829,0.400886094040303,0.575578756241489,0.951475737868934,0.0277261683578677,1,0.750125062531266,0.987178869525585,0.568199185909416,0.5,0.575578756241489,0.99441963576993,1,0.333277759253084,0.587862620873625,0.577246249806691,0.420930557032915,0.463148873653281,0.419534983622402,0.995687340066031,0.802341936060011,"X2clsQ",3600,"timeout" "eijk.S713.S-f2",1,2127,96,2223,5998,13994,2,1,3,1,3998,1999,4702,3526,2.20373457819273,0.129376458819607,1063.5,0.0646882294098033,0.0646882294098033,2.69815564552407,8.08333333333333,4.04166666666667,7.3783175888439,16.1666666666667,8.08333333333333,32.7672778561354,0.571387737601829,0.400886094040303,0.575578756241489,0.951475737868934,0.0277261683578677,1,0.750125062531266,0.987178869525585,0.568199185909416,0.5,0.575578756241489,0.99441963576993,1,0.333277759253084,0.587862620873625,0.577246249806691,0.420930557032915,0.463148873653281,0.419534983622402,0.995687340066031,0.802341936060011,"quantor",3600,"memout" "eijk.S713.S-f2",1,2127,96,2223,5998,13994,2,1,3,1,3998,1999,4702,3526,2.20373457819273,0.129376458819607,1063.5,0.0646882294098033,0.0646882294098033,2.69815564552407,8.08333333333333,4.04166666666667,7.3783175888439,16.1666666666667,8.08333333333333,32.7672778561354,0.571387737601829,0.400886094040303,0.575578756241489,0.951475737868934,0.0277261683578677,1,0.750125062531266,0.987178869525585,0.568199185909416,0.5,0.575578756241489,0.99441963576993,1,0.333277759253084,0.587862620873625,0.577246249806691,0.420930557032915,0.463148873653281,0.419534983622402,0.995687340066031,0.802341936060011,"QuBE",3600,"timeout" "eijk.S713.S-f2",1,2127,96,2223,5998,13994,2,1,3,1,3998,1999,4702,3526,2.20373457819273,0.129376458819607,1063.5,0.0646882294098033,0.0646882294098033,2.69815564552407,8.08333333333333,4.04166666666667,7.3783175888439,16.1666666666667,8.08333333333333,32.7672778561354,0.571387737601829,0.400886094040303,0.575578756241489,0.951475737868934,0.0277261683578677,1,0.750125062531266,0.987178869525585,0.568199185909416,0.5,0.575578756241489,0.99441963576993,1,0.333277759253084,0.587862620873625,0.577246249806691,0.420930557032915,0.463148873653281,0.419534983622402,0.995687340066031,0.802341936060011,"sKizzo",3600,"memout" "eijk.S713.S-f2",1,2127,96,2223,5998,13994,2,1,3,1,3998,1999,4702,3526,2.20373457819273,0.129376458819607,1063.5,0.0646882294098033,0.0646882294098033,2.69815564552407,8.08333333333333,4.04166666666667,7.3783175888439,16.1666666666667,8.08333333333333,32.7672778561354,0.571387737601829,0.400886094040303,0.575578756241489,0.951475737868934,0.0277261683578677,1,0.750125062531266,0.987178869525585,0.568199185909416,0.5,0.575578756241489,0.99441963576993,1,0.333277759253084,0.587862620873625,0.577246249806691,0.420930557032915,0.463148873653281,0.419534983622402,0.995687340066031,0.802341936060011,"sSolve",3600,"timeout" "emptyroom_e3_ser---19_-shuffled",1,928,6,934,6023,20394,2,1,3,2,2242,3779,4472,2785,2.36593059936909,1.02008965631745,464,0.510044828158725,0.510044828158725,9.28693790149893,1024,512,22.1306209850107,2048,1024,182.657327586207,0.574678827106012,0.274688633911935,0.606877192982456,0.737883959044369,0.150632538982054,1,0.740102389078498,0.70325260472147,0.426787966710966,0.5,0.606877192982456,0.742654760503707,1,0.627428191930931,0.462394155736344,0.569787287183116,0.304290015889945,19.9062565041835,0.406230773533195,0.707301311245683,34.9362945645821,"X2clsQ",3600,"timeout" "emptyroom_e3_ser---19_-shuffled",1,928,6,934,6023,20394,2,1,3,2,2242,3779,4472,2785,2.36593059936909,1.02008965631745,464,0.510044828158725,0.510044828158725,9.28693790149893,1024,512,22.1306209850107,2048,1024,182.657327586207,0.574678827106012,0.274688633911935,0.606877192982456,0.737883959044369,0.150632538982054,1,0.740102389078498,0.70325260472147,0.426787966710966,0.5,0.606877192982456,0.742654760503707,1,0.627428191930931,0.462394155736344,0.569787287183116,0.304290015889945,19.9062565041835,0.406230773533195,0.707301311245683,34.9362945645821,"quantor",117.25,"ok" "emptyroom_e3_ser---19_-shuffled",1,928,6,934,6023,20394,2,1,3,2,2242,3779,4472,2785,2.36593059936909,1.02008965631745,464,0.510044828158725,0.510044828158725,9.28693790149893,1024,512,22.1306209850107,2048,1024,182.657327586207,0.574678827106012,0.274688633911935,0.606877192982456,0.737883959044369,0.150632538982054,1,0.740102389078498,0.70325260472147,0.426787966710966,0.5,0.606877192982456,0.742654760503707,1,0.627428191930931,0.462394155736344,0.569787287183116,0.304290015889945,19.9062565041835,0.406230773533195,0.707301311245683,34.9362945645821,"QuBE",3600,"timeout" "emptyroom_e3_ser---19_-shuffled",1,928,6,934,6023,20394,2,1,3,2,2242,3779,4472,2785,2.36593059936909,1.02008965631745,464,0.510044828158725,0.510044828158725,9.28693790149893,1024,512,22.1306209850107,2048,1024,182.657327586207,0.574678827106012,0.274688633911935,0.606877192982456,0.737883959044369,0.150632538982054,1,0.740102389078498,0.70325260472147,0.426787966710966,0.5,0.606877192982456,0.742654760503707,1,0.627428191930931,0.462394155736344,0.569787287183116,0.304290015889945,19.9062565041835,0.406230773533195,0.707301311245683,34.9362945645821,"sKizzo",537.72,"ok" "emptyroom_e3_ser---19_-shuffled",1,928,6,934,6023,20394,2,1,3,2,2242,3779,4472,2785,2.36593059936909,1.02008965631745,464,0.510044828158725,0.510044828158725,9.28693790149893,1024,512,22.1306209850107,2048,1024,182.657327586207,0.574678827106012,0.274688633911935,0.606877192982456,0.737883959044369,0.150632538982054,1,0.740102389078498,0.70325260472147,0.426787966710966,0.5,0.606877192982456,0.742654760503707,1,0.627428191930931,0.462394155736344,0.569787287183116,0.304290015889945,19.9062565041835,0.406230773533195,0.707301311245683,34.9362945645821,"sSolve",3600,"timeout" "emptyroom_e3_ser--opt-20_-shuffled",1,976,6,982,6286,21090,2,1,3,2,2360,3924,4702,2930,2.37766465160675,0.977410117721922,488,0.488705058860961,0.488705058860961,9.12627291242362,1024,512,21.806517311609,2048,1024,180.928278688525,0.575059269796112,0.279279279279279,0.605914625986886,0.746701846965699,0.145661450924609,1,0.738951187335092,0.713033719655808,0.432037559561287,0.5,0.605914625986886,0.751292227172455,1,0.62424435252943,0.466115176582883,0.569706225133625,0.30872227928344,20.2059237230238,0.407439030898127,0.717468616364046,35.4672686230248,"X2clsQ",3600,"timeout" "emptyroom_e3_ser--opt-20_-shuffled",1,976,6,982,6286,21090,2,1,3,2,2360,3924,4702,2930,2.37766465160675,0.977410117721922,488,0.488705058860961,0.488705058860961,9.12627291242362,1024,512,21.806517311609,2048,1024,180.928278688525,0.575059269796112,0.279279279279279,0.605914625986886,0.746701846965699,0.145661450924609,1,0.738951187335092,0.713033719655808,0.432037559561287,0.5,0.605914625986886,0.751292227172455,1,0.62424435252943,0.466115176582883,0.569706225133625,0.30872227928344,20.2059237230238,0.407439030898127,0.717468616364046,35.4672686230248,"quantor",7.66,"ok" "emptyroom_e3_ser--opt-20_-shuffled",1,976,6,982,6286,21090,2,1,3,2,2360,3924,4702,2930,2.37766465160675,0.977410117721922,488,0.488705058860961,0.488705058860961,9.12627291242362,1024,512,21.806517311609,2048,1024,180.928278688525,0.575059269796112,0.279279279279279,0.605914625986886,0.746701846965699,0.145661450924609,1,0.738951187335092,0.713033719655808,0.432037559561287,0.5,0.605914625986886,0.751292227172455,1,0.62424435252943,0.466115176582883,0.569706225133625,0.30872227928344,20.2059237230238,0.407439030898127,0.717468616364046,35.4672686230248,"QuBE",3600,"timeout" "emptyroom_e3_ser--opt-20_-shuffled",1,976,6,982,6286,21090,2,1,3,2,2360,3924,4702,2930,2.37766465160675,0.977410117721922,488,0.488705058860961,0.488705058860961,9.12627291242362,1024,512,21.806517311609,2048,1024,180.928278688525,0.575059269796112,0.279279279279279,0.605914625986886,0.746701846965699,0.145661450924609,1,0.738951187335092,0.713033719655808,0.432037559561287,0.5,0.605914625986886,0.751292227172455,1,0.62424435252943,0.466115176582883,0.569706225133625,0.30872227928344,20.2059237230238,0.407439030898127,0.717468616364046,35.4672686230248,"sKizzo",11.31,"ok" "emptyroom_e3_ser--opt-20_-shuffled",1,976,6,982,6286,21090,2,1,3,2,2360,3924,4702,2930,2.37766465160675,0.977410117721922,488,0.488705058860961,0.488705058860961,9.12627291242362,1024,512,21.806517311609,2048,1024,180.928278688525,0.575059269796112,0.279279279279279,0.605914625986886,0.746701846965699,0.145661450924609,1,0.738951187335092,0.713033719655808,0.432037559561287,0.5,0.605914625986886,0.751292227172455,1,0.62424435252943,0.466115176582883,0.569706225133625,0.30872227928344,20.2059237230238,0.407439030898127,0.717468616364046,35.4672686230248,"sSolve",3600,"timeout" "emptyroom_e4_par---21_-shuffled",1,2048,8,2056,19681,104306,2,1,3,2,5082,14597,10396,6455,1.96992022763071,3.3299120979625,1024,1.66495604898125,1.66495604898125,22.397859922179,8192,4096,48.7227626459144,16384,8192,432.0908203125,0.558510536306636,0.127336874197074,0.657415527469693,0.437517165613842,0.31415258949629,1,0.790476517440264,0.373146753901981,0.245312470040074,0.5,0.657415527469693,0.439226217042021,1,0.741679792693461,0.327981301763122,0.568077748937161,0.149932757377353,72.6212326345472,0.343446962936522,0.347129042341326,127.836784261339,"X2clsQ",3600,"timeout" "emptyroom_e4_par---21_-shuffled",1,2048,8,2056,19681,104306,2,1,3,2,5082,14597,10396,6455,1.96992022763071,3.3299120979625,1024,1.66495604898125,1.66495604898125,22.397859922179,8192,4096,48.7227626459144,16384,8192,432.0908203125,0.558510536306636,0.127336874197074,0.657415527469693,0.437517165613842,0.31415258949629,1,0.790476517440264,0.373146753901981,0.245312470040074,0.5,0.657415527469693,0.439226217042021,1,0.741679792693461,0.327981301763122,0.568077748937161,0.149932757377353,72.6212326345472,0.343446962936522,0.347129042341326,127.836784261339,"quantor",344.07,"ok" "emptyroom_e4_par---21_-shuffled",1,2048,8,2056,19681,104306,2,1,3,2,5082,14597,10396,6455,1.96992022763071,3.3299120979625,1024,1.66495604898125,1.66495604898125,22.397859922179,8192,4096,48.7227626459144,16384,8192,432.0908203125,0.558510536306636,0.127336874197074,0.657415527469693,0.437517165613842,0.31415258949629,1,0.790476517440264,0.373146753901981,0.245312470040074,0.5,0.657415527469693,0.439226217042021,1,0.741679792693461,0.327981301763122,0.568077748937161,0.149932757377353,72.6212326345472,0.343446962936522,0.347129042341326,127.836784261339,"QuBE",3600,"timeout" "emptyroom_e4_par---21_-shuffled",1,2048,8,2056,19681,104306,2,1,3,2,5082,14597,10396,6455,1.96992022763071,3.3299120979625,1024,1.66495604898125,1.66495604898125,22.397859922179,8192,4096,48.7227626459144,16384,8192,432.0908203125,0.558510536306636,0.127336874197074,0.657415527469693,0.437517165613842,0.31415258949629,1,0.790476517440264,0.373146753901981,0.245312470040074,0.5,0.657415527469693,0.439226217042021,1,0.741679792693461,0.327981301763122,0.568077748937161,0.149932757377353,72.6212326345472,0.343446962936522,0.347129042341326,127.836784261339,"sKizzo",64.64,"ok" "emptyroom_e4_par---21_-shuffled",1,2048,8,2056,19681,104306,2,1,3,2,5082,14597,10396,6455,1.96992022763071,3.3299120979625,1024,1.66495604898125,1.66495604898125,22.397859922179,8192,4096,48.7227626459144,16384,8192,432.0908203125,0.558510536306636,0.127336874197074,0.657415527469693,0.437517165613842,0.31415258949629,1,0.790476517440264,0.373146753901981,0.245312470040074,0.5,0.657415527469693,0.439226217042021,1,0.741679792693461,0.327981301763122,0.568077748937161,0.149932757377353,72.6212326345472,0.343446962936522,0.347129042341326,127.836784261339,"sSolve",3600,"timeout" "emptyroom_e4_ser--opt-44_-shuffled",1,4256,8,4264,32438,138146,2,1,3,2,10824,21612,21658,13470,2.23842407053456,2.02034650718293,2128,1.01017325359147,1.01017325359147,14.0792682926829,8192,4096,31.9939024390244,16384,8192,312.776785714286,0.565430776135393,0.197370897456314,0.624486985263738,0.580499795165916,0.237198326408293,1,0.768563088897993,0.526591323399925,0.328849428016061,0.5,0.624486985263738,0.581590960194423,1,0.666255626117517,0.415253714778963,0.568030549627312,0.224876808377407,110.605254991862,0.383702677247188,0.520584981607822,194.717088833393,"X2clsQ",3600,"timeout" "emptyroom_e4_ser--opt-44_-shuffled",1,4256,8,4264,32438,138146,2,1,3,2,10824,21612,21658,13470,2.23842407053456,2.02034650718293,2128,1.01017325359147,1.01017325359147,14.0792682926829,8192,4096,31.9939024390244,16384,8192,312.776785714286,0.565430776135393,0.197370897456314,0.624486985263738,0.580499795165916,0.237198326408293,1,0.768563088897993,0.526591323399925,0.328849428016061,0.5,0.624486985263738,0.581590960194423,1,0.666255626117517,0.415253714778963,0.568030549627312,0.224876808377407,110.605254991862,0.383702677247188,0.520584981607822,194.717088833393,"quantor",3600,"timeout" "emptyroom_e4_ser--opt-44_-shuffled",1,4256,8,4264,32438,138146,2,1,3,2,10824,21612,21658,13470,2.23842407053456,2.02034650718293,2128,1.01017325359147,1.01017325359147,14.0792682926829,8192,4096,31.9939024390244,16384,8192,312.776785714286,0.565430776135393,0.197370897456314,0.624486985263738,0.580499795165916,0.237198326408293,1,0.768563088897993,0.526591323399925,0.328849428016061,0.5,0.624486985263738,0.581590960194423,1,0.666255626117517,0.415253714778963,0.568030549627312,0.224876808377407,110.605254991862,0.383702677247188,0.520584981607822,194.717088833393,"QuBE",3600,"timeout" "emptyroom_e4_ser--opt-44_-shuffled",1,4256,8,4264,32438,138146,2,1,3,2,10824,21612,21658,13470,2.23842407053456,2.02034650718293,2128,1.01017325359147,1.01017325359147,14.0792682926829,8192,4096,31.9939024390244,16384,8192,312.776785714286,0.565430776135393,0.197370897456314,0.624486985263738,0.580499795165916,0.237198326408293,1,0.768563088897993,0.526591323399925,0.328849428016061,0.5,0.624486985263738,0.581590960194423,1,0.666255626117517,0.415253714778963,0.568030549627312,0.224876808377407,110.605254991862,0.383702677247188,0.520584981607822,194.717088833393,"sKizzo",3600,"memout" "emptyroom_e4_ser--opt-44_-shuffled",1,4256,8,4264,32438,138146,2,1,3,2,10824,21612,21658,13470,2.23842407053456,2.02034650718293,2128,1.01017325359147,1.01017325359147,14.0792682926829,8192,4096,31.9939024390244,16384,8192,312.776785714286,0.565430776135393,0.197370897456314,0.624486985263738,0.580499795165916,0.237198326408293,1,0.768563088897993,0.526591323399925,0.328849428016061,0.5,0.624486985263738,0.581590960194423,1,0.666255626117517,0.415253714778963,0.568030549627312,0.224876808377407,110.605254991862,0.383702677247188,0.520584981607822,194.717088833393,"sSolve",3600,"timeout" "ev-pr-4x4-11-3-0-0-1-s-shuffled",1,7214,240,7454,67639,222663,6,5,11,32,8285,59322,42769,20281,2.93710729017283,0.354824879137776,1202.33333333333,0.177412439568888,0.177412439568888,14.4766568285484,100,50,133.931982828012,600,300,407.495702800111,0.515370762093388,0.430736134876473,0.517227666953585,0.895428481795841,0.0538931030301397,1,0.940350663157711,0.921896537292303,0.476830395156287,0.5,0.517227666953585,0.925218173455254,1,0.877038395008797,0.299841807241384,0.438312048174469,0.538517638114406,1.25814896479242,0.567126431011165,0.958748779218391,2.87044120742859,"X2clsQ",3600,"timeout" "ev-pr-4x4-11-3-0-0-1-s-shuffled",1,7214,240,7454,67639,222663,6,5,11,32,8285,59322,42769,20281,2.93710729017283,0.354824879137776,1202.33333333333,0.177412439568888,0.177412439568888,14.4766568285484,100,50,133.931982828012,600,300,407.495702800111,0.515370762093388,0.430736134876473,0.517227666953585,0.895428481795841,0.0538931030301397,1,0.940350663157711,0.921896537292303,0.476830395156287,0.5,0.517227666953585,0.925218173455254,1,0.877038395008797,0.299841807241384,0.438312048174469,0.538517638114406,1.25814896479242,0.567126431011165,0.958748779218391,2.87044120742859,"quantor",3600,"timeout" "ev-pr-4x4-11-3-0-0-1-s-shuffled",1,7214,240,7454,67639,222663,6,5,11,32,8285,59322,42769,20281,2.93710729017283,0.354824879137776,1202.33333333333,0.177412439568888,0.177412439568888,14.4766568285484,100,50,133.931982828012,600,300,407.495702800111,0.515370762093388,0.430736134876473,0.517227666953585,0.895428481795841,0.0538931030301397,1,0.940350663157711,0.921896537292303,0.476830395156287,0.5,0.517227666953585,0.925218173455254,1,0.877038395008797,0.299841807241384,0.438312048174469,0.538517638114406,1.25814896479242,0.567126431011165,0.958748779218391,2.87044120742859,"QuBE",3600,"timeout" "ev-pr-4x4-11-3-0-0-1-s-shuffled",1,7214,240,7454,67639,222663,6,5,11,32,8285,59322,42769,20281,2.93710729017283,0.354824879137776,1202.33333333333,0.177412439568888,0.177412439568888,14.4766568285484,100,50,133.931982828012,600,300,407.495702800111,0.515370762093388,0.430736134876473,0.517227666953585,0.895428481795841,0.0538931030301397,1,0.940350663157711,0.921896537292303,0.476830395156287,0.5,0.517227666953585,0.925218173455254,1,0.877038395008797,0.299841807241384,0.438312048174469,0.538517638114406,1.25814896479242,0.567126431011165,0.958748779218391,2.87044120742859,"sKizzo",3600,"memout" "ev-pr-4x4-11-3-0-0-1-s-shuffled",1,7214,240,7454,67639,222663,6,5,11,32,8285,59322,42769,20281,2.93710729017283,0.354824879137776,1202.33333333333,0.177412439568888,0.177412439568888,14.4766568285484,100,50,133.931982828012,600,300,407.495702800111,0.515370762093388,0.430736134876473,0.517227666953585,0.895428481795841,0.0538931030301397,1,0.940350663157711,0.921896537292303,0.476830395156287,0.5,0.517227666953585,0.925218173455254,1,0.877038395008797,0.299841807241384,0.438312048174469,0.538517638114406,1.25814896479242,0.567126431011165,0.958748779218391,2.87044120742859,"sSolve",3600,"timeout" "ev-pr-4x4-13-3-0-0-1-s-shuffled",1,8621,288,8909,79735,265253,7,6,13,32,8287,71416,49652,24272,2.96548567128614,0.36119646328463,1231.57142857143,0.180598231642315,0.180598231642315,14.6308227634976,100,50,160.265349646425,700,350,482.138962997332,0.508597452243707,0.437114754592785,0.509644622821449,0.89325980119638,0.054287793163508,1,0.966191524531714,0.92120404841812,0.469486689797644,0.5,0.509644622821449,0.923100750360578,1,0.895666896594971,0.304408352668213,0.429459210523687,0.54797614177474,1.24599158057098,0.576721303638288,0.960450421568833,2.90130366292902,"X2clsQ",3600,"timeout" "ev-pr-4x4-13-3-0-0-1-s-shuffled",1,8621,288,8909,79735,265253,7,6,13,32,8287,71416,49652,24272,2.96548567128614,0.36119646328463,1231.57142857143,0.180598231642315,0.180598231642315,14.6308227634976,100,50,160.265349646425,700,350,482.138962997332,0.508597452243707,0.437114754592785,0.509644622821449,0.89325980119638,0.054287793163508,1,0.966191524531714,0.92120404841812,0.469486689797644,0.5,0.509644622821449,0.923100750360578,1,0.895666896594971,0.304408352668213,0.429459210523687,0.54797614177474,1.24599158057098,0.576721303638288,0.960450421568833,2.90130366292902,"quantor",3600,"timeout" "ev-pr-4x4-13-3-0-0-1-s-shuffled",1,8621,288,8909,79735,265253,7,6,13,32,8287,71416,49652,24272,2.96548567128614,0.36119646328463,1231.57142857143,0.180598231642315,0.180598231642315,14.6308227634976,100,50,160.265349646425,700,350,482.138962997332,0.508597452243707,0.437114754592785,0.509644622821449,0.89325980119638,0.054287793163508,1,0.966191524531714,0.92120404841812,0.469486689797644,0.5,0.509644622821449,0.923100750360578,1,0.895666896594971,0.304408352668213,0.429459210523687,0.54797614177474,1.24599158057098,0.576721303638288,0.960450421568833,2.90130366292902,"QuBE",3600,"timeout" "ev-pr-4x4-13-3-0-0-1-s-shuffled",1,8621,288,8909,79735,265253,7,6,13,32,8287,71416,49652,24272,2.96548567128614,0.36119646328463,1231.57142857143,0.180598231642315,0.180598231642315,14.6308227634976,100,50,160.265349646425,700,350,482.138962997332,0.508597452243707,0.437114754592785,0.509644622821449,0.89325980119638,0.054287793163508,1,0.966191524531714,0.92120404841812,0.469486689797644,0.5,0.509644622821449,0.923100750360578,1,0.895666896594971,0.304408352668213,0.429459210523687,0.54797614177474,1.24599158057098,0.576721303638288,0.960450421568833,2.90130366292902,"sKizzo",3600,"memout" "ev-pr-4x4-13-3-0-0-1-s-shuffled",1,8621,288,8909,79735,265253,7,6,13,32,8287,71416,49652,24272,2.96548567128614,0.36119646328463,1231.57142857143,0.180598231642315,0.180598231642315,14.6308227634976,100,50,160.265349646425,700,350,482.138962997332,0.508597452243707,0.437114754592785,0.509644622821449,0.89325980119638,0.054287793163508,1,0.966191524531714,0.92120404841812,0.469486689797644,0.5,0.509644622821449,0.923100750360578,1,0.895666896594971,0.304408352668213,0.429459210523687,0.54797614177474,1.24599158057098,0.576721303638288,0.960450421568833,2.90130366292902,"sSolve",3600,"timeout" "ev-pr-4x4-15-3-0-0-1-s-shuffled",1,10028,336,10364,91831,307843,8,7,15,32,8289,83510,56535,28263,2.98638803889754,0.365889514434124,1253.5,0.182944757217062,0.182944757217062,14.7417020455423,100,50,186.56860285604,800,400,556.822297566813,0.503698313750841,0.441728413509484,0.504151427748384,0.89165484328647,0.0545732727396757,1,0.985315361795434,0.920702553116474,0.464173506685253,0.5,0.504151427748384,0.921530793360687,1,0.909387897333145,0.307771885311061,0.423081892005513,0.554804537471107,1.2369028854006,0.583624870531533,0.961669480959347,2.92355430183357,"X2clsQ",3600,"timeout" "ev-pr-4x4-15-3-0-0-1-s-shuffled",1,10028,336,10364,91831,307843,8,7,15,32,8289,83510,56535,28263,2.98638803889754,0.365889514434124,1253.5,0.182944757217062,0.182944757217062,14.7417020455423,100,50,186.56860285604,800,400,556.822297566813,0.503698313750841,0.441728413509484,0.504151427748384,0.89165484328647,0.0545732727396757,1,0.985315361795434,0.920702553116474,0.464173506685253,0.5,0.504151427748384,0.921530793360687,1,0.909387897333145,0.307771885311061,0.423081892005513,0.554804537471107,1.2369028854006,0.583624870531533,0.961669480959347,2.92355430183357,"quantor",3600,"timeout" "ev-pr-4x4-15-3-0-0-1-s-shuffled",1,10028,336,10364,91831,307843,8,7,15,32,8289,83510,56535,28263,2.98638803889754,0.365889514434124,1253.5,0.182944757217062,0.182944757217062,14.7417020455423,100,50,186.56860285604,800,400,556.822297566813,0.503698313750841,0.441728413509484,0.504151427748384,0.89165484328647,0.0545732727396757,1,0.985315361795434,0.920702553116474,0.464173506685253,0.5,0.504151427748384,0.921530793360687,1,0.909387897333145,0.307771885311061,0.423081892005513,0.554804537471107,1.2369028854006,0.583624870531533,0.961669480959347,2.92355430183357,"QuBE",3600,"timeout" "ev-pr-4x4-15-3-0-0-1-s-shuffled",1,10028,336,10364,91831,307843,8,7,15,32,8289,83510,56535,28263,2.98638803889754,0.365889514434124,1253.5,0.182944757217062,0.182944757217062,14.7417020455423,100,50,186.56860285604,800,400,556.822297566813,0.503698313750841,0.441728413509484,0.504151427748384,0.89165484328647,0.0545732727396757,1,0.985315361795434,0.920702553116474,0.464173506685253,0.5,0.504151427748384,0.921530793360687,1,0.909387897333145,0.307771885311061,0.423081892005513,0.554804537471107,1.2369028854006,0.583624870531533,0.961669480959347,2.92355430183357,"sKizzo",3600,"memout" "ev-pr-4x4-15-3-0-0-1-s-shuffled",1,10028,336,10364,91831,307843,8,7,15,32,8289,83510,56535,28263,2.98638803889754,0.365889514434124,1253.5,0.182944757217062,0.182944757217062,14.7417020455423,100,50,186.56860285604,800,400,556.822297566813,0.503698313750841,0.441728413509484,0.504151427748384,0.89165484328647,0.0545732727396757,1,0.985315361795434,0.920702553116474,0.464173506685253,0.5,0.504151427748384,0.921530793360687,1,0.909387897333145,0.307771885311061,0.423081892005513,0.554804537471107,1.2369028854006,0.583624870531533,0.961669480959347,2.92355430183357,"sSolve",3600,"timeout" "ev-pr-4x4-17-3-0-0-1-lg-shuffled",1,2978,48,3026,19900,75946,9,8,17,32,1320,18548,6707,10374,3.51246231155779,0.30391959798995,330.888888888889,0.151959798994975,0.151959798994975,14.7442167878387,126,63,187.867812293457,1134,567,3320.3354600403,0.412529955494694,0.547652279251047,0.40496151535094,0.903479093520587,0.0398177652542596,1,1.42406639004149,0.93519908820726,0.378719639915229,0.5,0.40496151535094,0.918041550367125,1,0.932060301507538,0.521306532663317,0.368454731079349,0.611002484489435,1.90605385300737,0.640011729622737,0.967472190130843,5.17310185548112,"X2clsQ",3600,"timeout" "ev-pr-4x4-17-3-0-0-1-lg-shuffled",1,2978,48,3026,19900,75946,9,8,17,32,1320,18548,6707,10374,3.51246231155779,0.30391959798995,330.888888888889,0.151959798994975,0.151959798994975,14.7442167878387,126,63,187.867812293457,1134,567,3320.3354600403,0.412529955494694,0.547652279251047,0.40496151535094,0.903479093520587,0.0398177652542596,1,1.42406639004149,0.93519908820726,0.378719639915229,0.5,0.40496151535094,0.918041550367125,1,0.932060301507538,0.521306532663317,0.368454731079349,0.611002484489435,1.90605385300737,0.640011729622737,0.967472190130843,5.17310185548112,"quantor",3600,"timeout" "ev-pr-4x4-17-3-0-0-1-lg-shuffled",1,2978,48,3026,19900,75946,9,8,17,32,1320,18548,6707,10374,3.51246231155779,0.30391959798995,330.888888888889,0.151959798994975,0.151959798994975,14.7442167878387,126,63,187.867812293457,1134,567,3320.3354600403,0.412529955494694,0.547652279251047,0.40496151535094,0.903479093520587,0.0398177652542596,1,1.42406639004149,0.93519908820726,0.378719639915229,0.5,0.40496151535094,0.918041550367125,1,0.932060301507538,0.521306532663317,0.368454731079349,0.611002484489435,1.90605385300737,0.640011729622737,0.967472190130843,5.17310185548112,"QuBE",3600,"memout" "ev-pr-4x4-17-3-0-0-1-lg-shuffled",1,2978,48,3026,19900,75946,9,8,17,32,1320,18548,6707,10374,3.51246231155779,0.30391959798995,330.888888888889,0.151959798994975,0.151959798994975,14.7442167878387,126,63,187.867812293457,1134,567,3320.3354600403,0.412529955494694,0.547652279251047,0.40496151535094,0.903479093520587,0.0398177652542596,1,1.42406639004149,0.93519908820726,0.378719639915229,0.5,0.40496151535094,0.918041550367125,1,0.932060301507538,0.521306532663317,0.368454731079349,0.611002484489435,1.90605385300737,0.640011729622737,0.967472190130843,5.17310185548112,"sKizzo",3600,"memout" "ev-pr-4x4-17-3-0-0-1-lg-shuffled",1,2978,48,3026,19900,75946,9,8,17,32,1320,18548,6707,10374,3.51246231155779,0.30391959798995,330.888888888889,0.151959798994975,0.151959798994975,14.7442167878387,126,63,187.867812293457,1134,567,3320.3354600403,0.412529955494694,0.547652279251047,0.40496151535094,0.903479093520587,0.0398177652542596,1,1.42406639004149,0.93519908820726,0.378719639915229,0.5,0.40496151535094,0.918041550367125,1,0.932060301507538,0.521306532663317,0.368454731079349,0.611002484489435,1.90605385300737,0.640011729622737,0.967472190130843,5.17310185548112,"sSolve",1993.48,"ok" "ev-pr-4x4-17-3-0-0-1-s-shuffled",1,11435,384,11819,103927,350433,9,8,17,32,8291,95604,63418,32254,3.00242477893137,0.36949012287471,1270.55555555556,0.184745061437355,0.184745061437355,14.8252813266774,100,50,212.85286403249,900,450,631.530913860953,0.499990012356142,0.445220627052818,0.49998878323767,0.890419089907712,0.0547893605910402,1,1.00003995137347,0.920322614285001,0.46015098410247,0.5,0.49998878323767,0.920320351868758,1,0.91991494029463,0.310352458937523,0.41827005900527,0.55996513209481,1.22985647685563,0.588828802926617,0.962586060358689,2.94034069706179,"X2clsQ",3600,"timeout" "ev-pr-4x4-17-3-0-0-1-s-shuffled",1,11435,384,11819,103927,350433,9,8,17,32,8291,95604,63418,32254,3.00242477893137,0.36949012287471,1270.55555555556,0.184745061437355,0.184745061437355,14.8252813266774,100,50,212.85286403249,900,450,631.530913860953,0.499990012356142,0.445220627052818,0.49998878323767,0.890419089907712,0.0547893605910402,1,1.00003995137347,0.920322614285001,0.46015098410247,0.5,0.49998878323767,0.920320351868758,1,0.91991494029463,0.310352458937523,0.41827005900527,0.55996513209481,1.22985647685563,0.588828802926617,0.962586060358689,2.94034069706179,"quantor",3600,"timeout" "ev-pr-4x4-17-3-0-0-1-s-shuffled",1,11435,384,11819,103927,350433,9,8,17,32,8291,95604,63418,32254,3.00242477893137,0.36949012287471,1270.55555555556,0.184745061437355,0.184745061437355,14.8252813266774,100,50,212.85286403249,900,450,631.530913860953,0.499990012356142,0.445220627052818,0.49998878323767,0.890419089907712,0.0547893605910402,1,1.00003995137347,0.920322614285001,0.46015098410247,0.5,0.49998878323767,0.920320351868758,1,0.91991494029463,0.310352458937523,0.41827005900527,0.55996513209481,1.22985647685563,0.588828802926617,0.962586060358689,2.94034069706179,"QuBE",3600,"timeout" "ev-pr-4x4-17-3-0-0-1-s-shuffled",1,11435,384,11819,103927,350433,9,8,17,32,8291,95604,63418,32254,3.00242477893137,0.36949012287471,1270.55555555556,0.184745061437355,0.184745061437355,14.8252813266774,100,50,212.85286403249,900,450,631.530913860953,0.499990012356142,0.445220627052818,0.49998878323767,0.890419089907712,0.0547893605910402,1,1.00003995137347,0.920322614285001,0.46015098410247,0.5,0.49998878323767,0.920320351868758,1,0.91991494029463,0.310352458937523,0.41827005900527,0.55996513209481,1.22985647685563,0.588828802926617,0.962586060358689,2.94034069706179,"sKizzo",3600,"memout" "ev-pr-4x4-17-3-0-0-1-s-shuffled",1,11435,384,11819,103927,350433,9,8,17,32,8291,95604,63418,32254,3.00242477893137,0.36949012287471,1270.55555555556,0.184745061437355,0.184745061437355,14.8252813266774,100,50,212.85286403249,900,450,631.530913860953,0.499990012356142,0.445220627052818,0.49998878323767,0.890419089907712,0.0547893605910402,1,1.00003995137347,0.920322614285001,0.46015098410247,0.5,0.49998878323767,0.920320351868758,1,0.91991494029463,0.310352458937523,0.41827005900527,0.55996513209481,1.22985647685563,0.588828802926617,0.962586060358689,2.94034069706179,"sSolve",3600,"timeout" "ev-pr-4x4-5-3-0-0-1-lg-shuffled",1,884,12,896,6046,21280,3,2,5,32,1308,4706,2861,3132,3.26959973536222,0.250082699305326,294.666666666667,0.125041349652663,0.125041349652663,13.0580357142857,126,63,48.4151785714286,378,189,1182.78167420814,0.450187969924812,0.514285714285714,0.446377984621611,0.921085594989562,0.0355263157894737,1,1.22129436325678,0.941557513693737,0.420290545367945,0.5,0.446377984621611,0.933589019355936,1,0.778365861726761,0.518028448561032,0.422923428936306,0.554329822912305,2.25275368488267,0.582026162296846,0.960582790409493,5.32662304982386,"X2clsQ",1.13,"ok" "ev-pr-4x4-5-3-0-0-1-lg-shuffled",1,884,12,896,6046,21280,3,2,5,32,1308,4706,2861,3132,3.26959973536222,0.250082699305326,294.666666666667,0.125041349652663,0.125041349652663,13.0580357142857,126,63,48.4151785714286,378,189,1182.78167420814,0.450187969924812,0.514285714285714,0.446377984621611,0.921085594989562,0.0355263157894737,1,1.22129436325678,0.941557513693737,0.420290545367945,0.5,0.446377984621611,0.933589019355936,1,0.778365861726761,0.518028448561032,0.422923428936306,0.554329822912305,2.25275368488267,0.582026162296846,0.960582790409493,5.32662304982386,"quantor",493.81,"ok" "ev-pr-4x4-5-3-0-0-1-lg-shuffled",1,884,12,896,6046,21280,3,2,5,32,1308,4706,2861,3132,3.26959973536222,0.250082699305326,294.666666666667,0.125041349652663,0.125041349652663,13.0580357142857,126,63,48.4151785714286,378,189,1182.78167420814,0.450187969924812,0.514285714285714,0.446377984621611,0.921085594989562,0.0355263157894737,1,1.22129436325678,0.941557513693737,0.420290545367945,0.5,0.446377984621611,0.933589019355936,1,0.778365861726761,0.518028448561032,0.422923428936306,0.554329822912305,2.25275368488267,0.582026162296846,0.960582790409493,5.32662304982386,"QuBE",0.34,"ok" "ev-pr-4x4-5-3-0-0-1-lg-shuffled",1,884,12,896,6046,21280,3,2,5,32,1308,4706,2861,3132,3.26959973536222,0.250082699305326,294.666666666667,0.125041349652663,0.125041349652663,13.0580357142857,126,63,48.4151785714286,378,189,1182.78167420814,0.450187969924812,0.514285714285714,0.446377984621611,0.921085594989562,0.0355263157894737,1,1.22129436325678,0.941557513693737,0.420290545367945,0.5,0.446377984621611,0.933589019355936,1,0.778365861726761,0.518028448561032,0.422923428936306,0.554329822912305,2.25275368488267,0.582026162296846,0.960582790409493,5.32662304982386,"sKizzo",20.11,"ok" "ev-pr-4x4-5-3-0-0-1-lg-shuffled",1,884,12,896,6046,21280,3,2,5,32,1308,4706,2861,3132,3.26959973536222,0.250082699305326,294.666666666667,0.125041349652663,0.125041349652663,13.0580357142857,126,63,48.4151785714286,378,189,1182.78167420814,0.450187969924812,0.514285714285714,0.446377984621611,0.921085594989562,0.0355263157894737,1,1.22129436325678,0.941557513693737,0.420290545367945,0.5,0.446377984621611,0.933589019355936,1,0.778365861726761,0.518028448561032,0.422923428936306,0.554329822912305,2.25275368488267,0.582026162296846,0.960582790409493,5.32662304982386,"sSolve",1.86,"ok" "ev-pr-4x4-5-3-0-0-1-s-shuffled",1,2993,96,3089,31351,94893,3,2,5,32,8279,23040,22120,8308,2.72058307549998,0.306210328219196,997.666666666667,0.153105164109598,0.153105164109598,13.1427646487536,100,50,54.3256717384267,300,150,184.371533578349,0.572170760751583,0.37724595070237,0.580293810746486,0.911594069435491,0.0505832885460466,1,0.747729993553734,0.92766336229954,0.538317307598698,0.5,0.580293810746486,0.940833304539336,1,0.734904787726069,0.264999521546362,0.513781483874519,0.458753090955061,1.34251036544908,0.484963820229692,0.943512177633047,2.61299873114338,"X2clsQ",3600,"timeout" "ev-pr-4x4-5-3-0-0-1-s-shuffled",1,2993,96,3089,31351,94893,3,2,5,32,8279,23040,22120,8308,2.72058307549998,0.306210328219196,997.666666666667,0.153105164109598,0.153105164109598,13.1427646487536,100,50,54.3256717384267,300,150,184.371533578349,0.572170760751583,0.37724595070237,0.580293810746486,0.911594069435491,0.0505832885460466,1,0.747729993553734,0.92766336229954,0.538317307598698,0.5,0.580293810746486,0.940833304539336,1,0.734904787726069,0.264999521546362,0.513781483874519,0.458753090955061,1.34251036544908,0.484963820229692,0.943512177633047,2.61299873114338,"quantor",3600,"timeout" "ev-pr-4x4-5-3-0-0-1-s-shuffled",1,2993,96,3089,31351,94893,3,2,5,32,8279,23040,22120,8308,2.72058307549998,0.306210328219196,997.666666666667,0.153105164109598,0.153105164109598,13.1427646487536,100,50,54.3256717384267,300,150,184.371533578349,0.572170760751583,0.37724595070237,0.580293810746486,0.911594069435491,0.0505832885460466,1,0.747729993553734,0.92766336229954,0.538317307598698,0.5,0.580293810746486,0.940833304539336,1,0.734904787726069,0.264999521546362,0.513781483874519,0.458753090955061,1.34251036544908,0.484963820229692,0.943512177633047,2.61299873114338,"QuBE",3600,"timeout" "ev-pr-4x4-5-3-0-0-1-s-shuffled",1,2993,96,3089,31351,94893,3,2,5,32,8279,23040,22120,8308,2.72058307549998,0.306210328219196,997.666666666667,0.153105164109598,0.153105164109598,13.1427646487536,100,50,54.3256717384267,300,150,184.371533578349,0.572170760751583,0.37724595070237,0.580293810746486,0.911594069435491,0.0505832885460466,1,0.747729993553734,0.92766336229954,0.538317307598698,0.5,0.580293810746486,0.940833304539336,1,0.734904787726069,0.264999521546362,0.513781483874519,0.458753090955061,1.34251036544908,0.484963820229692,0.943512177633047,2.61299873114338,"sKizzo",2.27,"ok" "ev-pr-4x4-5-3-0-0-1-s-shuffled",1,2993,96,3089,31351,94893,3,2,5,32,8279,23040,22120,8308,2.72058307549998,0.306210328219196,997.666666666667,0.153105164109598,0.153105164109598,13.1427646487536,100,50,54.3256717384267,300,150,184.371533578349,0.572170760751583,0.37724595070237,0.580293810746486,0.911594069435491,0.0505832885460466,1,0.747729993553734,0.92766336229954,0.538317307598698,0.5,0.580293810746486,0.940833304539336,1,0.734904787726069,0.264999521546362,0.513781483874519,0.458753090955061,1.34251036544908,0.484963820229692,0.943512177633047,2.61299873114338,"sSolve",3600,"timeout" "ev-pr-4x4-7-3-0-0-1-s-shuffled",1,4400,144,4544,43447,137483,4,3,7,32,8281,35134,29003,12299,2.83294588809354,0.331438304140677,1100,0.165719152070339,0.165719152070339,13.872139084507,100,50,81.059198943662,400,200,258.483181818182,0.541506949950176,0.406122938836074,0.546363023325723,0.903288201160542,0.0523701112137501,1,0.846698366645175,0.924559188474873,0.505144953458709,0.5,0.546363023325723,0.93285036047125,1,0.808663429005455,0.283080534904596,0.472807581272391,0.501875693770454,1.3007590146407,0.529635655444266,0.951978207466909,2.7511382350092,"X2clsQ",3600,"timeout" "ev-pr-4x4-7-3-0-0-1-s-shuffled",1,4400,144,4544,43447,137483,4,3,7,32,8281,35134,29003,12299,2.83294588809354,0.331438304140677,1100,0.165719152070339,0.165719152070339,13.872139084507,100,50,81.059198943662,400,200,258.483181818182,0.541506949950176,0.406122938836074,0.546363023325723,0.903288201160542,0.0523701112137501,1,0.846698366645175,0.924559188474873,0.505144953458709,0.5,0.546363023325723,0.93285036047125,1,0.808663429005455,0.283080534904596,0.472807581272391,0.501875693770454,1.3007590146407,0.529635655444266,0.951978207466909,2.7511382350092,"quantor",3600,"timeout" "ev-pr-4x4-7-3-0-0-1-s-shuffled",1,4400,144,4544,43447,137483,4,3,7,32,8281,35134,29003,12299,2.83294588809354,0.331438304140677,1100,0.165719152070339,0.165719152070339,13.872139084507,100,50,81.059198943662,400,200,258.483181818182,0.541506949950176,0.406122938836074,0.546363023325723,0.903288201160542,0.0523701112137501,1,0.846698366645175,0.924559188474873,0.505144953458709,0.5,0.546363023325723,0.93285036047125,1,0.808663429005455,0.283080534904596,0.472807581272391,0.501875693770454,1.3007590146407,0.529635655444266,0.951978207466909,2.7511382350092,"QuBE",3600,"timeout" "ev-pr-4x4-7-3-0-0-1-s-shuffled",1,4400,144,4544,43447,137483,4,3,7,32,8281,35134,29003,12299,2.83294588809354,0.331438304140677,1100,0.165719152070339,0.165719152070339,13.872139084507,100,50,81.059198943662,400,200,258.483181818182,0.541506949950176,0.406122938836074,0.546363023325723,0.903288201160542,0.0523701112137501,1,0.846698366645175,0.924559188474873,0.505144953458709,0.5,0.546363023325723,0.93285036047125,1,0.808663429005455,0.283080534904596,0.472807581272391,0.501875693770454,1.3007590146407,0.529635655444266,0.951978207466909,2.7511382350092,"sKizzo",589.95,"ok" "ev-pr-4x4-7-3-0-0-1-s-shuffled",1,4400,144,4544,43447,137483,4,3,7,32,8281,35134,29003,12299,2.83294588809354,0.331438304140677,1100,0.165719152070339,0.165719152070339,13.872139084507,100,50,81.059198943662,400,200,258.483181818182,0.541506949950176,0.406122938836074,0.546363023325723,0.903288201160542,0.0523701112137501,1,0.846698366645175,0.924559188474873,0.505144953458709,0.5,0.546363023325723,0.93285036047125,1,0.808663429005455,0.283080534904596,0.472807581272391,0.501875693770454,1.3007590146407,0.529635655444266,0.951978207466909,2.7511382350092,"sSolve",3600,"timeout" "ev-pr-4x4-9-3-0-0-1-lg-shuffled",1,1582,24,1606,10664,39502,5,4,9,32,1312,9320,4143,5546,3.42066766691673,0.283570892723181,316.4,0.14178544636159,0.14178544636159,14.1170610211706,126,63,94.7845579078456,630,315,1889.63780025284,0.42605437699357,0.53566908004658,0.419924337957125,0.910160427807487,0.0382765429598501,1,1.34711824123589,0.937456222511354,0.393660683601867,0.5,0.419924337957125,0.923968171339332,1,0.873968492123031,0.52006751687922,0.387985172438748,0.59044349931397,2.03392488119457,0.619264916140853,0.964753585573672,5.24227477150733,"X2clsQ",99.43,"ok" "ev-pr-4x4-9-3-0-0-1-lg-shuffled",1,1582,24,1606,10664,39502,5,4,9,32,1312,9320,4143,5546,3.42066766691673,0.283570892723181,316.4,0.14178544636159,0.14178544636159,14.1170610211706,126,63,94.7845579078456,630,315,1889.63780025284,0.42605437699357,0.53566908004658,0.419924337957125,0.910160427807487,0.0382765429598501,1,1.34711824123589,0.937456222511354,0.393660683601867,0.5,0.419924337957125,0.923968171339332,1,0.873968492123031,0.52006751687922,0.387985172438748,0.59044349931397,2.03392488119457,0.619264916140853,0.964753585573672,5.24227477150733,"quantor",3600,"memout" "ev-pr-4x4-9-3-0-0-1-lg-shuffled",1,1582,24,1606,10664,39502,5,4,9,32,1312,9320,4143,5546,3.42066766691673,0.283570892723181,316.4,0.14178544636159,0.14178544636159,14.1170610211706,126,63,94.7845579078456,630,315,1889.63780025284,0.42605437699357,0.53566908004658,0.419924337957125,0.910160427807487,0.0382765429598501,1,1.34711824123589,0.937456222511354,0.393660683601867,0.5,0.419924337957125,0.923968171339332,1,0.873968492123031,0.52006751687922,0.387985172438748,0.59044349931397,2.03392488119457,0.619264916140853,0.964753585573672,5.24227477150733,"QuBE",10.96,"ok" "ev-pr-4x4-9-3-0-0-1-lg-shuffled",1,1582,24,1606,10664,39502,5,4,9,32,1312,9320,4143,5546,3.42066766691673,0.283570892723181,316.4,0.14178544636159,0.14178544636159,14.1170610211706,126,63,94.7845579078456,630,315,1889.63780025284,0.42605437699357,0.53566908004658,0.419924337957125,0.910160427807487,0.0382765429598501,1,1.34711824123589,0.937456222511354,0.393660683601867,0.5,0.419924337957125,0.923968171339332,1,0.873968492123031,0.52006751687922,0.387985172438748,0.59044349931397,2.03392488119457,0.619264916140853,0.964753585573672,5.24227477150733,"sKizzo",3600,"memout" "ev-pr-4x4-9-3-0-0-1-lg-shuffled",1,1582,24,1606,10664,39502,5,4,9,32,1312,9320,4143,5546,3.42066766691673,0.283570892723181,316.4,0.14178544636159,0.14178544636159,14.1170610211706,126,63,94.7845579078456,630,315,1889.63780025284,0.42605437699357,0.53566908004658,0.419924337957125,0.910160427807487,0.0382765429598501,1,1.34711824123589,0.937456222511354,0.393660683601867,0.5,0.419924337957125,0.923968171339332,1,0.873968492123031,0.52006751687922,0.387985172438748,0.59044349931397,2.03392488119457,0.619264916140853,0.964753585573672,5.24227477150733,"sSolve",112.22,"ok" "ev-pr-4x4-9-3-0-0-1-s-shuffled",1,5807,192,5999,55543,180073,5,4,9,32,8283,47228,35886,16290,2.89636857929892,0.345678123255856,1161.4,0.172839061627928,0.172839061627928,14.2477079513252,100,50,107.546591098516,500,250,332.921646288962,0.525348053289499,0.421340234238337,0.528373313110342,0.898521157281635,0.0533117124721641,1,0.903499963002505,0.922914770752364,0.487643535140898,0.5,0.528373313110342,0.92822945109911,1,0.850296166933727,0.29328628269989,0.451422138441145,0.524552834963532,1.27520978572054,0.552895569869297,0.956204892178745,2.82487205905343,"X2clsQ",3600,"timeout" "ev-pr-4x4-9-3-0-0-1-s-shuffled",1,5807,192,5999,55543,180073,5,4,9,32,8283,47228,35886,16290,2.89636857929892,0.345678123255856,1161.4,0.172839061627928,0.172839061627928,14.2477079513252,100,50,107.546591098516,500,250,332.921646288962,0.525348053289499,0.421340234238337,0.528373313110342,0.898521157281635,0.0533117124721641,1,0.903499963002505,0.922914770752364,0.487643535140898,0.5,0.528373313110342,0.92822945109911,1,0.850296166933727,0.29328628269989,0.451422138441145,0.524552834963532,1.27520978572054,0.552895569869297,0.956204892178745,2.82487205905343,"quantor",3600,"timeout" "ev-pr-4x4-9-3-0-0-1-s-shuffled",1,5807,192,5999,55543,180073,5,4,9,32,8283,47228,35886,16290,2.89636857929892,0.345678123255856,1161.4,0.172839061627928,0.172839061627928,14.2477079513252,100,50,107.546591098516,500,250,332.921646288962,0.525348053289499,0.421340234238337,0.528373313110342,0.898521157281635,0.0533117124721641,1,0.903499963002505,0.922914770752364,0.487643535140898,0.5,0.528373313110342,0.92822945109911,1,0.850296166933727,0.29328628269989,0.451422138441145,0.524552834963532,1.27520978572054,0.552895569869297,0.956204892178745,2.82487205905343,"QuBE",3600,"timeout" "ev-pr-4x4-9-3-0-0-1-s-shuffled",1,5807,192,5999,55543,180073,5,4,9,32,8283,47228,35886,16290,2.89636857929892,0.345678123255856,1161.4,0.172839061627928,0.172839061627928,14.2477079513252,100,50,107.546591098516,500,250,332.921646288962,0.525348053289499,0.421340234238337,0.528373313110342,0.898521157281635,0.0533117124721641,1,0.903499963002505,0.922914770752364,0.487643535140898,0.5,0.528373313110342,0.92822945109911,1,0.850296166933727,0.29328628269989,0.451422138441145,0.524552834963532,1.27520978572054,0.552895569869297,0.956204892178745,2.82487205905343,"sKizzo",1541.15,"ok" "ev-pr-4x4-9-3-0-0-1-s-shuffled",1,5807,192,5999,55543,180073,5,4,9,32,8283,47228,35886,16290,2.89636857929892,0.345678123255856,1161.4,0.172839061627928,0.172839061627928,14.2477079513252,100,50,107.546591098516,500,250,332.921646288962,0.525348053289499,0.421340234238337,0.528373313110342,0.898521157281635,0.0533117124721641,1,0.903499963002505,0.922914770752364,0.487643535140898,0.5,0.528373313110342,0.92822945109911,1,0.850296166933727,0.29328628269989,0.451422138441145,0.524552834963532,1.27520978572054,0.552895569869297,0.956204892178745,2.82487205905343,"sSolve",3600,"timeout" "ev-pr-6x6-11-5-0-1-2-lg-shuffled",1,4663,35,4698,38208,149621,6,5,11,72,4134,34002,15556,18357,3.68328622278057,0.23267378559464,777.166666666667,0.11633689279732,0.11633689279732,17.7718177948063,254,127,144.631119625372,1524,762,12174.2794338409,0.441976727865741,0.528314875585646,0.43831138839346,0.932782894040436,0.0297083965486128,1,1.26256256710369,0.947643128035435,0.415362775150733,0.5,0.43831138839346,0.939784266824355,1,0.889918341708543,0.480449120603015,0.394605705243632,0.58599776938582,3.18956566055253,0.610653011933237,0.96796050848422,8.08291826035124,"X2clsQ",3600,"timeout" "ev-pr-6x6-11-5-0-1-2-lg-shuffled",1,4663,35,4698,38208,149621,6,5,11,72,4134,34002,15556,18357,3.68328622278057,0.23267378559464,777.166666666667,0.11633689279732,0.11633689279732,17.7718177948063,254,127,144.631119625372,1524,762,12174.2794338409,0.441976727865741,0.528314875585646,0.43831138839346,0.932782894040436,0.0297083965486128,1,1.26256256710369,0.947643128035435,0.415362775150733,0.5,0.43831138839346,0.939784266824355,1,0.889918341708543,0.480449120603015,0.394605705243632,0.58599776938582,3.18956566055253,0.610653011933237,0.96796050848422,8.08291826035124,"quantor",3600,"timeout" "ev-pr-6x6-11-5-0-1-2-lg-shuffled",1,4663,35,4698,38208,149621,6,5,11,72,4134,34002,15556,18357,3.68328622278057,0.23267378559464,777.166666666667,0.11633689279732,0.11633689279732,17.7718177948063,254,127,144.631119625372,1524,762,12174.2794338409,0.441976727865741,0.528314875585646,0.43831138839346,0.932782894040436,0.0297083965486128,1,1.26256256710369,0.947643128035435,0.415362775150733,0.5,0.43831138839346,0.939784266824355,1,0.889918341708543,0.480449120603015,0.394605705243632,0.58599776938582,3.18956566055253,0.610653011933237,0.96796050848422,8.08291826035124,"QuBE",3600,"memout" "ev-pr-6x6-11-5-0-1-2-lg-shuffled",1,4663,35,4698,38208,149621,6,5,11,72,4134,34002,15556,18357,3.68328622278057,0.23267378559464,777.166666666667,0.11633689279732,0.11633689279732,17.7718177948063,254,127,144.631119625372,1524,762,12174.2794338409,0.441976727865741,0.528314875585646,0.43831138839346,0.932782894040436,0.0297083965486128,1,1.26256256710369,0.947643128035435,0.415362775150733,0.5,0.43831138839346,0.939784266824355,1,0.889918341708543,0.480449120603015,0.394605705243632,0.58599776938582,3.18956566055253,0.610653011933237,0.96796050848422,8.08291826035124,"sKizzo",3600,"memout" "ev-pr-6x6-11-5-0-1-2-lg-shuffled",1,4663,35,4698,38208,149621,6,5,11,72,4134,34002,15556,18357,3.68328622278057,0.23267378559464,777.166666666667,0.11633689279732,0.11633689279732,17.7718177948063,254,127,144.631119625372,1524,762,12174.2794338409,0.441976727865741,0.528314875585646,0.43831138839346,0.932782894040436,0.0297083965486128,1,1.26256256710369,0.947643128035435,0.415362775150733,0.5,0.43831138839346,0.939784266824355,1,0.889918341708543,0.480449120603015,0.394605705243632,0.58599776938582,3.18956566055253,0.610653011933237,0.96796050848422,8.08291826035124,"sSolve",3600,"timeout" "ev-pr-6x6-13-5-0-1-2-lg-shuffled",1,5502,42,5544,44920,177145,7,6,13,72,4136,40712,17713,21606,3.70607747105966,0.237488869100623,786,0.118744434550312,0.118744434550312,17.9442640692641,254,127,172.709415584416,1778,889,14059.9292984369,0.438409212791781,0.531479861130712,0.434462418231948,0.931317761582241,0.0301109260775071,1,1.28097396410085,0.946952026836169,0.411415067528886,0.5,0.434462418231948,0.938427057472182,1,0.906322350845948,0.480988423864648,0.389208229700843,0.591454502623479,3.14397383581296,0.616333425543701,0.968340654514374,8.07787090789297,"X2clsQ",3600,"timeout" "ev-pr-6x6-13-5-0-1-2-lg-shuffled",1,5502,42,5544,44920,177145,7,6,13,72,4136,40712,17713,21606,3.70607747105966,0.237488869100623,786,0.118744434550312,0.118744434550312,17.9442640692641,254,127,172.709415584416,1778,889,14059.9292984369,0.438409212791781,0.531479861130712,0.434462418231948,0.931317761582241,0.0301109260775071,1,1.28097396410085,0.946952026836169,0.411415067528886,0.5,0.434462418231948,0.938427057472182,1,0.906322350845948,0.480988423864648,0.389208229700843,0.591454502623479,3.14397383581296,0.616333425543701,0.968340654514374,8.07787090789297,"quantor",3600,"timeout" "ev-pr-6x6-13-5-0-1-2-lg-shuffled",1,5502,42,5544,44920,177145,7,6,13,72,4136,40712,17713,21606,3.70607747105966,0.237488869100623,786,0.118744434550312,0.118744434550312,17.9442640692641,254,127,172.709415584416,1778,889,14059.9292984369,0.438409212791781,0.531479861130712,0.434462418231948,0.931317761582241,0.0301109260775071,1,1.28097396410085,0.946952026836169,0.411415067528886,0.5,0.434462418231948,0.938427057472182,1,0.906322350845948,0.480988423864648,0.389208229700843,0.591454502623479,3.14397383581296,0.616333425543701,0.968340654514374,8.07787090789297,"QuBE",3600,"memout" "ev-pr-6x6-13-5-0-1-2-lg-shuffled",1,5502,42,5544,44920,177145,7,6,13,72,4136,40712,17713,21606,3.70607747105966,0.237488869100623,786,0.118744434550312,0.118744434550312,17.9442640692641,254,127,172.709415584416,1778,889,14059.9292984369,0.438409212791781,0.531479861130712,0.434462418231948,0.931317761582241,0.0301109260775071,1,1.28097396410085,0.946952026836169,0.411415067528886,0.5,0.434462418231948,0.938427057472182,1,0.906322350845948,0.480988423864648,0.389208229700843,0.591454502623479,3.14397383581296,0.616333425543701,0.968340654514374,8.07787090789297,"sKizzo",3600,"memout" "ev-pr-6x6-13-5-0-1-2-lg-shuffled",1,5502,42,5544,44920,177145,7,6,13,72,4136,40712,17713,21606,3.70607747105966,0.237488869100623,786,0.118744434550312,0.118744434550312,17.9442640692641,254,127,172.709415584416,1778,889,14059.9292984369,0.438409212791781,0.531479861130712,0.434462418231948,0.931317761582241,0.0301109260775071,1,1.28097396410085,0.946952026836169,0.411415067528886,0.5,0.434462418231948,0.938427057472182,1,0.906322350845948,0.480988423864648,0.389208229700843,0.591454502623479,3.14397383581296,0.616333425543701,0.968340654514374,8.07787090789297,"sSolve",3600,"timeout" "ev-pr-6x6-15-5-0-1-2-lg-shuffled",1,6341,49,6390,51632,204669,8,7,15,72,4138,47422,19870,24855,3.72294313603967,0.241052060737527,792.625,0.120526030368764,0.120526030368764,18.071048513302,254,127,200.803912363067,2032,1016,15948.213373285,0.435801220507258,0.533793588672442,0.431644496236142,0.930231515219463,0.0304051908203001,1,1.29462413812433,0.946447194656452,0.408528722551595,0.5,0.431644496236142,0.937419867883988,1,0.918461419274868,0.4813875116207,0.385266168576226,0.595449021697069,3.11034563707748,0.620480979123496,0.968629008619813,8.07323842779121,"X2clsQ",3600,"timeout" "ev-pr-6x6-15-5-0-1-2-lg-shuffled",1,6341,49,6390,51632,204669,8,7,15,72,4138,47422,19870,24855,3.72294313603967,0.241052060737527,792.625,0.120526030368764,0.120526030368764,18.071048513302,254,127,200.803912363067,2032,1016,15948.213373285,0.435801220507258,0.533793588672442,0.431644496236142,0.930231515219463,0.0304051908203001,1,1.29462413812433,0.946447194656452,0.408528722551595,0.5,0.431644496236142,0.937419867883988,1,0.918461419274868,0.4813875116207,0.385266168576226,0.595449021697069,3.11034563707748,0.620480979123496,0.968629008619813,8.07323842779121,"quantor",3600,"timeout" "ev-pr-6x6-15-5-0-1-2-lg-shuffled",1,6341,49,6390,51632,204669,8,7,15,72,4138,47422,19870,24855,3.72294313603967,0.241052060737527,792.625,0.120526030368764,0.120526030368764,18.071048513302,254,127,200.803912363067,2032,1016,15948.213373285,0.435801220507258,0.533793588672442,0.431644496236142,0.930231515219463,0.0304051908203001,1,1.29462413812433,0.946447194656452,0.408528722551595,0.5,0.431644496236142,0.937419867883988,1,0.918461419274868,0.4813875116207,0.385266168576226,0.595449021697069,3.11034563707748,0.620480979123496,0.968629008619813,8.07323842779121,"QuBE",3600,"memout" "ev-pr-6x6-15-5-0-1-2-lg-shuffled",1,6341,49,6390,51632,204669,8,7,15,72,4138,47422,19870,24855,3.72294313603967,0.241052060737527,792.625,0.120526030368764,0.120526030368764,18.071048513302,254,127,200.803912363067,2032,1016,15948.213373285,0.435801220507258,0.533793588672442,0.431644496236142,0.930231515219463,0.0304051908203001,1,1.29462413812433,0.946447194656452,0.408528722551595,0.5,0.431644496236142,0.937419867883988,1,0.918461419274868,0.4813875116207,0.385266168576226,0.595449021697069,3.11034563707748,0.620480979123496,0.968629008619813,8.07323842779121,"sKizzo",3600,"memout" "ev-pr-6x6-15-5-0-1-2-lg-shuffled",1,6341,49,6390,51632,204669,8,7,15,72,4138,47422,19870,24855,3.72294313603967,0.241052060737527,792.625,0.120526030368764,0.120526030368764,18.071048513302,254,127,200.803912363067,2032,1016,15948.213373285,0.435801220507258,0.533793588672442,0.431644496236142,0.930231515219463,0.0304051908203001,1,1.29462413812433,0.946447194656452,0.408528722551595,0.5,0.431644496236142,0.937419867883988,1,0.918461419274868,0.4813875116207,0.385266168576226,0.595449021697069,3.11034563707748,0.620480979123496,0.968629008619813,8.07323842779121,"sSolve",3600,"timeout" "ev-pr-6x6-5-5-0-1-2-lg-shuffled",1,2146,14,2160,18072,67049,3,2,5,72,4128,13872,9085,8610,3.51333554670208,0.196768481629039,715.333333333333,0.0983842408145197,0.0983842408145197,16.4439814814815,254,127,60.6837962962963,762,381,6564.03122087605,0.470253098480216,0.503228981789438,0.468587088340447,0.94360926102125,0.0265179197303465,1,1.12651443070092,0.953141932322881,0.446630002842366,0.5,0.468587088340447,0.949765146228285,1,0.767596281540505,0.476427622841965,0.437329097894443,0.543295133397262,3.53269944839132,0.565678604686734,0.96556465131609,8.07789709260095,"X2clsQ",7.17,"ok" "ev-pr-6x6-5-5-0-1-2-lg-shuffled",1,2146,14,2160,18072,67049,3,2,5,72,4128,13872,9085,8610,3.51333554670208,0.196768481629039,715.333333333333,0.0983842408145197,0.0983842408145197,16.4439814814815,254,127,60.6837962962963,762,381,6564.03122087605,0.470253098480216,0.503228981789438,0.468587088340447,0.94360926102125,0.0265179197303465,1,1.12651443070092,0.953141932322881,0.446630002842366,0.5,0.468587088340447,0.949765146228285,1,0.767596281540505,0.476427622841965,0.437329097894443,0.543295133397262,3.53269944839132,0.565678604686734,0.96556465131609,8.07789709260095,"quantor",3600,"timeout" "ev-pr-6x6-5-5-0-1-2-lg-shuffled",1,2146,14,2160,18072,67049,3,2,5,72,4128,13872,9085,8610,3.51333554670208,0.196768481629039,715.333333333333,0.0983842408145197,0.0983842408145197,16.4439814814815,254,127,60.6837962962963,762,381,6564.03122087605,0.470253098480216,0.503228981789438,0.468587088340447,0.94360926102125,0.0265179197303465,1,1.12651443070092,0.953141932322881,0.446630002842366,0.5,0.468587088340447,0.949765146228285,1,0.767596281540505,0.476427622841965,0.437329097894443,0.543295133397262,3.53269944839132,0.565678604686734,0.96556465131609,8.07789709260095,"QuBE",1.29,"ok" "ev-pr-6x6-5-5-0-1-2-lg-shuffled",1,2146,14,2160,18072,67049,3,2,5,72,4128,13872,9085,8610,3.51333554670208,0.196768481629039,715.333333333333,0.0983842408145197,0.0983842408145197,16.4439814814815,254,127,60.6837962962963,762,381,6564.03122087605,0.470253098480216,0.503228981789438,0.468587088340447,0.94360926102125,0.0265179197303465,1,1.12651443070092,0.953141932322881,0.446630002842366,0.5,0.468587088340447,0.949765146228285,1,0.767596281540505,0.476427622841965,0.437329097894443,0.543295133397262,3.53269944839132,0.565678604686734,0.96556465131609,8.07789709260095,"sKizzo",0.26,"ok" "ev-pr-6x6-5-5-0-1-2-lg-shuffled",1,2146,14,2160,18072,67049,3,2,5,72,4128,13872,9085,8610,3.51333554670208,0.196768481629039,715.333333333333,0.0983842408145197,0.0983842408145197,16.4439814814815,254,127,60.6837962962963,762,381,6564.03122087605,0.470253098480216,0.503228981789438,0.468587088340447,0.94360926102125,0.0265179197303465,1,1.12651443070092,0.953141932322881,0.446630002842366,0.5,0.468587088340447,0.949765146228285,1,0.767596281540505,0.476427622841965,0.437329097894443,0.543295133397262,3.53269944839132,0.565678604686734,0.96556465131609,8.07789709260095,"sSolve",1,"ok" "ev-pr-6x6-5-5-0-1-2-s-shuffled",1,16145,240,16385,212391,632205,3,2,5,72,58187,154132,159052,46740,2.70089128070398,0.27571789765103,5381.66666666667,0.137858948825515,0.137858948825515,15.5267622825755,244,122,65.3854134879463,732,366,229.201486528337,0.597589389517641,0.356096519325219,0.607551708809455,0.9224984714094,0.0463140911571405,1,0.673389818395496,0.920860156877083,0.559470161885215,0.5,0.607551708809455,0.936211672594799,1,0.725699299876172,0.220065821998107,0.532713011535403,0.435350581347282,2.61567570891895,0.464572288818002,0.931655689317927,4.9101029114719,"X2clsQ",3600,"timeout" "ev-pr-6x6-5-5-0-1-2-s-shuffled",1,16145,240,16385,212391,632205,3,2,5,72,58187,154132,159052,46740,2.70089128070398,0.27571789765103,5381.66666666667,0.137858948825515,0.137858948825515,15.5267622825755,244,122,65.3854134879463,732,366,229.201486528337,0.597589389517641,0.356096519325219,0.607551708809455,0.9224984714094,0.0463140911571405,1,0.673389818395496,0.920860156877083,0.559470161885215,0.5,0.607551708809455,0.936211672594799,1,0.725699299876172,0.220065821998107,0.532713011535403,0.435350581347282,2.61567570891895,0.464572288818002,0.931655689317927,4.9101029114719,"quantor",3600,"timeout" "ev-pr-6x6-5-5-0-1-2-s-shuffled",1,16145,240,16385,212391,632205,3,2,5,72,58187,154132,159052,46740,2.70089128070398,0.27571789765103,5381.66666666667,0.137858948825515,0.137858948825515,15.5267622825755,244,122,65.3854134879463,732,366,229.201486528337,0.597589389517641,0.356096519325219,0.607551708809455,0.9224984714094,0.0463140911571405,1,0.673389818395496,0.920860156877083,0.559470161885215,0.5,0.607551708809455,0.936211672594799,1,0.725699299876172,0.220065821998107,0.532713011535403,0.435350581347282,2.61567570891895,0.464572288818002,0.931655689317927,4.9101029114719,"QuBE",3600,"memout" "ev-pr-6x6-5-5-0-1-2-s-shuffled",1,16145,240,16385,212391,632205,3,2,5,72,58187,154132,159052,46740,2.70089128070398,0.27571789765103,5381.66666666667,0.137858948825515,0.137858948825515,15.5267622825755,244,122,65.3854134879463,732,366,229.201486528337,0.597589389517641,0.356096519325219,0.607551708809455,0.9224984714094,0.0463140911571405,1,0.673389818395496,0.920860156877083,0.559470161885215,0.5,0.607551708809455,0.936211672594799,1,0.725699299876172,0.220065821998107,0.532713011535403,0.435350581347282,2.61567570891895,0.464572288818002,0.931655689317927,4.9101029114719,"sKizzo",91.29,"ok" "ev-pr-6x6-5-5-0-1-2-s-shuffled",1,16145,240,16385,212391,632205,3,2,5,72,58187,154132,159052,46740,2.70089128070398,0.27571789765103,5381.66666666667,0.137858948825515,0.137858948825515,15.5267622825755,244,122,65.3854134879463,732,366,229.201486528337,0.597589389517641,0.356096519325219,0.607551708809455,0.9224984714094,0.0463140911571405,1,0.673389818395496,0.920860156877083,0.559470161885215,0.5,0.607551708809455,0.936211672594799,1,0.725699299876172,0.220065821998107,0.532713011535403,0.435350581347282,2.61567570891895,0.464572288818002,0.931655689317927,4.9101029114719,"sSolve",3600,"timeout" "ev-pr-6x6-7-5-0-1-2-s-shuffled",1,23988,360,24348,293055,911607,4,3,7,72,58189,234794,209391,69687,2.81096381225367,0.299738956851103,5997,0.149869478425552,0.149869478425552,16.2455643173977,244,122,96.616929521932,976,488,316.101050525263,0.566099207224166,0.385722136841863,0.573147504087928,0.914893617021276,0.0481786559339715,1,0.766474828508313,0.917204109164554,0.525693245906855,0.5,0.573147504087928,0.928623886411291,1,0.801194315060313,0.237794953165788,0.49022668423677,0.478782403282496,2.57480111739616,0.510578784447811,0.939206483504664,5.25226634981089,"X2clsQ",3600,"timeout" "ev-pr-6x6-7-5-0-1-2-s-shuffled",1,23988,360,24348,293055,911607,4,3,7,72,58189,234794,209391,69687,2.81096381225367,0.299738956851103,5997,0.149869478425552,0.149869478425552,16.2455643173977,244,122,96.616929521932,976,488,316.101050525263,0.566099207224166,0.385722136841863,0.573147504087928,0.914893617021276,0.0481786559339715,1,0.766474828508313,0.917204109164554,0.525693245906855,0.5,0.573147504087928,0.928623886411291,1,0.801194315060313,0.237794953165788,0.49022668423677,0.478782403282496,2.57480111739616,0.510578784447811,0.939206483504664,5.25226634981089,"quantor",3600,"timeout" "ev-pr-6x6-7-5-0-1-2-s-shuffled",1,23988,360,24348,293055,911607,4,3,7,72,58189,234794,209391,69687,2.81096381225367,0.299738956851103,5997,0.149869478425552,0.149869478425552,16.2455643173977,244,122,96.616929521932,976,488,316.101050525263,0.566099207224166,0.385722136841863,0.573147504087928,0.914893617021276,0.0481786559339715,1,0.766474828508313,0.917204109164554,0.525693245906855,0.5,0.573147504087928,0.928623886411291,1,0.801194315060313,0.237794953165788,0.49022668423677,0.478782403282496,2.57480111739616,0.510578784447811,0.939206483504664,5.25226634981089,"QuBE",3600,"timeout" "ev-pr-6x6-7-5-0-1-2-s-shuffled",1,23988,360,24348,293055,911607,4,3,7,72,58189,234794,209391,69687,2.81096381225367,0.299738956851103,5997,0.149869478425552,0.149869478425552,16.2455643173977,244,122,96.616929521932,976,488,316.101050525263,0.566099207224166,0.385722136841863,0.573147504087928,0.914893617021276,0.0481786559339715,1,0.766474828508313,0.917204109164554,0.525693245906855,0.5,0.573147504087928,0.928623886411291,1,0.801194315060313,0.237794953165788,0.49022668423677,0.478782403282496,2.57480111739616,0.510578784447811,0.939206483504664,5.25226634981089,"sKizzo",155.64,"ok" "ev-pr-6x6-7-5-0-1-2-s-shuffled",1,23988,360,24348,293055,911607,4,3,7,72,58189,234794,209391,69687,2.81096381225367,0.299738956851103,5997,0.149869478425552,0.149869478425552,16.2455643173977,244,122,96.616929521932,976,488,316.101050525263,0.566099207224166,0.385722136841863,0.573147504087928,0.914893617021276,0.0481786559339715,1,0.766474828508313,0.917204109164554,0.525693245906855,0.5,0.573147504087928,0.928623886411291,1,0.801194315060313,0.237794953165788,0.49022668423677,0.478782403282496,2.57480111739616,0.510578784447811,0.939206483504664,5.25226634981089,"sSolve",3600,"timeout" "ev-pr-8x8-11-7-0-1-2-lg-shuffled",1,8797,40,8837,78971,314239,6,5,11,128,8606,70237,32445,37587,3.72084689316331,0.258322675412493,1466.16666666667,0.129161337706247,0.129161337706247,19.6879031345479,510,255,159.32578929501,3060,1530,27570.8529043992,0.446338614875938,0.521202015026779,0.442613131680955,0.92727635697327,0.0324593700972826,1,1.24045145696828,0.939333078651884,0.415761155633623,0.5,0.442613131680955,0.931492686890166,1,0.889402438869965,0.475959529447519,0.399722620025674,0.576795895957708,5.76444275232901,0.605798430043556,0.960882277427108,14.4211071966824,"X2clsQ",3600,"timeout" "ev-pr-8x8-11-7-0-1-2-lg-shuffled",1,8797,40,8837,78971,314239,6,5,11,128,8606,70237,32445,37587,3.72084689316331,0.258322675412493,1466.16666666667,0.129161337706247,0.129161337706247,19.6879031345479,510,255,159.32578929501,3060,1530,27570.8529043992,0.446338614875938,0.521202015026779,0.442613131680955,0.92727635697327,0.0324593700972826,1,1.24045145696828,0.939333078651884,0.415761155633623,0.5,0.442613131680955,0.931492686890166,1,0.889402438869965,0.475959529447519,0.399722620025674,0.576795895957708,5.76444275232901,0.605798430043556,0.960882277427108,14.4211071966824,"quantor",3600,"timeout" "ev-pr-8x8-11-7-0-1-2-lg-shuffled",1,8797,40,8837,78971,314239,6,5,11,128,8606,70237,32445,37587,3.72084689316331,0.258322675412493,1466.16666666667,0.129161337706247,0.129161337706247,19.6879031345479,510,255,159.32578929501,3060,1530,27570.8529043992,0.446338614875938,0.521202015026779,0.442613131680955,0.92727635697327,0.0324593700972826,1,1.24045145696828,0.939333078651884,0.415761155633623,0.5,0.442613131680955,0.931492686890166,1,0.889402438869965,0.475959529447519,0.399722620025674,0.576795895957708,5.76444275232901,0.605798430043556,0.960882277427108,14.4211071966824,"QuBE",3600,"memout" "ev-pr-8x8-11-7-0-1-2-lg-shuffled",1,8797,40,8837,78971,314239,6,5,11,128,8606,70237,32445,37587,3.72084689316331,0.258322675412493,1466.16666666667,0.129161337706247,0.129161337706247,19.6879031345479,510,255,159.32578929501,3060,1530,27570.8529043992,0.446338614875938,0.521202015026779,0.442613131680955,0.92727635697327,0.0324593700972826,1,1.24045145696828,0.939333078651884,0.415761155633623,0.5,0.442613131680955,0.931492686890166,1,0.889402438869965,0.475959529447519,0.399722620025674,0.576795895957708,5.76444275232901,0.605798430043556,0.960882277427108,14.4211071966824,"sKizzo",2.29,"ok" "ev-pr-8x8-11-7-0-1-2-lg-shuffled",1,8797,40,8837,78971,314239,6,5,11,128,8606,70237,32445,37587,3.72084689316331,0.258322675412493,1466.16666666667,0.129161337706247,0.129161337706247,19.6879031345479,510,255,159.32578929501,3060,1530,27570.8529043992,0.446338614875938,0.521202015026779,0.442613131680955,0.92727635697327,0.0324593700972826,1,1.24045145696828,0.939333078651884,0.415761155633623,0.5,0.442613131680955,0.931492686890166,1,0.889402438869965,0.475959529447519,0.399722620025674,0.576795895957708,5.76444275232901,0.605798430043556,0.960882277427108,14.4211071966824,"sSolve",3600,"timeout" "ev-pr-8x8-13-7-0-1-2-lg-shuffled",1,10381,48,10429,92842,371997,7,6,13,128,8608,84106,36938,44237,3.7431011826544,0.263673768337606,1483,0.131836884168803,0.131836884168803,19.8755393613961,510,255,190.214785693739,3570,1785,31818.6287448223,0.442785828917975,0.524310679924838,0.438755514118734,0.925689827884528,0.0329034911571867,1,1.25842819415354,0.938512569255698,0.411777564830677,0.5,0.438755514118734,0.929970062133488,1,0.905904655220698,0.476476163805174,0.394307174425794,0.582212051815766,5.68389933362339,0.611528071099598,0.96123319813772,14.4149021429816,"X2clsQ",3600,"timeout" "ev-pr-8x8-13-7-0-1-2-lg-shuffled",1,10381,48,10429,92842,371997,7,6,13,128,8608,84106,36938,44237,3.7431011826544,0.263673768337606,1483,0.131836884168803,0.131836884168803,19.8755393613961,510,255,190.214785693739,3570,1785,31818.6287448223,0.442785828917975,0.524310679924838,0.438755514118734,0.925689827884528,0.0329034911571867,1,1.25842819415354,0.938512569255698,0.411777564830677,0.5,0.438755514118734,0.929970062133488,1,0.905904655220698,0.476476163805174,0.394307174425794,0.582212051815766,5.68389933362339,0.611528071099598,0.96123319813772,14.4149021429816,"quantor",3600,"timeout" "ev-pr-8x8-13-7-0-1-2-lg-shuffled",1,10381,48,10429,92842,371997,7,6,13,128,8608,84106,36938,44237,3.7431011826544,0.263673768337606,1483,0.131836884168803,0.131836884168803,19.8755393613961,510,255,190.214785693739,3570,1785,31818.6287448223,0.442785828917975,0.524310679924838,0.438755514118734,0.925689827884528,0.0329034911571867,1,1.25842819415354,0.938512569255698,0.411777564830677,0.5,0.438755514118734,0.929970062133488,1,0.905904655220698,0.476476163805174,0.394307174425794,0.582212051815766,5.68389933362339,0.611528071099598,0.96123319813772,14.4149021429816,"QuBE",3600,"memout" "ev-pr-8x8-13-7-0-1-2-lg-shuffled",1,10381,48,10429,92842,371997,7,6,13,128,8608,84106,36938,44237,3.7431011826544,0.263673768337606,1483,0.131836884168803,0.131836884168803,19.8755393613961,510,255,190.214785693739,3570,1785,31818.6287448223,0.442785828917975,0.524310679924838,0.438755514118734,0.925689827884528,0.0329034911571867,1,1.25842819415354,0.938512569255698,0.411777564830677,0.5,0.438755514118734,0.929970062133488,1,0.905904655220698,0.476476163805174,0.394307174425794,0.582212051815766,5.68389933362339,0.611528071099598,0.96123319813772,14.4149021429816,"sKizzo",3600,"memout" "ev-pr-8x8-13-7-0-1-2-lg-shuffled",1,10381,48,10429,92842,371997,7,6,13,128,8608,84106,36938,44237,3.7431011826544,0.263673768337606,1483,0.131836884168803,0.131836884168803,19.8755393613961,510,255,190.214785693739,3570,1785,31818.6287448223,0.442785828917975,0.524310679924838,0.438755514118734,0.925689827884528,0.0329034911571867,1,1.25842819415354,0.938512569255698,0.411777564830677,0.5,0.438755514118734,0.929970062133488,1,0.905904655220698,0.476476163805174,0.394307174425794,0.582212051815766,5.68389933362339,0.611528071099598,0.96123319813772,14.4149021429816,"sSolve",3600,"timeout" "ev-pr-8x8-19-7-0-1-2-lg-shuffled",1,15133,72,15205,134455,545271,10,9,19,128,8614,125713,50417,64187,3.78231378528132,0.273102525008367,1513.3,0.136551262504184,0.136551262504184,20.2026964814206,510,255,282.965998026965,5100,2550,44591.0114980506,0.436643430514368,0.529685239082951,0.432068760065362,0.922885979612666,0.0336713304026805,1,1.29019820319292,0.937094749385745,0.40488936643086,0.5,0.432068760065362,0.927276899491878,1,0.934981964225949,0.477386486184969,0.384955628870118,0.591600048255788,5.54258517743091,0.621417763754923,0.961881899949713,14.3979844994057,"X2clsQ",3600,"timeout" "ev-pr-8x8-19-7-0-1-2-lg-shuffled",1,15133,72,15205,134455,545271,10,9,19,128,8614,125713,50417,64187,3.78231378528132,0.273102525008367,1513.3,0.136551262504184,0.136551262504184,20.2026964814206,510,255,282.965998026965,5100,2550,44591.0114980506,0.436643430514368,0.529685239082951,0.432068760065362,0.922885979612666,0.0336713304026805,1,1.29019820319292,0.937094749385745,0.40488936643086,0.5,0.432068760065362,0.927276899491878,1,0.934981964225949,0.477386486184969,0.384955628870118,0.591600048255788,5.54258517743091,0.621417763754923,0.961881899949713,14.3979844994057,"quantor",3600,"timeout" "ev-pr-8x8-19-7-0-1-2-lg-shuffled",1,15133,72,15205,134455,545271,10,9,19,128,8614,125713,50417,64187,3.78231378528132,0.273102525008367,1513.3,0.136551262504184,0.136551262504184,20.2026964814206,510,255,282.965998026965,5100,2550,44591.0114980506,0.436643430514368,0.529685239082951,0.432068760065362,0.922885979612666,0.0336713304026805,1,1.29019820319292,0.937094749385745,0.40488936643086,0.5,0.432068760065362,0.927276899491878,1,0.934981964225949,0.477386486184969,0.384955628870118,0.591600048255788,5.54258517743091,0.621417763754923,0.961881899949713,14.3979844994057,"QuBE",3600,"memout" "ev-pr-8x8-19-7-0-1-2-lg-shuffled",1,15133,72,15205,134455,545271,10,9,19,128,8614,125713,50417,64187,3.78231378528132,0.273102525008367,1513.3,0.136551262504184,0.136551262504184,20.2026964814206,510,255,282.965998026965,5100,2550,44591.0114980506,0.436643430514368,0.529685239082951,0.432068760065362,0.922885979612666,0.0336713304026805,1,1.29019820319292,0.937094749385745,0.40488936643086,0.5,0.432068760065362,0.927276899491878,1,0.934981964225949,0.477386486184969,0.384955628870118,0.591600048255788,5.54258517743091,0.621417763754923,0.961881899949713,14.3979844994057,"sKizzo",3600,"memout" "ev-pr-8x8-19-7-0-1-2-lg-shuffled",1,15133,72,15205,134455,545271,10,9,19,128,8614,125713,50417,64187,3.78231378528132,0.273102525008367,1513.3,0.136551262504184,0.136551262504184,20.2026964814206,510,255,282.965998026965,5100,2550,44591.0114980506,0.436643430514368,0.529685239082951,0.432068760065362,0.922885979612666,0.0336713304026805,1,1.29019820319292,0.937094749385745,0.40488936643086,0.5,0.432068760065362,0.927276899491878,1,0.934981964225949,0.477386486184969,0.384955628870118,0.591600048255788,5.54258517743091,0.621417763754923,0.961881899949713,14.3979844994057,"sSolve",3600,"timeout" "ev-pr-8x8-5-7-0-1-2-lg-shuffled",1,4045,16,4061,37358,140965,3,2,5,128,8600,28630,18966,17637,3.55492799400396,0.218427110659029,1348.33333333333,0.109213555329514,0.109213555329514,18.2423048510219,510,255,66.9741442994336,1530,765,14936.225710754,0.474465292803178,0.496591352463377,0.472896351793984,0.938997951646906,0.0289433547334445,1,1.10763572208184,0.945839820236085,0.447284200371122,0.5,0.472896351793984,0.94271215862499,1,0.766368649285294,0.472107714545747,0.442471327395533,0.53454428165575,6.36827000932692,0.560568030991357,0.958774513171948,14.3925032313658,"X2clsQ",1.35,"ok" "ev-pr-8x8-5-7-0-1-2-lg-shuffled",1,4045,16,4061,37358,140965,3,2,5,128,8600,28630,18966,17637,3.55492799400396,0.218427110659029,1348.33333333333,0.109213555329514,0.109213555329514,18.2423048510219,510,255,66.9741442994336,1530,765,14936.225710754,0.474465292803178,0.496591352463377,0.472896351793984,0.938997951646906,0.0289433547334445,1,1.10763572208184,0.945839820236085,0.447284200371122,0.5,0.472896351793984,0.94271215862499,1,0.766368649285294,0.472107714545747,0.442471327395533,0.53454428165575,6.36827000932692,0.560568030991357,0.958774513171948,14.3925032313658,"quantor",3600,"timeout" "ev-pr-8x8-5-7-0-1-2-lg-shuffled",1,4045,16,4061,37358,140965,3,2,5,128,8600,28630,18966,17637,3.55492799400396,0.218427110659029,1348.33333333333,0.109213555329514,0.109213555329514,18.2423048510219,510,255,66.9741442994336,1530,765,14936.225710754,0.474465292803178,0.496591352463377,0.472896351793984,0.938997951646906,0.0289433547334445,1,1.10763572208184,0.945839820236085,0.447284200371122,0.5,0.472896351793984,0.94271215862499,1,0.766368649285294,0.472107714545747,0.442471327395533,0.53454428165575,6.36827000932692,0.560568030991357,0.958774513171948,14.3925032313658,"QuBE",1.62,"ok" "ev-pr-8x8-5-7-0-1-2-lg-shuffled",1,4045,16,4061,37358,140965,3,2,5,128,8600,28630,18966,17637,3.55492799400396,0.218427110659029,1348.33333333333,0.109213555329514,0.109213555329514,18.2423048510219,510,255,66.9741442994336,1530,765,14936.225710754,0.474465292803178,0.496591352463377,0.472896351793984,0.938997951646906,0.0289433547334445,1,1.10763572208184,0.945839820236085,0.447284200371122,0.5,0.472896351793984,0.94271215862499,1,0.766368649285294,0.472107714545747,0.442471327395533,0.53454428165575,6.36827000932692,0.560568030991357,0.958774513171948,14.3925032313658,"sKizzo",0.77,"ok" "ev-pr-8x8-5-7-0-1-2-lg-shuffled",1,4045,16,4061,37358,140965,3,2,5,128,8600,28630,18966,17637,3.55492799400396,0.218427110659029,1348.33333333333,0.109213555329514,0.109213555329514,18.2423048510219,510,255,66.9741442994336,1530,765,14936.225710754,0.474465292803178,0.496591352463377,0.472896351793984,0.938997951646906,0.0289433547334445,1,1.10763572208184,0.945839820236085,0.447284200371122,0.5,0.472896351793984,0.94271215862499,1,0.766368649285294,0.472107714545747,0.442471327395533,0.53454428165575,6.36827000932692,0.560568030991357,0.958774513171948,14.3925032313658,"sSolve",1.45,"ok" "ev-pr-8x8-7-7-0-1-2-lg-shuffled",1,5629,24,5653,51229,198723,4,3,7,128,8602,42499,23459,24287,3.64018427062796,0.238927170157528,1407.25,0.119463585078764,0.119463585078764,18.9955775694322,510,255,97.6610649212807,2040,1020,19114.3572570616,0.45963980012379,0.509563563352003,0.456990717652547,0.932998324958124,0.0307966365242071,1,1.17561664531809,0.942407750480958,0.430671594213616,0.5,0.456990717652547,0.936976289036823,1,0.829588709519998,0.474086942942474,0.419994137725627,0.556650776715744,6.05774655433793,0.584344254941637,0.95973301809908,14.4234074007369,"X2clsQ",70.66,"ok" "ev-pr-8x8-7-7-0-1-2-lg-shuffled",1,5629,24,5653,51229,198723,4,3,7,128,8602,42499,23459,24287,3.64018427062796,0.238927170157528,1407.25,0.119463585078764,0.119463585078764,18.9955775694322,510,255,97.6610649212807,2040,1020,19114.3572570616,0.45963980012379,0.509563563352003,0.456990717652547,0.932998324958124,0.0307966365242071,1,1.17561664531809,0.942407750480958,0.430671594213616,0.5,0.456990717652547,0.936976289036823,1,0.829588709519998,0.474086942942474,0.419994137725627,0.556650776715744,6.05774655433793,0.584344254941637,0.95973301809908,14.4234074007369,"quantor",3600,"timeout" "ev-pr-8x8-7-7-0-1-2-lg-shuffled",1,5629,24,5653,51229,198723,4,3,7,128,8602,42499,23459,24287,3.64018427062796,0.238927170157528,1407.25,0.119463585078764,0.119463585078764,18.9955775694322,510,255,97.6610649212807,2040,1020,19114.3572570616,0.45963980012379,0.509563563352003,0.456990717652547,0.932998324958124,0.0307966365242071,1,1.17561664531809,0.942407750480958,0.430671594213616,0.5,0.456990717652547,0.936976289036823,1,0.829588709519998,0.474086942942474,0.419994137725627,0.556650776715744,6.05774655433793,0.584344254941637,0.95973301809908,14.4234074007369,"QuBE",76.27,"ok" "ev-pr-8x8-7-7-0-1-2-lg-shuffled",1,5629,24,5653,51229,198723,4,3,7,128,8602,42499,23459,24287,3.64018427062796,0.238927170157528,1407.25,0.119463585078764,0.119463585078764,18.9955775694322,510,255,97.6610649212807,2040,1020,19114.3572570616,0.45963980012379,0.509563563352003,0.456990717652547,0.932998324958124,0.0307966365242071,1,1.17561664531809,0.942407750480958,0.430671594213616,0.5,0.456990717652547,0.936976289036823,1,0.829588709519998,0.474086942942474,0.419994137725627,0.556650776715744,6.05774655433793,0.584344254941637,0.95973301809908,14.4234074007369,"sKizzo",1.03,"ok" "ev-pr-8x8-7-7-0-1-2-lg-shuffled",1,5629,24,5653,51229,198723,4,3,7,128,8602,42499,23459,24287,3.64018427062796,0.238927170157528,1407.25,0.119463585078764,0.119463585078764,18.9955775694322,510,255,97.6610649212807,2040,1020,19114.3572570616,0.45963980012379,0.509563563352003,0.456990717652547,0.932998324958124,0.0307966365242071,1,1.17561664531809,0.942407750480958,0.430671594213616,0.5,0.456990717652547,0.936976289036823,1,0.829588709519998,0.474086942942474,0.419994137725627,0.556650776715744,6.05774655433793,0.584344254941637,0.95973301809908,14.4234074007369,"sSolve",7.02,"ok" "ev-pr-8x8-9-7-0-1-2-lg-shuffled",1,7213,32,7245,65100,256481,5,4,9,128,8604,56368,27952,30937,3.68910906298003,0.250691244239631,1442.6,0.125345622119816,0.125345622119816,19.4178053830228,510,255,128.466252587992,2550,1275,23333.2367946763,0.451491533485911,0.516693244333888,0.448195169074079,0.929533070233767,0.0318152221802005,1,1.21488095752122,0.940523697575058,0.421538177652832,0.5,0.448195169074079,0.933656882551455,1,0.865867895545315,0.475222734254992,0.407579641227005,0.568963934545104,5.87964498239106,0.597483546238042,0.96040577626928,14.4257572941832,"X2clsQ",1879,"ok" "ev-pr-8x8-9-7-0-1-2-lg-shuffled",1,7213,32,7245,65100,256481,5,4,9,128,8604,56368,27952,30937,3.68910906298003,0.250691244239631,1442.6,0.125345622119816,0.125345622119816,19.4178053830228,510,255,128.466252587992,2550,1275,23333.2367946763,0.451491533485911,0.516693244333888,0.448195169074079,0.929533070233767,0.0318152221802005,1,1.21488095752122,0.940523697575058,0.421538177652832,0.5,0.448195169074079,0.933656882551455,1,0.865867895545315,0.475222734254992,0.407579641227005,0.568963934545104,5.87964498239106,0.597483546238042,0.96040577626928,14.4257572941832,"quantor",3600,"timeout" "ev-pr-8x8-9-7-0-1-2-lg-shuffled",1,7213,32,7245,65100,256481,5,4,9,128,8604,56368,27952,30937,3.68910906298003,0.250691244239631,1442.6,0.125345622119816,0.125345622119816,19.4178053830228,510,255,128.466252587992,2550,1275,23333.2367946763,0.451491533485911,0.516693244333888,0.448195169074079,0.929533070233767,0.0318152221802005,1,1.21488095752122,0.940523697575058,0.421538177652832,0.5,0.448195169074079,0.933656882551455,1,0.865867895545315,0.475222734254992,0.407579641227005,0.568963934545104,5.87964498239106,0.597483546238042,0.96040577626928,14.4257572941832,"QuBE",343.14,"ok" "ev-pr-8x8-9-7-0-1-2-lg-shuffled",1,7213,32,7245,65100,256481,5,4,9,128,8604,56368,27952,30937,3.68910906298003,0.250691244239631,1442.6,0.125345622119816,0.125345622119816,19.4178053830228,510,255,128.466252587992,2550,1275,23333.2367946763,0.451491533485911,0.516693244333888,0.448195169074079,0.929533070233767,0.0318152221802005,1,1.21488095752122,0.940523697575058,0.421538177652832,0.5,0.448195169074079,0.933656882551455,1,0.865867895545315,0.475222734254992,0.407579641227005,0.568963934545104,5.87964498239106,0.597483546238042,0.96040577626928,14.4257572941832,"sKizzo",192.76,"ok" "ev-pr-8x8-9-7-0-1-2-lg-shuffled",1,7213,32,7245,65100,256481,5,4,9,128,8604,56368,27952,30937,3.68910906298003,0.250691244239631,1442.6,0.125345622119816,0.125345622119816,19.4178053830228,510,255,128.466252587992,2550,1275,23333.2367946763,0.451491533485911,0.516693244333888,0.448195169074079,0.929533070233767,0.0318152221802005,1,1.21488095752122,0.940523697575058,0.421538177652832,0.5,0.448195169074079,0.933656882551455,1,0.865867895545315,0.475222734254992,0.407579641227005,0.568963934545104,5.87964498239106,0.597483546238042,0.96040577626928,14.4257572941832,"sSolve",1452.45,"ok" "f600-00-shuffled",1,4348,600,4948,11998,29694,2,1,3,0,9447,2551,10748,6276,1.7872978829805,0.687614602433739,2174,0.319136522753792,0.368478079679947,2.4248181083266,13.75,6.38166666666667,4.47150363783347,27.5,12.7633333333333,12.6658233670653,0.595945308816596,0.275106082036775,0.619054280917739,0.750169529837251,0.148885296692935,0.866093643971952,0.678006329113924,0.821821028957185,0.508751826124167,0.464121212121212,0.619054280917739,0.853688784184618,0.866093643971952,0.212618769794966,0.523087181196866,0.642787949239562,0.265803967602347,1.17725833360242,0.318389893921387,0.744106944422788,1.83148787247047,"X2clsQ",3600,"timeout" "f600-00-shuffled",1,4348,600,4948,11998,29694,2,1,3,0,9447,2551,10748,6276,1.7872978829805,0.687614602433739,2174,0.319136522753792,0.368478079679947,2.4248181083266,13.75,6.38166666666667,4.47150363783347,27.5,12.7633333333333,12.6658233670653,0.595945308816596,0.275106082036775,0.619054280917739,0.750169529837251,0.148885296692935,0.866093643971952,0.678006329113924,0.821821028957185,0.508751826124167,0.464121212121212,0.619054280917739,0.853688784184618,0.866093643971952,0.212618769794966,0.523087181196866,0.642787949239562,0.265803967602347,1.17725833360242,0.318389893921387,0.744106944422788,1.83148787247047,"quantor",3600,"memout" "f600-00-shuffled",1,4348,600,4948,11998,29694,2,1,3,0,9447,2551,10748,6276,1.7872978829805,0.687614602433739,2174,0.319136522753792,0.368478079679947,2.4248181083266,13.75,6.38166666666667,4.47150363783347,27.5,12.7633333333333,12.6658233670653,0.595945308816596,0.275106082036775,0.619054280917739,0.750169529837251,0.148885296692935,0.866093643971952,0.678006329113924,0.821821028957185,0.508751826124167,0.464121212121212,0.619054280917739,0.853688784184618,0.866093643971952,0.212618769794966,0.523087181196866,0.642787949239562,0.265803967602347,1.17725833360242,0.318389893921387,0.744106944422788,1.83148787247047,"QuBE",3600,"timeout" "f600-00-shuffled",1,4348,600,4948,11998,29694,2,1,3,0,9447,2551,10748,6276,1.7872978829805,0.687614602433739,2174,0.319136522753792,0.368478079679947,2.4248181083266,13.75,6.38166666666667,4.47150363783347,27.5,12.7633333333333,12.6658233670653,0.595945308816596,0.275106082036775,0.619054280917739,0.750169529837251,0.148885296692935,0.866093643971952,0.678006329113924,0.821821028957185,0.508751826124167,0.464121212121212,0.619054280917739,0.853688784184618,0.866093643971952,0.212618769794966,0.523087181196866,0.642787949239562,0.265803967602347,1.17725833360242,0.318389893921387,0.744106944422788,1.83148787247047,"sKizzo",3600,"memout" "f600-00-shuffled",1,4348,600,4948,11998,29694,2,1,3,0,9447,2551,10748,6276,1.7872978829805,0.687614602433739,2174,0.319136522753792,0.368478079679947,2.4248181083266,13.75,6.38166666666667,4.47150363783347,27.5,12.7633333333333,12.6658233670653,0.595945308816596,0.275106082036775,0.619054280917739,0.750169529837251,0.148885296692935,0.866093643971952,0.678006329113924,0.821821028957185,0.508751826124167,0.464121212121212,0.619054280917739,0.853688784184618,0.866093643971952,0.212618769794966,0.523087181196866,0.642787949239562,0.265803967602347,1.17725833360242,0.318389893921387,0.744106944422788,1.83148787247047,"sSolve",3600,"timeout" "ii32c1-00",1,1953,225,2178,8034,21092,2,1,3,0,7905,129,7926,6412,1.84042818023401,0.784914115011202,976.5,0.597585262633806,0.187328852377396,3.68870523415978,28.0266666666667,21.3377777777778,7.27410468319559,56.0533333333333,42.6755555555556,25.3978494623656,0.619097288071307,0.153280864782856,0.781347220343568,0.884744983917905,0.07135406789304,3.19003322259136,0.615255016082095,0.781787228389823,0.610847277802491,0.761338407865525,0.781347220343568,0.986674129530567,3.19003322259136,0.0160567587752054,0.798108040826487,0.635491441192711,0.160132735518817,0.670366280139886,0.202288344353689,0.439311318348158,1.05487853444843,"X2clsQ",2.38,"ok" "ii32c1-00",1,1953,225,2178,8034,21092,2,1,3,0,7905,129,7926,6412,1.84042818023401,0.784914115011202,976.5,0.597585262633806,0.187328852377396,3.68870523415978,28.0266666666667,21.3377777777778,7.27410468319559,56.0533333333333,42.6755555555556,25.3978494623656,0.619097288071307,0.153280864782856,0.781347220343568,0.884744983917905,0.07135406789304,3.19003322259136,0.615255016082095,0.781787228389823,0.610847277802491,0.761338407865525,0.781347220343568,0.986674129530567,3.19003322259136,0.0160567587752054,0.798108040826487,0.635491441192711,0.160132735518817,0.670366280139886,0.202288344353689,0.439311318348158,1.05487853444843,"quantor",3600,"memout" "ii32c1-00",1,1953,225,2178,8034,21092,2,1,3,0,7905,129,7926,6412,1.84042818023401,0.784914115011202,976.5,0.597585262633806,0.187328852377396,3.68870523415978,28.0266666666667,21.3377777777778,7.27410468319559,56.0533333333333,42.6755555555556,25.3978494623656,0.619097288071307,0.153280864782856,0.781347220343568,0.884744983917905,0.07135406789304,3.19003322259136,0.615255016082095,0.781787228389823,0.610847277802491,0.761338407865525,0.781347220343568,0.986674129530567,3.19003322259136,0.0160567587752054,0.798108040826487,0.635491441192711,0.160132735518817,0.670366280139886,0.202288344353689,0.439311318348158,1.05487853444843,"QuBE",3600,"memout" "ii32c1-00",1,1953,225,2178,8034,21092,2,1,3,0,7905,129,7926,6412,1.84042818023401,0.784914115011202,976.5,0.597585262633806,0.187328852377396,3.68870523415978,28.0266666666667,21.3377777777778,7.27410468319559,56.0533333333333,42.6755555555556,25.3978494623656,0.619097288071307,0.153280864782856,0.781347220343568,0.884744983917905,0.07135406789304,3.19003322259136,0.615255016082095,0.781787228389823,0.610847277802491,0.761338407865525,0.781347220343568,0.986674129530567,3.19003322259136,0.0160567587752054,0.798108040826487,0.635491441192711,0.160132735518817,0.670366280139886,0.202288344353689,0.439311318348158,1.05487853444843,"sKizzo",3600,"memout" "ii32c1-00",1,1953,225,2178,8034,21092,2,1,3,0,7905,129,7926,6412,1.84042818023401,0.784914115011202,976.5,0.597585262633806,0.187328852377396,3.68870523415978,28.0266666666667,21.3377777777778,7.27410468319559,56.0533333333333,42.6755555555556,25.3978494623656,0.619097288071307,0.153280864782856,0.781347220343568,0.884744983917905,0.07135406789304,3.19003322259136,0.615255016082095,0.781787228389823,0.610847277802491,0.761338407865525,0.781347220343568,0.986674129530567,3.19003322259136,0.0160567587752054,0.798108040826487,0.635491441192711,0.160132735518817,0.670366280139886,0.202288344353689,0.439311318348158,1.05487853444843,"sSolve",180.6,"ok" "ii32c1-50-shuffled",1,1739,225,1964,7713,20343,2,1,3,0,7584,129,7605,6198,1.83378711266693,0.803708025411643,869.5,0.622455594450927,0.181252430960716,3.92718940936864,27.5511111111111,21.3377777777778,7.79429735234216,55.1022222222222,42.6755555555556,26.7797584818861,0.620852381654623,0.143145062183552,0.794117647058823,0.889311163895487,0.0687214275180652,3.43419170243205,0.610688836104513,0.785234097787634,0.623568254125474,0.774479754799161,0.794117647058824,1.00437442546908,3.43419170243205,0.0167250097238429,0.803578374173473,0.635228518324358,0.153559288952581,0.58156539420801,0.192978896103896,0.420973943048342,0.915521544501963,"X2clsQ",3600,"timeout" "ii32c1-50-shuffled",1,1739,225,1964,7713,20343,2,1,3,0,7584,129,7605,6198,1.83378711266693,0.803708025411643,869.5,0.622455594450927,0.181252430960716,3.92718940936864,27.5511111111111,21.3377777777778,7.79429735234216,55.1022222222222,42.6755555555556,26.7797584818861,0.620852381654623,0.143145062183552,0.794117647058823,0.889311163895487,0.0687214275180652,3.43419170243205,0.610688836104513,0.785234097787634,0.623568254125474,0.774479754799161,0.794117647058824,1.00437442546908,3.43419170243205,0.0167250097238429,0.803578374173473,0.635228518324358,0.153559288952581,0.58156539420801,0.192978896103896,0.420973943048342,0.915521544501963,"quantor",3600,"memout" "ii32c1-50-shuffled",1,1739,225,1964,7713,20343,2,1,3,0,7584,129,7605,6198,1.83378711266693,0.803708025411643,869.5,0.622455594450927,0.181252430960716,3.92718940936864,27.5511111111111,21.3377777777778,7.79429735234216,55.1022222222222,42.6755555555556,26.7797584818861,0.620852381654623,0.143145062183552,0.794117647058823,0.889311163895487,0.0687214275180652,3.43419170243205,0.610688836104513,0.785234097787634,0.623568254125474,0.774479754799161,0.794117647058824,1.00437442546908,3.43419170243205,0.0167250097238429,0.803578374173473,0.635228518324358,0.153559288952581,0.58156539420801,0.192978896103896,0.420973943048342,0.915521544501963,"QuBE",0.1,"ok" "ii32c1-50-shuffled",1,1739,225,1964,7713,20343,2,1,3,0,7584,129,7605,6198,1.83378711266693,0.803708025411643,869.5,0.622455594450927,0.181252430960716,3.92718940936864,27.5511111111111,21.3377777777778,7.79429735234216,55.1022222222222,42.6755555555556,26.7797584818861,0.620852381654623,0.143145062183552,0.794117647058823,0.889311163895487,0.0687214275180652,3.43419170243205,0.610688836104513,0.785234097787634,0.623568254125474,0.774479754799161,0.794117647058824,1.00437442546908,3.43419170243205,0.0167250097238429,0.803578374173473,0.635228518324358,0.153559288952581,0.58156539420801,0.192978896103896,0.420973943048342,0.915521544501963,"sKizzo",3600,"memout" "ii32c1-50-shuffled",1,1739,225,1964,7713,20343,2,1,3,0,7584,129,7605,6198,1.83378711266693,0.803708025411643,869.5,0.622455594450927,0.181252430960716,3.92718940936864,27.5511111111111,21.3377777777778,7.79429735234216,55.1022222222222,42.6755555555556,26.7797584818861,0.620852381654623,0.143145062183552,0.794117647058823,0.889311163895487,0.0687214275180652,3.43419170243205,0.610688836104513,0.785234097787634,0.623568254125474,0.774479754799161,0.794117647058824,1.00437442546908,3.43419170243205,0.0167250097238429,0.803578374173473,0.635228518324358,0.153559288952581,0.58156539420801,0.192978896103896,0.420973943048342,0.915521544501963,"sSolve",72.61,"ok" "ii32e1-00-shuffled",1,1850,222,2072,7832,20680,2,1,3,0,7701,131,7725,6309,1.84831460674157,0.792134831460674,925,0.612997957099081,0.179136874361593,3.77992277992278,27.9459459459459,21.6261261261261,7.45511583011583,55.8918918918919,43.2522522522523,25.8340540540541,0.621276595744681,0.146566731141199,0.790618955512573,0.890800124533001,0.0678433268858801,3.42195295794726,0.609589041095891,0.784,0.619845261121857,0.773855577047066,0.790618955512572,0.997696139476961,3.42195295794726,0.0167262512768131,0.805541368743616,0.636934141869976,0.153866403422178,0.615553361851486,0.193941203795872,0.423797501132906,0.966431725647933,"X2clsQ",1.41,"ok" "ii32e1-00-shuffled",1,1850,222,2072,7832,20680,2,1,3,0,7701,131,7725,6309,1.84831460674157,0.792134831460674,925,0.612997957099081,0.179136874361593,3.77992277992278,27.9459459459459,21.6261261261261,7.45511583011583,55.8918918918919,43.2522522522523,25.8340540540541,0.621276595744681,0.146566731141199,0.790618955512573,0.890800124533001,0.0678433268858801,3.42195295794726,0.609589041095891,0.784,0.619845261121857,0.773855577047066,0.790618955512572,0.997696139476961,3.42195295794726,0.0167262512768131,0.805541368743616,0.636934141869976,0.153866403422178,0.615553361851486,0.193941203795872,0.423797501132906,0.966431725647933,"quantor",3600,"memout" "ii32e1-00-shuffled",1,1850,222,2072,7832,20680,2,1,3,0,7701,131,7725,6309,1.84831460674157,0.792134831460674,925,0.612997957099081,0.179136874361593,3.77992277992278,27.9459459459459,21.6261261261261,7.45511583011583,55.8918918918919,43.2522522522523,25.8340540540541,0.621276595744681,0.146566731141199,0.790618955512573,0.890800124533001,0.0678433268858801,3.42195295794726,0.609589041095891,0.784,0.619845261121857,0.773855577047066,0.790618955512572,0.997696139476961,3.42195295794726,0.0167262512768131,0.805541368743616,0.636934141869976,0.153866403422178,0.615553361851486,0.193941203795872,0.423797501132906,0.966431725647933,"QuBE",0.18,"ok" "ii32e1-00-shuffled",1,1850,222,2072,7832,20680,2,1,3,0,7701,131,7725,6309,1.84831460674157,0.792134831460674,925,0.612997957099081,0.179136874361593,3.77992277992278,27.9459459459459,21.6261261261261,7.45511583011583,55.8918918918919,43.2522522522523,25.8340540540541,0.621276595744681,0.146566731141199,0.790618955512573,0.890800124533001,0.0678433268858801,3.42195295794726,0.609589041095891,0.784,0.619845261121857,0.773855577047066,0.790618955512572,0.997696139476961,3.42195295794726,0.0167262512768131,0.805541368743616,0.636934141869976,0.153866403422178,0.615553361851486,0.193941203795872,0.423797501132906,0.966431725647933,"sKizzo",3600,"memout" "ii32e1-00-shuffled",1,1850,222,2072,7832,20680,2,1,3,0,7701,131,7725,6309,1.84831460674157,0.792134831460674,925,0.612997957099081,0.179136874361593,3.77992277992278,27.9459459459459,21.6261261261261,7.45511583011583,55.8918918918919,43.2522522522523,25.8340540540541,0.621276595744681,0.146566731141199,0.790618955512573,0.890800124533001,0.0678433268858801,3.42195295794726,0.609589041095891,0.784,0.619845261121857,0.773855577047066,0.790618955512572,0.997696139476961,3.42195295794726,0.0167262512768131,0.805541368743616,0.636934141869976,0.153866403422178,0.615553361851486,0.193941203795872,0.423797501132906,0.966431725647933,"sSolve",8.3,"ok" "ii32e1-50-shuffled",1,1626,222,1848,7496,19896,2,1,3,0,7365,131,7389,6085,1.84151547491996,0.812700106723586,813,0.640474919957311,0.172225186766275,4.05627705627706,27.4414414414414,21.6261261261261,8.05573593073593,54.8828828828829,43.2522522522523,27.4477244772448,0.62324085243265,0.135454362685967,0.804766734279919,0.895887096774194,0.0648874145556896,3.71882261812548,0.604516129032258,0.788534326839046,0.634586195077874,0.788082731451083,0.804766734279919,1.01820378526366,3.71882261812548,0.0174759871931697,0.811766275346852,0.636707501586217,0.146580317870141,0.524510844975485,0.183545183024241,0.40347741423273,0.823786187014886,"X2clsQ",1.2,"ok" "ii32e1-50-shuffled",1,1626,222,1848,7496,19896,2,1,3,0,7365,131,7389,6085,1.84151547491996,0.812700106723586,813,0.640474919957311,0.172225186766275,4.05627705627706,27.4414414414414,21.6261261261261,8.05573593073593,54.8828828828829,43.2522522522523,27.4477244772448,0.62324085243265,0.135454362685967,0.804766734279919,0.895887096774194,0.0648874145556896,3.71882261812548,0.604516129032258,0.788534326839046,0.634586195077874,0.788082731451083,0.804766734279919,1.01820378526366,3.71882261812548,0.0174759871931697,0.811766275346852,0.636707501586217,0.146580317870141,0.524510844975485,0.183545183024241,0.40347741423273,0.823786187014886,"quantor",3600,"memout" "ii32e1-50-shuffled",1,1626,222,1848,7496,19896,2,1,3,0,7365,131,7389,6085,1.84151547491996,0.812700106723586,813,0.640474919957311,0.172225186766275,4.05627705627706,27.4414414414414,21.6261261261261,8.05573593073593,54.8828828828829,43.2522522522523,27.4477244772448,0.62324085243265,0.135454362685967,0.804766734279919,0.895887096774194,0.0648874145556896,3.71882261812548,0.604516129032258,0.788534326839046,0.634586195077874,0.788082731451083,0.804766734279919,1.01820378526366,3.71882261812548,0.0174759871931697,0.811766275346852,0.636707501586217,0.146580317870141,0.524510844975485,0.183545183024241,0.40347741423273,0.823786187014886,"QuBE",0.18,"ok" "ii32e1-50-shuffled",1,1626,222,1848,7496,19896,2,1,3,0,7365,131,7389,6085,1.84151547491996,0.812700106723586,813,0.640474919957311,0.172225186766275,4.05627705627706,27.4414414414414,21.6261261261261,8.05573593073593,54.8828828828829,43.2522522522523,27.4477244772448,0.62324085243265,0.135454362685967,0.804766734279919,0.895887096774194,0.0648874145556896,3.71882261812548,0.604516129032258,0.788534326839046,0.634586195077874,0.788082731451083,0.804766734279919,1.01820378526366,3.71882261812548,0.0174759871931697,0.811766275346852,0.636707501586217,0.146580317870141,0.524510844975485,0.183545183024241,0.40347741423273,0.823786187014886,"sKizzo",3600,"memout" "ii32e1-50-shuffled",1,1626,222,1848,7496,19896,2,1,3,0,7365,131,7389,6085,1.84151547491996,0.812700106723586,813,0.640474919957311,0.172225186766275,4.05627705627706,27.4414414414414,21.6261261261261,8.05573593073593,54.8828828828829,43.2522522522523,27.4477244772448,0.62324085243265,0.135454362685967,0.804766734279919,0.895887096774194,0.0648874145556896,3.71882261812548,0.604516129032258,0.788534326839046,0.634586195077874,0.788082731451083,0.804766734279919,1.01820378526366,3.71882261812548,0.0174759871931697,0.811766275346852,0.636707501586217,0.146580317870141,0.524510844975485,0.183545183024241,0.40347741423273,0.823786187014886,"sSolve",71.58,"ok" "ii8a1-00-shuffled",1,382,66,448,832,1992,2,1,3,0,813,19,801,545,1.77403846153846,0.620192307692308,191,0.289663461538462,0.330528846153846,1.85714285714286,7.81818181818182,3.65151515151515,3.515625,15.6363636363636,7.3030303030303,6.68324607329843,0.582329317269076,0.296686746987952,0.599593495934959,0.762931034482759,0.138052208835341,0.876363636363636,0.717241379310345,0.868983788557371,0.52103702769192,0.467054263565891,0.599593495934959,0.894746344105434,0.876363636363636,0.0228365384615385,0.655048076923077,0.622302158273381,0.307396386555677,0.895283772981615,0.348311026131294,0.813868528214078,1.43866409762364,"X2clsQ",1.06,"ok" "ii8a1-00-shuffled",1,382,66,448,832,1992,2,1,3,0,813,19,801,545,1.77403846153846,0.620192307692308,191,0.289663461538462,0.330528846153846,1.85714285714286,7.81818181818182,3.65151515151515,3.515625,15.6363636363636,7.3030303030303,6.68324607329843,0.582329317269076,0.296686746987952,0.599593495934959,0.762931034482759,0.138052208835341,0.876363636363636,0.717241379310345,0.868983788557371,0.52103702769192,0.467054263565891,0.599593495934959,0.894746344105434,0.876363636363636,0.0228365384615385,0.655048076923077,0.622302158273381,0.307396386555677,0.895283772981615,0.348311026131294,0.813868528214078,1.43866409762364,"quantor",3600,"memout" "ii8a1-00-shuffled",1,382,66,448,832,1992,2,1,3,0,813,19,801,545,1.77403846153846,0.620192307692308,191,0.289663461538462,0.330528846153846,1.85714285714286,7.81818181818182,3.65151515151515,3.515625,15.6363636363636,7.3030303030303,6.68324607329843,0.582329317269076,0.296686746987952,0.599593495934959,0.762931034482759,0.138052208835341,0.876363636363636,0.717241379310345,0.868983788557371,0.52103702769192,0.467054263565891,0.599593495934959,0.894746344105434,0.876363636363636,0.0228365384615385,0.655048076923077,0.622302158273381,0.307396386555677,0.895283772981615,0.348311026131294,0.813868528214078,1.43866409762364,"QuBE",172.06,"ok" "ii8a1-00-shuffled",1,382,66,448,832,1992,2,1,3,0,813,19,801,545,1.77403846153846,0.620192307692308,191,0.289663461538462,0.330528846153846,1.85714285714286,7.81818181818182,3.65151515151515,3.515625,15.6363636363636,7.3030303030303,6.68324607329843,0.582329317269076,0.296686746987952,0.599593495934959,0.762931034482759,0.138052208835341,0.876363636363636,0.717241379310345,0.868983788557371,0.52103702769192,0.467054263565891,0.599593495934959,0.894746344105434,0.876363636363636,0.0228365384615385,0.655048076923077,0.622302158273381,0.307396386555677,0.895283772981615,0.348311026131294,0.813868528214078,1.43866409762364,"sKizzo",3600,"memout" "ii8a1-00-shuffled",1,382,66,448,832,1992,2,1,3,0,813,19,801,545,1.77403846153846,0.620192307692308,191,0.289663461538462,0.330528846153846,1.85714285714286,7.81818181818182,3.65151515151515,3.515625,15.6363636363636,7.3030303030303,6.68324607329843,0.582329317269076,0.296686746987952,0.599593495934959,0.762931034482759,0.138052208835341,0.876363636363636,0.717241379310345,0.868983788557371,0.52103702769192,0.467054263565891,0.599593495934959,0.894746344105434,0.876363636363636,0.0228365384615385,0.655048076923077,0.622302158273381,0.307396386555677,0.895283772981615,0.348311026131294,0.813868528214078,1.43866409762364,"sSolve",1.02,"ok" "ii8a1-90-shuffled",1,262,66,328,652,1572,2,1,3,0,633,19,621,425,1.71165644171779,0.699386503067485,131,0.369631901840491,0.329754601226994,1.98780487804878,6.90909090909091,3.65151515151515,3.88719512195122,13.8181818181818,7.3030303030303,7.33969465648855,0.585241730279898,0.261450381679389,0.631720430107527,0.766304347826087,0.13676844783715,1.12093023255814,0.708695652173913,0.888759396305577,0.561447468096265,0.528508771929824,0.631720430107527,0.95934284766014,1.12093023255814,0.0291411042944785,0.651840490797546,0.617117117117117,0.298127134768356,0.641732641732642,0.327956989247312,0.778637928453824,1.03988793039888,"X2clsQ",0.07,"ok" "ii8a1-90-shuffled",1,262,66,328,652,1572,2,1,3,0,633,19,621,425,1.71165644171779,0.699386503067485,131,0.369631901840491,0.329754601226994,1.98780487804878,6.90909090909091,3.65151515151515,3.88719512195122,13.8181818181818,7.3030303030303,7.33969465648855,0.585241730279898,0.261450381679389,0.631720430107527,0.766304347826087,0.13676844783715,1.12093023255814,0.708695652173913,0.888759396305577,0.561447468096265,0.528508771929824,0.631720430107527,0.95934284766014,1.12093023255814,0.0291411042944785,0.651840490797546,0.617117117117117,0.298127134768356,0.641732641732642,0.327956989247312,0.778637928453824,1.03988793039888,"quantor",2.03,"ok" "ii8a1-90-shuffled",1,262,66,328,652,1572,2,1,3,0,633,19,621,425,1.71165644171779,0.699386503067485,131,0.369631901840491,0.329754601226994,1.98780487804878,6.90909090909091,3.65151515151515,3.88719512195122,13.8181818181818,7.3030303030303,7.33969465648855,0.585241730279898,0.261450381679389,0.631720430107527,0.766304347826087,0.13676844783715,1.12093023255814,0.708695652173913,0.888759396305577,0.561447468096265,0.528508771929824,0.631720430107527,0.95934284766014,1.12093023255814,0.0291411042944785,0.651840490797546,0.617117117117117,0.298127134768356,0.641732641732642,0.327956989247312,0.778637928453824,1.03988793039888,"QuBE",0.07,"ok" "ii8a1-90-shuffled",1,262,66,328,652,1572,2,1,3,0,633,19,621,425,1.71165644171779,0.699386503067485,131,0.369631901840491,0.329754601226994,1.98780487804878,6.90909090909091,3.65151515151515,3.88719512195122,13.8181818181818,7.3030303030303,7.33969465648855,0.585241730279898,0.261450381679389,0.631720430107527,0.766304347826087,0.13676844783715,1.12093023255814,0.708695652173913,0.888759396305577,0.561447468096265,0.528508771929824,0.631720430107527,0.95934284766014,1.12093023255814,0.0291411042944785,0.651840490797546,0.617117117117117,0.298127134768356,0.641732641732642,0.327956989247312,0.778637928453824,1.03988793039888,"sKizzo",0.87,"ok" "ii8a1-90-shuffled",1,262,66,328,652,1572,2,1,3,0,633,19,621,425,1.71165644171779,0.699386503067485,131,0.369631901840491,0.329754601226994,1.98780487804878,6.90909090909091,3.65151515151515,3.88719512195122,13.8181818181818,7.3030303030303,7.33969465648855,0.585241730279898,0.261450381679389,0.631720430107527,0.766304347826087,0.13676844783715,1.12093023255814,0.708695652173913,0.888759396305577,0.561447468096265,0.528508771929824,0.631720430107527,0.95934284766014,1.12093023255814,0.0291411042944785,0.651840490797546,0.617117117117117,0.298127134768356,0.641732641732642,0.327956989247312,0.778637928453824,1.03988793039888,"sSolve",1.3,"ok" "ii8a3-90",1,1856,264,2120,5531,13459,2,1,3,0,5382,149,5454,3777,1.72120773820286,0.712167781594648,928,0.416741999638402,0.295425781956247,2.60896226415094,14.9204545454545,8.73106060606061,5.17358490566038,29.8409090909091,17.4621212121212,14.6907327586207,0.589048220521584,0.239690913143621,0.661134453781513,0.793895055499496,0.121405750798722,1.41064871481028,0.697653884964682,0.807945458877664,0.534160579640338,0.585173902005585,0.661134453781513,0.906819783221407,1.41064871481028,0.0269390706924607,0.682878322184054,0.614589921990301,0.255196102665513,0.922167063849543,0.308940719144801,0.662141747780377,1.50045913682277,"X2clsQ",3600,"timeout" "ii8a3-90",1,1856,264,2120,5531,13459,2,1,3,0,5382,149,5454,3777,1.72120773820286,0.712167781594648,928,0.416741999638402,0.295425781956247,2.60896226415094,14.9204545454545,8.73106060606061,5.17358490566038,29.8409090909091,17.4621212121212,14.6907327586207,0.589048220521584,0.239690913143621,0.661134453781513,0.793895055499496,0.121405750798722,1.41064871481028,0.697653884964682,0.807945458877664,0.534160579640338,0.585173902005585,0.661134453781513,0.906819783221407,1.41064871481028,0.0269390706924607,0.682878322184054,0.614589921990301,0.255196102665513,0.922167063849543,0.308940719144801,0.662141747780377,1.50045913682277,"quantor",3600,"memout" "ii8a3-90",1,1856,264,2120,5531,13459,2,1,3,0,5382,149,5454,3777,1.72120773820286,0.712167781594648,928,0.416741999638402,0.295425781956247,2.60896226415094,14.9204545454545,8.73106060606061,5.17358490566038,29.8409090909091,17.4621212121212,14.6907327586207,0.589048220521584,0.239690913143621,0.661134453781513,0.793895055499496,0.121405750798722,1.41064871481028,0.697653884964682,0.807945458877664,0.534160579640338,0.585173902005585,0.661134453781513,0.906819783221407,1.41064871481028,0.0269390706924607,0.682878322184054,0.614589921990301,0.255196102665513,0.922167063849543,0.308940719144801,0.662141747780377,1.50045913682277,"QuBE",1040.15,"ok" "ii8a3-90",1,1856,264,2120,5531,13459,2,1,3,0,5382,149,5454,3777,1.72120773820286,0.712167781594648,928,0.416741999638402,0.295425781956247,2.60896226415094,14.9204545454545,8.73106060606061,5.17358490566038,29.8409090909091,17.4621212121212,14.6907327586207,0.589048220521584,0.239690913143621,0.661134453781513,0.793895055499496,0.121405750798722,1.41064871481028,0.697653884964682,0.807945458877664,0.534160579640338,0.585173902005585,0.661134453781513,0.906819783221407,1.41064871481028,0.0269390706924607,0.682878322184054,0.614589921990301,0.255196102665513,0.922167063849543,0.308940719144801,0.662141747780377,1.50045913682277,"sKizzo",3600,"memout" "ii8a3-90",1,1856,264,2120,5531,13459,2,1,3,0,5382,149,5454,3777,1.72120773820286,0.712167781594648,928,0.416741999638402,0.295425781956247,2.60896226415094,14.9204545454545,8.73106060606061,5.17358490566038,29.8409090909091,17.4621212121212,14.6907327586207,0.589048220521584,0.239690913143621,0.661134453781513,0.793895055499496,0.121405750798722,1.41064871481028,0.697653884964682,0.807945458877664,0.534160579640338,0.585173902005585,0.661134453781513,0.906819783221407,1.41064871481028,0.0269390706924607,0.682878322184054,0.614589921990301,0.255196102665513,0.922167063849543,0.308940719144801,0.662141747780377,1.50045913682277,"sSolve",3600,"timeout" "ii8c1-90-shuffled",1,3673,510,4183,10448,25124,2,1,3,0,10272,176,10332,6944,1.70740811638591,0.697262633996937,1836.5,0.375287136294028,0.32197549770291,2.49772890270141,14.2843137254902,7.68823529411765,4.92158737748028,28.5686274509804,15.3764705882353,12.3797985298121,0.584142652443878,0.259791434484955,0.634116262122316,0.770782229490324,0.133895876452794,1.16557669441141,0.711910602343963,0.808627779586515,0.512764025039669,0.538229238160604,0.634116262122316,0.877806170965975,1.16557669441141,0.0168453292496172,0.664624808575804,0.613774084010281,0.272304691221256,1.03526616057,0.329047582165079,0.705039925980795,1.68672185343143,"X2clsQ",5.7,"ok" "ii8c1-90-shuffled",1,3673,510,4183,10448,25124,2,1,3,0,10272,176,10332,6944,1.70740811638591,0.697262633996937,1836.5,0.375287136294028,0.32197549770291,2.49772890270141,14.2843137254902,7.68823529411765,4.92158737748028,28.5686274509804,15.3764705882353,12.3797985298121,0.584142652443878,0.259791434484955,0.634116262122316,0.770782229490324,0.133895876452794,1.16557669441141,0.711910602343963,0.808627779586515,0.512764025039669,0.538229238160604,0.634116262122316,0.877806170965975,1.16557669441141,0.0168453292496172,0.664624808575804,0.613774084010281,0.272304691221256,1.03526616057,0.329047582165079,0.705039925980795,1.68672185343143,"quantor",3600,"memout" "ii8c1-90-shuffled",1,3673,510,4183,10448,25124,2,1,3,0,10272,176,10332,6944,1.70740811638591,0.697262633996937,1836.5,0.375287136294028,0.32197549770291,2.49772890270141,14.2843137254902,7.68823529411765,4.92158737748028,28.5686274509804,15.3764705882353,12.3797985298121,0.584142652443878,0.259791434484955,0.634116262122316,0.770782229490324,0.133895876452794,1.16557669441141,0.711910602343963,0.808627779586515,0.512764025039669,0.538229238160604,0.634116262122316,0.877806170965975,1.16557669441141,0.0168453292496172,0.664624808575804,0.613774084010281,0.272304691221256,1.03526616057,0.329047582165079,0.705039925980795,1.68672185343143,"QuBE",0.37,"ok" "ii8c1-90-shuffled",1,3673,510,4183,10448,25124,2,1,3,0,10272,176,10332,6944,1.70740811638591,0.697262633996937,1836.5,0.375287136294028,0.32197549770291,2.49772890270141,14.2843137254902,7.68823529411765,4.92158737748028,28.5686274509804,15.3764705882353,12.3797985298121,0.584142652443878,0.259791434484955,0.634116262122316,0.770782229490324,0.133895876452794,1.16557669441141,0.711910602343963,0.808627779586515,0.512764025039669,0.538229238160604,0.634116262122316,0.877806170965975,1.16557669441141,0.0168453292496172,0.664624808575804,0.613774084010281,0.272304691221256,1.03526616057,0.329047582165079,0.705039925980795,1.68672185343143,"sKizzo",3600,"memout" "ii8c1-90-shuffled",1,3673,510,4183,10448,25124,2,1,3,0,10272,176,10332,6944,1.70740811638591,0.697262633996937,1836.5,0.375287136294028,0.32197549770291,2.49772890270141,14.2843137254902,7.68823529411765,4.92158737748028,28.5686274509804,15.3764705882353,12.3797985298121,0.584142652443878,0.259791434484955,0.634116262122316,0.770782229490324,0.133895876452794,1.16557669441141,0.711910602343963,0.808627779586515,0.512764025039669,0.538229238160604,0.634116262122316,0.877806170965975,1.16557669441141,0.0168453292496172,0.664624808575804,0.613774084010281,0.272304691221256,1.03526616057,0.329047582165079,0.705039925980795,1.68672185343143,"sSolve",3600,"timeout" "ii8d1-00-shuffled",1,4785,530,5315,12195,29131,2,1,3,0,12037,158,12077,8056,1.73767937679377,0.651086510865109,2392.5,0.321525215252152,0.329561295612956,2.29444967074318,14.9811320754717,7.39811320754717,4.43424270931326,29.9622641509434,14.7962264150943,10.4629049111808,0.581373794239813,0.284027324842951,0.609551224576471,0.762694851204535,0.137962994747863,0.975615824832048,0.720063769485121,0.808011208117032,0.492524221379251,0.493828715365239,0.609551224576471,0.847173068788318,0.975615824832048,0.0129561295612956,0.66059860598606,0.616524837696676,0.284219655369808,1.31156528036639,0.345027315210952,0.741168355370546,2.12735189269319,"X2clsQ",3600,"timeout" "ii8d1-00-shuffled",1,4785,530,5315,12195,29131,2,1,3,0,12037,158,12077,8056,1.73767937679377,0.651086510865109,2392.5,0.321525215252152,0.329561295612956,2.29444967074318,14.9811320754717,7.39811320754717,4.43424270931326,29.9622641509434,14.7962264150943,10.4629049111808,0.581373794239813,0.284027324842951,0.609551224576471,0.762694851204535,0.137962994747863,0.975615824832048,0.720063769485121,0.808011208117032,0.492524221379251,0.493828715365239,0.609551224576471,0.847173068788318,0.975615824832048,0.0129561295612956,0.66059860598606,0.616524837696676,0.284219655369808,1.31156528036639,0.345027315210952,0.741168355370546,2.12735189269319,"quantor",3600,"memout" "ii8d1-00-shuffled",1,4785,530,5315,12195,29131,2,1,3,0,12037,158,12077,8056,1.73767937679377,0.651086510865109,2392.5,0.321525215252152,0.329561295612956,2.29444967074318,14.9811320754717,7.39811320754717,4.43424270931326,29.9622641509434,14.7962264150943,10.4629049111808,0.581373794239813,0.284027324842951,0.609551224576471,0.762694851204535,0.137962994747863,0.975615824832048,0.720063769485121,0.808011208117032,0.492524221379251,0.493828715365239,0.609551224576471,0.847173068788318,0.975615824832048,0.0129561295612956,0.66059860598606,0.616524837696676,0.284219655369808,1.31156528036639,0.345027315210952,0.741168355370546,2.12735189269319,"QuBE",3600,"timeout" "ii8d1-00-shuffled",1,4785,530,5315,12195,29131,2,1,3,0,12037,158,12077,8056,1.73767937679377,0.651086510865109,2392.5,0.321525215252152,0.329561295612956,2.29444967074318,14.9811320754717,7.39811320754717,4.43424270931326,29.9622641509434,14.7962264150943,10.4629049111808,0.581373794239813,0.284027324842951,0.609551224576471,0.762694851204535,0.137962994747863,0.975615824832048,0.720063769485121,0.808011208117032,0.492524221379251,0.493828715365239,0.609551224576471,0.847173068788318,0.975615824832048,0.0129561295612956,0.66059860598606,0.616524837696676,0.284219655369808,1.31156528036639,0.345027315210952,0.741168355370546,2.12735189269319,"sKizzo",3600,"memout" "ii8d1-00-shuffled",1,4785,530,5315,12195,29131,2,1,3,0,12037,158,12077,8056,1.73767937679377,0.651086510865109,2392.5,0.321525215252152,0.329561295612956,2.29444967074318,14.9811320754717,7.39811320754717,4.43424270931326,29.9622641509434,14.7962264150943,10.4629049111808,0.581373794239813,0.284027324842951,0.609551224576471,0.762694851204535,0.137962994747863,0.975615824832048,0.720063769485121,0.808011208117032,0.492524221379251,0.493828715365239,0.609551224576471,0.847173068788318,0.975615824832048,0.0129561295612956,0.66059860598606,0.616524837696676,0.284219655369808,1.31156528036639,0.345027315210952,0.741168355370546,2.12735189269319,"sSolve",3600,"timeout" "impl18-shuffled",1,56,18,74,146,435,19,18,37,1,1,144,74,74,1.99315068493151,0.986301369863014,2.94736842105263,0.493150684931507,0.493150684931507,2.94594594594595,8,4,55.972972972973,152,76,134.946428571429,0.498850574712644,0.335632183908046,0.498281786941581,0.668202764976959,0.16551724137931,1,1.00460829493088,0.883990147783251,0.440476190476191,0.5,0.498281786941581,0.882982225148124,1,0.986301369863014,0.506849315068493,0.499939635397803,0.442550145737397,0.67898104551491,0.500090138813773,0.884993446920052,1.35812605650809,"X2clsQ",4.23,"ok" "impl18-shuffled",1,56,18,74,146,435,19,18,37,1,1,144,74,74,1.99315068493151,0.986301369863014,2.94736842105263,0.493150684931507,0.493150684931507,2.94594594594595,8,4,55.972972972973,152,76,134.946428571429,0.498850574712644,0.335632183908046,0.498281786941581,0.668202764976959,0.16551724137931,1,1.00460829493088,0.883990147783251,0.440476190476191,0.5,0.498281786941581,0.882982225148124,1,0.986301369863014,0.506849315068493,0.499939635397803,0.442550145737397,0.67898104551491,0.500090138813773,0.884993446920052,1.35812605650809,"quantor",0,"ok" "impl18-shuffled",1,56,18,74,146,435,19,18,37,1,1,144,74,74,1.99315068493151,0.986301369863014,2.94736842105263,0.493150684931507,0.493150684931507,2.94594594594595,8,4,55.972972972973,152,76,134.946428571429,0.498850574712644,0.335632183908046,0.498281786941581,0.668202764976959,0.16551724137931,1,1.00460829493088,0.883990147783251,0.440476190476191,0.5,0.498281786941581,0.882982225148124,1,0.986301369863014,0.506849315068493,0.499939635397803,0.442550145737397,0.67898104551491,0.500090138813773,0.884993446920052,1.35812605650809,"QuBE",0.06,"ok" "impl18-shuffled",1,56,18,74,146,435,19,18,37,1,1,144,74,74,1.99315068493151,0.986301369863014,2.94736842105263,0.493150684931507,0.493150684931507,2.94594594594595,8,4,55.972972972973,152,76,134.946428571429,0.498850574712644,0.335632183908046,0.498281786941581,0.668202764976959,0.16551724137931,1,1.00460829493088,0.883990147783251,0.440476190476191,0.5,0.498281786941581,0.882982225148124,1,0.986301369863014,0.506849315068493,0.499939635397803,0.442550145737397,0.67898104551491,0.500090138813773,0.884993446920052,1.35812605650809,"sKizzo",0,"ok" "impl18-shuffled",1,56,18,74,146,435,19,18,37,1,1,144,74,74,1.99315068493151,0.986301369863014,2.94736842105263,0.493150684931507,0.493150684931507,2.94594594594595,8,4,55.972972972973,152,76,134.946428571429,0.498850574712644,0.335632183908046,0.498281786941581,0.668202764976959,0.16551724137931,1,1.00460829493088,0.883990147783251,0.440476190476191,0.5,0.498281786941581,0.882982225148124,1,0.986301369863014,0.506849315068493,0.499939635397803,0.442550145737397,0.67898104551491,0.500090138813773,0.884993446920052,1.35812605650809,"sSolve",1.02,"ok" "irst.dme4.B-d4-shuffled",1,897,48,945,2449,5713,2,1,3,1,1632,816,1744,1372,2.22988975091874,0.102899142507146,448.5,0.0514495712535729,0.0514495712535729,2.59153439153439,5.25,2.625,7.22857142857143,10.5,5.25,32.4648829431438,0.571328548923508,0.40661648870996,0.574620032960996,0.96139705882353,0.0220549623665325,1,0.75030637254902,1.00704138364242,0.578666153061696,0.5,0.574620032960996,1.01284305528231,1,0.333197223356472,0.560228664761127,0.575898677593593,0.430313125455124,0.308018252933507,0.421649682753317,1.01464697873015,0.534847994825356,"X2clsQ",3600,"timeout" "irst.dme4.B-d4-shuffled",1,897,48,945,2449,5713,2,1,3,1,1632,816,1744,1372,2.22988975091874,0.102899142507146,448.5,0.0514495712535729,0.0514495712535729,2.59153439153439,5.25,2.625,7.22857142857143,10.5,5.25,32.4648829431438,0.571328548923508,0.40661648870996,0.574620032960996,0.96139705882353,0.0220549623665325,1,0.75030637254902,1.00704138364242,0.578666153061696,0.5,0.574620032960996,1.01284305528231,1,0.333197223356472,0.560228664761127,0.575898677593593,0.430313125455124,0.308018252933507,0.421649682753317,1.01464697873015,0.534847994825356,"quantor",3600,"memout" "irst.dme4.B-d4-shuffled",1,897,48,945,2449,5713,2,1,3,1,1632,816,1744,1372,2.22988975091874,0.102899142507146,448.5,0.0514495712535729,0.0514495712535729,2.59153439153439,5.25,2.625,7.22857142857143,10.5,5.25,32.4648829431438,0.571328548923508,0.40661648870996,0.574620032960996,0.96139705882353,0.0220549623665325,1,0.75030637254902,1.00704138364242,0.578666153061696,0.5,0.574620032960996,1.01284305528231,1,0.333197223356472,0.560228664761127,0.575898677593593,0.430313125455124,0.308018252933507,0.421649682753317,1.01464697873015,0.534847994825356,"QuBE",3600,"timeout" "irst.dme4.B-d4-shuffled",1,897,48,945,2449,5713,2,1,3,1,1632,816,1744,1372,2.22988975091874,0.102899142507146,448.5,0.0514495712535729,0.0514495712535729,2.59153439153439,5.25,2.625,7.22857142857143,10.5,5.25,32.4648829431438,0.571328548923508,0.40661648870996,0.574620032960996,0.96139705882353,0.0220549623665325,1,0.75030637254902,1.00704138364242,0.578666153061696,0.5,0.574620032960996,1.01284305528231,1,0.333197223356472,0.560228664761127,0.575898677593593,0.430313125455124,0.308018252933507,0.421649682753317,1.01464697873015,0.534847994825356,"sKizzo",96.86,"ok" "irst.dme4.B-d4-shuffled",1,897,48,945,2449,5713,2,1,3,1,1632,816,1744,1372,2.22988975091874,0.102899142507146,448.5,0.0514495712535729,0.0514495712535729,2.59153439153439,5.25,2.625,7.22857142857143,10.5,5.25,32.4648829431438,0.571328548923508,0.40661648870996,0.574620032960996,0.96139705882353,0.0220549623665325,1,0.75030637254902,1.00704138364242,0.578666153061696,0.5,0.574620032960996,1.01284305528231,1,0.333197223356472,0.560228664761127,0.575898677593593,0.430313125455124,0.308018252933507,0.421649682753317,1.01464697873015,0.534847994825356,"sSolve",8.84,"ok" "irst.dme5.B-d4-shuffled",1,1261,64,1325,3460,8072,2,1,3,1,2306,1153,2447,1937,2.22080924855491,0.112138728323699,630.5,0.0560693641618497,0.0560693641618497,2.61132075471698,6.0625,3.03125,7.24377358490566,12.125,6.0625,32.2125297383029,0.571357779980178,0.404608523290387,0.574960957834461,0.957935819601041,0.0240336967294351,1,0.75021682567216,1.00024639466058,0.575102625144516,0.5,0.574960957834461,1.00655429101616,1,0.333236994219653,0.559826589595376,0.57628465477662,0.427222255908556,0.354618245629525,0.421009325287987,1.00827657229012,0.615352573923702,"X2clsQ",3600,"timeout" "irst.dme5.B-d4-shuffled",1,1261,64,1325,3460,8072,2,1,3,1,2306,1153,2447,1937,2.22080924855491,0.112138728323699,630.5,0.0560693641618497,0.0560693641618497,2.61132075471698,6.0625,3.03125,7.24377358490566,12.125,6.0625,32.2125297383029,0.571357779980178,0.404608523290387,0.574960957834461,0.957935819601041,0.0240336967294351,1,0.75021682567216,1.00024639466058,0.575102625144516,0.5,0.574960957834461,1.00655429101616,1,0.333236994219653,0.559826589595376,0.57628465477662,0.427222255908556,0.354618245629525,0.421009325287987,1.00827657229012,0.615352573923702,"quantor",3600,"memout" "irst.dme5.B-d4-shuffled",1,1261,64,1325,3460,8072,2,1,3,1,2306,1153,2447,1937,2.22080924855491,0.112138728323699,630.5,0.0560693641618497,0.0560693641618497,2.61132075471698,6.0625,3.03125,7.24377358490566,12.125,6.0625,32.2125297383029,0.571357779980178,0.404608523290387,0.574960957834461,0.957935819601041,0.0240336967294351,1,0.75021682567216,1.00024639466058,0.575102625144516,0.5,0.574960957834461,1.00655429101616,1,0.333236994219653,0.559826589595376,0.57628465477662,0.427222255908556,0.354618245629525,0.421009325287987,1.00827657229012,0.615352573923702,"QuBE",3600,"timeout" "irst.dme5.B-d4-shuffled",1,1261,64,1325,3460,8072,2,1,3,1,2306,1153,2447,1937,2.22080924855491,0.112138728323699,630.5,0.0560693641618497,0.0560693641618497,2.61132075471698,6.0625,3.03125,7.24377358490566,12.125,6.0625,32.2125297383029,0.571357779980178,0.404608523290387,0.574960957834461,0.957935819601041,0.0240336967294351,1,0.75021682567216,1.00024639466058,0.575102625144516,0.5,0.574960957834461,1.00655429101616,1,0.333236994219653,0.559826589595376,0.57628465477662,0.427222255908556,0.354618245629525,0.421009325287987,1.00827657229012,0.615352573923702,"sKizzo",257.67,"ok" "irst.dme5.B-d4-shuffled",1,1261,64,1325,3460,8072,2,1,3,1,2306,1153,2447,1937,2.22080924855491,0.112138728323699,630.5,0.0560693641618497,0.0560693641618497,2.61132075471698,6.0625,3.03125,7.24377358490566,12.125,6.0625,32.2125297383029,0.571357779980178,0.404608523290387,0.574960957834461,0.957935819601041,0.0240336967294351,1,0.75021682567216,1.00024639466058,0.575102625144516,0.5,0.574960957834461,1.00655429101616,1,0.333236994219653,0.559826589595376,0.57628465477662,0.427222255908556,0.354618245629525,0.421009325287987,1.00827657229012,0.615352573923702,"sSolve",129.97,"ok" "jnh1-50-shuffled",1,1060,100,1160,5408,14412,2,1,3,0,4620,788,4800,2539,1.84245562130178,0.822485207100592,530,0.412167159763314,0.410318047337278,4.66206896551724,44.48,22.29,8.14396551724138,88.96,44.58,58.9264150943396,0.624757146822093,0.220580072162087,0.68095142513047,0.753553976010662,0.153968914793228,1.00450653447499,0.600621945801866,0.756591729114627,0.515202216182531,0.501124100719424,0.68095142513047,0.824643973747517,1.00450653447499,0.145710059171598,0.469489644970414,0.681640493361192,0.183988015682365,1.73487901866954,0.240109731446722,0.577925307225414,2.54515251891037,"X2clsQ",2.49,"ok" "jnh1-50-shuffled",1,1060,100,1160,5408,14412,2,1,3,0,4620,788,4800,2539,1.84245562130178,0.822485207100592,530,0.412167159763314,0.410318047337278,4.66206896551724,44.48,22.29,8.14396551724138,88.96,44.58,58.9264150943396,0.624757146822093,0.220580072162087,0.68095142513047,0.753553976010662,0.153968914793228,1.00450653447499,0.600621945801866,0.756591729114627,0.515202216182531,0.501124100719424,0.68095142513047,0.824643973747517,1.00450653447499,0.145710059171598,0.469489644970414,0.681640493361192,0.183988015682365,1.73487901866954,0.240109731446722,0.577925307225414,2.54515251891037,"quantor",3600,"memout" "jnh1-50-shuffled",1,1060,100,1160,5408,14412,2,1,3,0,4620,788,4800,2539,1.84245562130178,0.822485207100592,530,0.412167159763314,0.410318047337278,4.66206896551724,44.48,22.29,8.14396551724138,88.96,44.58,58.9264150943396,0.624757146822093,0.220580072162087,0.68095142513047,0.753553976010662,0.153968914793228,1.00450653447499,0.600621945801866,0.756591729114627,0.515202216182531,0.501124100719424,0.68095142513047,0.824643973747517,1.00450653447499,0.145710059171598,0.469489644970414,0.681640493361192,0.183988015682365,1.73487901866954,0.240109731446722,0.577925307225414,2.54515251891037,"QuBE",0.09,"ok" "jnh1-50-shuffled",1,1060,100,1160,5408,14412,2,1,3,0,4620,788,4800,2539,1.84245562130178,0.822485207100592,530,0.412167159763314,0.410318047337278,4.66206896551724,44.48,22.29,8.14396551724138,88.96,44.58,58.9264150943396,0.624757146822093,0.220580072162087,0.68095142513047,0.753553976010662,0.153968914793228,1.00450653447499,0.600621945801866,0.756591729114627,0.515202216182531,0.501124100719424,0.68095142513047,0.824643973747517,1.00450653447499,0.145710059171598,0.469489644970414,0.681640493361192,0.183988015682365,1.73487901866954,0.240109731446722,0.577925307225414,2.54515251891037,"sKizzo",3600,"memout" "jnh1-50-shuffled",1,1060,100,1160,5408,14412,2,1,3,0,4620,788,4800,2539,1.84245562130178,0.822485207100592,530,0.412167159763314,0.410318047337278,4.66206896551724,44.48,22.29,8.14396551724138,88.96,44.58,58.9264150943396,0.624757146822093,0.220580072162087,0.68095142513047,0.753553976010662,0.153968914793228,1.00450653447499,0.600621945801866,0.756591729114627,0.515202216182531,0.501124100719424,0.68095142513047,0.824643973747517,1.00450653447499,0.145710059171598,0.469489644970414,0.681640493361192,0.183988015682365,1.73487901866954,0.240109731446722,0.577925307225414,2.54515251891037,"sSolve",3376.17,"ok" "jnh207-90-shuffled",1,916,100,1016,4761,12665,2,1,3,0,4018,743,4154,2148,1.83154799411888,0.828607435412728,458,0.398865784499055,0.429741650913674,4.68602362204724,39.45,18.99,8.14566929133858,78.9,37.98,55.1823144104804,0.624082116067904,0.225977102250296,0.671788990825688,0.741143724696356,0.161547572048954,0.928152492668622,0.602353238866397,0.763676673154535,0.513029581575604,0.481368821292776,0.671788990825688,0.822054611671941,0.928152492668622,0.156059651333753,0.451165721487083,0.683275928052048,0.190082840750898,1.59107233065442,0.245504385964912,0.600152806769087,2.32859415257085,"X2clsQ",90.98,"ok" "jnh207-90-shuffled",1,916,100,1016,4761,12665,2,1,3,0,4018,743,4154,2148,1.83154799411888,0.828607435412728,458,0.398865784499055,0.429741650913674,4.68602362204724,39.45,18.99,8.14566929133858,78.9,37.98,55.1823144104804,0.624082116067904,0.225977102250296,0.671788990825688,0.741143724696356,0.161547572048954,0.928152492668622,0.602353238866397,0.763676673154535,0.513029581575604,0.481368821292776,0.671788990825688,0.822054611671941,0.928152492668622,0.156059651333753,0.451165721487083,0.683275928052048,0.190082840750898,1.59107233065442,0.245504385964912,0.600152806769087,2.32859415257085,"quantor",3600,"memout" "jnh207-90-shuffled",1,916,100,1016,4761,12665,2,1,3,0,4018,743,4154,2148,1.83154799411888,0.828607435412728,458,0.398865784499055,0.429741650913674,4.68602362204724,39.45,18.99,8.14566929133858,78.9,37.98,55.1823144104804,0.624082116067904,0.225977102250296,0.671788990825688,0.741143724696356,0.161547572048954,0.928152492668622,0.602353238866397,0.763676673154535,0.513029581575604,0.481368821292776,0.671788990825688,0.822054611671941,0.928152492668622,0.156059651333753,0.451165721487083,0.683275928052048,0.190082840750898,1.59107233065442,0.245504385964912,0.600152806769087,2.32859415257085,"QuBE",0.24,"ok" "jnh207-90-shuffled",1,916,100,1016,4761,12665,2,1,3,0,4018,743,4154,2148,1.83154799411888,0.828607435412728,458,0.398865784499055,0.429741650913674,4.68602362204724,39.45,18.99,8.14566929133858,78.9,37.98,55.1823144104804,0.624082116067904,0.225977102250296,0.671788990825688,0.741143724696356,0.161547572048954,0.928152492668622,0.602353238866397,0.763676673154535,0.513029581575604,0.481368821292776,0.671788990825688,0.822054611671941,0.928152492668622,0.156059651333753,0.451165721487083,0.683275928052048,0.190082840750898,1.59107233065442,0.245504385964912,0.600152806769087,2.32859415257085,"sKizzo",3600,"memout" "jnh207-90-shuffled",1,916,100,1016,4761,12665,2,1,3,0,4018,743,4154,2148,1.83154799411888,0.828607435412728,458,0.398865784499055,0.429741650913674,4.68602362204724,39.45,18.99,8.14566929133858,78.9,37.98,55.1823144104804,0.624082116067904,0.225977102250296,0.671788990825688,0.741143724696356,0.161547572048954,0.928152492668622,0.602353238866397,0.763676673154535,0.513029581575604,0.481368821292776,0.671788990825688,0.822054611671941,0.928152492668622,0.156059651333753,0.451165721487083,0.683275928052048,0.190082840750898,1.59107233065442,0.245504385964912,0.600152806769087,2.32859415257085,"sSolve",3600,"timeout" "jnh218-00-shuffled",1,1097,100,1197,5000,13202,2,1,3,0,4275,725,4419,2317,1.8398,0.8006,548.5,0.3756,0.425,4.17710944026734,40.03,18.78,7.24644945697577,80.06,37.56,47.5022789425706,0.621269504620512,0.236479321314952,0.660615284270029,0.74091684954889,0.160960460536282,0.883764705882353,0.60960741282614,0.760305992144777,0.502269759132929,0.469148138895828,0.660615284270029,0.808457127538762,0.883764705882353,0.145,0.4634,0.681138109767305,0.197269182902537,1.87010623828254,0.256185862374329,0.618666541676011,2.74556101246694,"X2clsQ",1.1,"ok" "jnh218-00-shuffled",1,1097,100,1197,5000,13202,2,1,3,0,4275,725,4419,2317,1.8398,0.8006,548.5,0.3756,0.425,4.17710944026734,40.03,18.78,7.24644945697577,80.06,37.56,47.5022789425706,0.621269504620512,0.236479321314952,0.660615284270029,0.74091684954889,0.160960460536282,0.883764705882353,0.60960741282614,0.760305992144777,0.502269759132929,0.469148138895828,0.660615284270029,0.808457127538762,0.883764705882353,0.145,0.4634,0.681138109767305,0.197269182902537,1.87010623828254,0.256185862374329,0.618666541676011,2.74556101246694,"quantor",280.62,"ok" "jnh218-00-shuffled",1,1097,100,1197,5000,13202,2,1,3,0,4275,725,4419,2317,1.8398,0.8006,548.5,0.3756,0.425,4.17710944026734,40.03,18.78,7.24644945697577,80.06,37.56,47.5022789425706,0.621269504620512,0.236479321314952,0.660615284270029,0.74091684954889,0.160960460536282,0.883764705882353,0.60960741282614,0.760305992144777,0.502269759132929,0.469148138895828,0.660615284270029,0.808457127538762,0.883764705882353,0.145,0.4634,0.681138109767305,0.197269182902537,1.87010623828254,0.256185862374329,0.618666541676011,2.74556101246694,"QuBE",0.09,"ok" "jnh218-00-shuffled",1,1097,100,1197,5000,13202,2,1,3,0,4275,725,4419,2317,1.8398,0.8006,548.5,0.3756,0.425,4.17710944026734,40.03,18.78,7.24644945697577,80.06,37.56,47.5022789425706,0.621269504620512,0.236479321314952,0.660615284270029,0.74091684954889,0.160960460536282,0.883764705882353,0.60960741282614,0.760305992144777,0.502269759132929,0.469148138895828,0.660615284270029,0.808457127538762,0.883764705882353,0.145,0.4634,0.681138109767305,0.197269182902537,1.87010623828254,0.256185862374329,0.618666541676011,2.74556101246694,"sKizzo",3600,"memout" "jnh218-00-shuffled",1,1097,100,1197,5000,13202,2,1,3,0,4275,725,4419,2317,1.8398,0.8006,548.5,0.3756,0.425,4.17710944026734,40.03,18.78,7.24644945697577,80.06,37.56,47.5022789425706,0.621269504620512,0.236479321314952,0.660615284270029,0.74091684954889,0.160960460536282,0.883764705882353,0.60960741282614,0.760305992144777,0.502269759132929,0.469148138895828,0.660615284270029,0.808457127538762,0.883764705882353,0.145,0.4634,0.681138109767305,0.197269182902537,1.87010623828254,0.256185862374329,0.618666541676011,2.74556101246694,"sSolve",1.82,"ok" "jnh218-90-shuffled",1,917,100,1017,4730,12572,2,1,3,0,4005,725,4149,2137,1.83065539112051,0.827272727272727,458.5,0.397040169133192,0.430232558139535,4.65093411996067,39.13,18.78,8.08652900688299,78.26,37.56,54.2824427480916,0.623767101495387,0.22685332484887,0.670631712668899,0.74049987248151,0.161867642379892,0.922850122850123,0.603162458556491,0.76386213881326,0.512270174395265,0.479938665985178,0.670631712668899,0.821252312228676,0.922850122850123,0.153276955602537,0.451797040169133,0.682997340323016,0.191004934019641,1.59549396754423,0.24661919743887,0.602534168685742,2.33601783396354,"X2clsQ",42.47,"ok" "jnh218-90-shuffled",1,917,100,1017,4730,12572,2,1,3,0,4005,725,4149,2137,1.83065539112051,0.827272727272727,458.5,0.397040169133192,0.430232558139535,4.65093411996067,39.13,18.78,8.08652900688299,78.26,37.56,54.2824427480916,0.623767101495387,0.22685332484887,0.670631712668899,0.74049987248151,0.161867642379892,0.922850122850123,0.603162458556491,0.76386213881326,0.512270174395265,0.479938665985178,0.670631712668899,0.821252312228676,0.922850122850123,0.153276955602537,0.451797040169133,0.682997340323016,0.191004934019641,1.59549396754423,0.24661919743887,0.602534168685742,2.33601783396354,"quantor",3600,"memout" "jnh218-90-shuffled",1,917,100,1017,4730,12572,2,1,3,0,4005,725,4149,2137,1.83065539112051,0.827272727272727,458.5,0.397040169133192,0.430232558139535,4.65093411996067,39.13,18.78,8.08652900688299,78.26,37.56,54.2824427480916,0.623767101495387,0.22685332484887,0.670631712668899,0.74049987248151,0.161867642379892,0.922850122850123,0.603162458556491,0.76386213881326,0.512270174395265,0.479938665985178,0.670631712668899,0.821252312228676,0.922850122850123,0.153276955602537,0.451797040169133,0.682997340323016,0.191004934019641,1.59549396754423,0.24661919743887,0.602534168685742,2.33601783396354,"QuBE",0.25,"ok" "jnh218-90-shuffled",1,917,100,1017,4730,12572,2,1,3,0,4005,725,4149,2137,1.83065539112051,0.827272727272727,458.5,0.397040169133192,0.430232558139535,4.65093411996067,39.13,18.78,8.08652900688299,78.26,37.56,54.2824427480916,0.623767101495387,0.22685332484887,0.670631712668899,0.74049987248151,0.161867642379892,0.922850122850123,0.603162458556491,0.76386213881326,0.512270174395265,0.479938665985178,0.670631712668899,0.821252312228676,0.922850122850123,0.153276955602537,0.451797040169133,0.682997340323016,0.191004934019641,1.59549396754423,0.24661919743887,0.602534168685742,2.33601783396354,"sKizzo",3600,"memout" "jnh218-90-shuffled",1,917,100,1017,4730,12572,2,1,3,0,4005,725,4149,2137,1.83065539112051,0.827272727272727,458.5,0.397040169133192,0.430232558139535,4.65093411996067,39.13,18.78,8.08652900688299,78.26,37.56,54.2824427480916,0.623767101495387,0.22685332484887,0.670631712668899,0.74049987248151,0.161867642379892,0.922850122850123,0.603162458556491,0.76386213881326,0.512270174395265,0.479938665985178,0.670631712668899,0.821252312228676,0.922850122850123,0.153276955602537,0.451797040169133,0.682997340323016,0.191004934019641,1.59549396754423,0.24661919743887,0.602534168685742,2.33601783396354,"sSolve",3600,"timeout" "k_branch_n-20-shuffled",1,13694,127,13821,78949,216639,23,22,45,1,68845,10103,63261,37595,2.48863190160737,0.255405388288642,595.391304347826,0.127702694144321,0.127702694144321,6.89139714926561,158.771653543307,79.3858267716535,204.696910498517,3809.82677165354,1904.91338582677,3421.6968745436,0.560346936608829,0.393114813122291,0.566540272299275,0.916947435189838,0.0465382502688805,1,0.784608667715601,0.915334430120316,0.518573817285265,0.5,0.566540272299275,0.925451329177651,1,0.127968688647101,0.476193492001165,0.586184179251403,0.381939115984768,3.85097798638542,0.40725178649375,0.922968859174683,6.56956997935935,"X2clsQ",3600,"timeout" "k_branch_n-20-shuffled",1,13694,127,13821,78949,216639,23,22,45,1,68845,10103,63261,37595,2.48863190160737,0.255405388288642,595.391304347826,0.127702694144321,0.127702694144321,6.89139714926561,158.771653543307,79.3858267716535,204.696910498517,3809.82677165354,1904.91338582677,3421.6968745436,0.560346936608829,0.393114813122291,0.566540272299275,0.916947435189838,0.0465382502688805,1,0.784608667715601,0.915334430120316,0.518573817285265,0.5,0.566540272299275,0.925451329177651,1,0.127968688647101,0.476193492001165,0.586184179251403,0.381939115984768,3.85097798638542,0.40725178649375,0.922968859174683,6.56956997935935,"quantor",3600,"memout" "k_branch_n-20-shuffled",1,13694,127,13821,78949,216639,23,22,45,1,68845,10103,63261,37595,2.48863190160737,0.255405388288642,595.391304347826,0.127702694144321,0.127702694144321,6.89139714926561,158.771653543307,79.3858267716535,204.696910498517,3809.82677165354,1904.91338582677,3421.6968745436,0.560346936608829,0.393114813122291,0.566540272299275,0.916947435189838,0.0465382502688805,1,0.784608667715601,0.915334430120316,0.518573817285265,0.5,0.566540272299275,0.925451329177651,1,0.127968688647101,0.476193492001165,0.586184179251403,0.381939115984768,3.85097798638542,0.40725178649375,0.922968859174683,6.56956997935935,"QuBE",3600,"memout" "k_branch_n-20-shuffled",1,13694,127,13821,78949,216639,23,22,45,1,68845,10103,63261,37595,2.48863190160737,0.255405388288642,595.391304347826,0.127702694144321,0.127702694144321,6.89139714926561,158.771653543307,79.3858267716535,204.696910498517,3809.82677165354,1904.91338582677,3421.6968745436,0.560346936608829,0.393114813122291,0.566540272299275,0.916947435189838,0.0465382502688805,1,0.784608667715601,0.915334430120316,0.518573817285265,0.5,0.566540272299275,0.925451329177651,1,0.127968688647101,0.476193492001165,0.586184179251403,0.381939115984768,3.85097798638542,0.40725178649375,0.922968859174683,6.56956997935935,"sKizzo",3600,"memout" "k_branch_n-20-shuffled",1,13694,127,13821,78949,216639,23,22,45,1,68845,10103,63261,37595,2.48863190160737,0.255405388288642,595.391304347826,0.127702694144321,0.127702694144321,6.89139714926561,158.771653543307,79.3858267716535,204.696910498517,3809.82677165354,1904.91338582677,3421.6968745436,0.560346936608829,0.393114813122291,0.566540272299275,0.916947435189838,0.0465382502688805,1,0.784608667715601,0.915334430120316,0.518573817285265,0.5,0.566540272299275,0.925451329177651,1,0.127968688647101,0.476193492001165,0.586184179251403,0.381939115984768,3.85097798638542,0.40725178649375,0.922968859174683,6.56956997935935,"sSolve",3600,"timeout" "k_branch_n-4-shuffled",1,782,21,803,2565,6559,7,6,13,1,1997,567,1839,1835,2.30604288499025,0.251072124756335,111.714285714286,0.125536062378168,0.125536062378168,4.04234122042341,30.6666666666667,15.3333333333333,39.6662515566625,244.190476190476,122.095238095238,159.873401534527,0.50510748589724,0.445799664583016,0.505663567202029,0.902807123453064,0.0490928495197439,1,0.979776637488681,0.926031820551523,0.468260553722672,0.5,0.505663567202029,0.92705130451766,1,0.221052631578947,0.715399610136452,0.507598126362329,0.464923499217183,1.51564419729584,0.49174767877231,0.944195227736465,2.98591369546143,"X2clsQ",274.59,"ok" "k_branch_n-4-shuffled",1,782,21,803,2565,6559,7,6,13,1,1997,567,1839,1835,2.30604288499025,0.251072124756335,111.714285714286,0.125536062378168,0.125536062378168,4.04234122042341,30.6666666666667,15.3333333333333,39.6662515566625,244.190476190476,122.095238095238,159.873401534527,0.50510748589724,0.445799664583016,0.505663567202029,0.902807123453064,0.0490928495197439,1,0.979776637488681,0.926031820551523,0.468260553722672,0.5,0.505663567202029,0.92705130451766,1,0.221052631578947,0.715399610136452,0.507598126362329,0.464923499217183,1.51564419729584,0.49174767877231,0.944195227736465,2.98591369546143,"quantor",3600,"memout" "k_branch_n-4-shuffled",1,782,21,803,2565,6559,7,6,13,1,1997,567,1839,1835,2.30604288499025,0.251072124756335,111.714285714286,0.125536062378168,0.125536062378168,4.04234122042341,30.6666666666667,15.3333333333333,39.6662515566625,244.190476190476,122.095238095238,159.873401534527,0.50510748589724,0.445799664583016,0.505663567202029,0.902807123453064,0.0490928495197439,1,0.979776637488681,0.926031820551523,0.468260553722672,0.5,0.505663567202029,0.92705130451766,1,0.221052631578947,0.715399610136452,0.507598126362329,0.464923499217183,1.51564419729584,0.49174767877231,0.944195227736465,2.98591369546143,"QuBE",3600,"timeout" "k_branch_n-4-shuffled",1,782,21,803,2565,6559,7,6,13,1,1997,567,1839,1835,2.30604288499025,0.251072124756335,111.714285714286,0.125536062378168,0.125536062378168,4.04234122042341,30.6666666666667,15.3333333333333,39.6662515566625,244.190476190476,122.095238095238,159.873401534527,0.50510748589724,0.445799664583016,0.505663567202029,0.902807123453064,0.0490928495197439,1,0.979776637488681,0.926031820551523,0.468260553722672,0.5,0.505663567202029,0.92705130451766,1,0.221052631578947,0.715399610136452,0.507598126362329,0.464923499217183,1.51564419729584,0.49174767877231,0.944195227736465,2.98591369546143,"sKizzo",1.42,"ok" "k_branch_n-4-shuffled",1,782,21,803,2565,6559,7,6,13,1,1997,567,1839,1835,2.30604288499025,0.251072124756335,111.714285714286,0.125536062378168,0.125536062378168,4.04234122042341,30.6666666666667,15.3333333333333,39.6662515566625,244.190476190476,122.095238095238,159.873401534527,0.50510748589724,0.445799664583016,0.505663567202029,0.902807123453064,0.0490928495197439,1,0.979776637488681,0.926031820551523,0.468260553722672,0.5,0.505663567202029,0.92705130451766,1,0.221052631578947,0.715399610136452,0.507598126362329,0.464923499217183,1.51564419729584,0.49174767877231,0.944195227736465,2.98591369546143,"sSolve",6.76,"ok" "k_branch_n-5-shuffled",1,1124,25,1149,3874,9984,8,7,15,1,3055,818,2817,2675,2.32834279814146,0.248838409912235,140.5,0.124419204956118,0.124419204956118,4.23933855526545,38.56,19.28,47.1897302001741,345.92,172.96,215.900355871886,0.512119391025641,0.439603365384615,0.513414634146341,0.905730490905535,0.0482772435897436,1,0.952669665558381,0.923539941486449,0.474158921177799,0.5,0.513414634146341,0.925875742037775,1,0.21115126484254,0.690500774393392,0.516876058094983,0.454484618362837,1.7707479283614,0.481714969782395,0.940720545892942,3.42586564153838,"X2clsQ",3600,"timeout" "k_branch_n-5-shuffled",1,1124,25,1149,3874,9984,8,7,15,1,3055,818,2817,2675,2.32834279814146,0.248838409912235,140.5,0.124419204956118,0.124419204956118,4.23933855526545,38.56,19.28,47.1897302001741,345.92,172.96,215.900355871886,0.512119391025641,0.439603365384615,0.513414634146341,0.905730490905535,0.0482772435897436,1,0.952669665558381,0.923539941486449,0.474158921177799,0.5,0.513414634146341,0.925875742037775,1,0.21115126484254,0.690500774393392,0.516876058094983,0.454484618362837,1.7707479283614,0.481714969782395,0.940720545892942,3.42586564153838,"quantor",3600,"memout" "k_branch_n-5-shuffled",1,1124,25,1149,3874,9984,8,7,15,1,3055,818,2817,2675,2.32834279814146,0.248838409912235,140.5,0.124419204956118,0.124419204956118,4.23933855526545,38.56,19.28,47.1897302001741,345.92,172.96,215.900355871886,0.512119391025641,0.439603365384615,0.513414634146341,0.905730490905535,0.0482772435897436,1,0.952669665558381,0.923539941486449,0.474158921177799,0.5,0.513414634146341,0.925875742037775,1,0.21115126484254,0.690500774393392,0.516876058094983,0.454484618362837,1.7707479283614,0.481714969782395,0.940720545892942,3.42586564153838,"QuBE",3600,"timeout" "k_branch_n-5-shuffled",1,1124,25,1149,3874,9984,8,7,15,1,3055,818,2817,2675,2.32834279814146,0.248838409912235,140.5,0.124419204956118,0.124419204956118,4.23933855526545,38.56,19.28,47.1897302001741,345.92,172.96,215.900355871886,0.512119391025641,0.439603365384615,0.513414634146341,0.905730490905535,0.0482772435897436,1,0.952669665558381,0.923539941486449,0.474158921177799,0.5,0.513414634146341,0.925875742037775,1,0.21115126484254,0.690500774393392,0.516876058094983,0.454484618362837,1.7707479283614,0.481714969782395,0.940720545892942,3.42586564153838,"sKizzo",29.28,"ok" "k_branch_n-5-shuffled",1,1124,25,1149,3874,9984,8,7,15,1,3055,818,2817,2675,2.32834279814146,0.248838409912235,140.5,0.124419204956118,0.124419204956118,4.23933855526545,38.56,19.28,47.1897302001741,345.92,172.96,215.900355871886,0.512119391025641,0.439603365384615,0.513414634146341,0.905730490905535,0.0482772435897436,1,0.952669665558381,0.923539941486449,0.474158921177799,0.5,0.513414634146341,0.925875742037775,1,0.21115126484254,0.690500774393392,0.516876058094983,0.454484618362837,1.7707479283614,0.481714969782395,0.940720545892942,3.42586564153838,"sSolve",49.68,"ok" "k_branch_n-6",1,1528,29,1557,5505,14283,9,8,17,1,4389,1115,4069,3673,2.3496821071753,0.244868301544051,169.777777777778,0.122434150772025,0.122434150772025,4.417469492614,46.4827586206897,23.2413793103448,54.9094412331407,463.724137931034,231.862068965517,284.098821989529,0.518448505215991,0.434362528880487,0.520371086200232,0.908980418636057,0.0471889659035217,1,0.928831870357866,0.922809921581435,0.480203601249682,0.5,0.520371086200232,0.926232010351008,1,0.202543142597639,0.667211625794732,0.525452516943367,0.445523012264123,2.00383684067579,0.472494316511808,0.938837583532086,3.81354504177162,"X2clsQ",3600,"timeout" "k_branch_n-6",1,1528,29,1557,5505,14283,9,8,17,1,4389,1115,4069,3673,2.3496821071753,0.244868301544051,169.777777777778,0.122434150772025,0.122434150772025,4.417469492614,46.4827586206897,23.2413793103448,54.9094412331407,463.724137931034,231.862068965517,284.098821989529,0.518448505215991,0.434362528880487,0.520371086200232,0.908980418636057,0.0471889659035217,1,0.928831870357866,0.922809921581435,0.480203601249682,0.5,0.520371086200232,0.926232010351008,1,0.202543142597639,0.667211625794732,0.525452516943367,0.445523012264123,2.00383684067579,0.472494316511808,0.938837583532086,3.81354504177162,"quantor",3600,"memout" "k_branch_n-6",1,1528,29,1557,5505,14283,9,8,17,1,4389,1115,4069,3673,2.3496821071753,0.244868301544051,169.777777777778,0.122434150772025,0.122434150772025,4.417469492614,46.4827586206897,23.2413793103448,54.9094412331407,463.724137931034,231.862068965517,284.098821989529,0.518448505215991,0.434362528880487,0.520371086200232,0.908980418636057,0.0471889659035217,1,0.928831870357866,0.922809921581435,0.480203601249682,0.5,0.520371086200232,0.926232010351008,1,0.202543142597639,0.667211625794732,0.525452516943367,0.445523012264123,2.00383684067579,0.472494316511808,0.938837583532086,3.81354504177162,"QuBE",3600,"memout" "k_branch_n-6",1,1528,29,1557,5505,14283,9,8,17,1,4389,1115,4069,3673,2.3496821071753,0.244868301544051,169.777777777778,0.122434150772025,0.122434150772025,4.417469492614,46.4827586206897,23.2413793103448,54.9094412331407,463.724137931034,231.862068965517,284.098821989529,0.518448505215991,0.434362528880487,0.520371086200232,0.908980418636057,0.0471889659035217,1,0.928831870357866,0.922809921581435,0.480203601249682,0.5,0.520371086200232,0.926232010351008,1,0.202543142597639,0.667211625794732,0.525452516943367,0.445523012264123,2.00383684067579,0.472494316511808,0.938837583532086,3.81354504177162,"sKizzo",148.12,"ok" "k_branch_n-6",1,1528,29,1557,5505,14283,9,8,17,1,4389,1115,4069,3673,2.3496821071753,0.244868301544051,169.777777777778,0.122434150772025,0.122434150772025,4.417469492614,46.4827586206897,23.2413793103448,54.9094412331407,463.724137931034,231.862068965517,284.098821989529,0.518448505215991,0.434362528880487,0.520371086200232,0.908980418636057,0.0471889659035217,1,0.928831870357866,0.922809921581435,0.480203601249682,0.5,0.520371086200232,0.926232010351008,1,0.202543142597639,0.667211625794732,0.525452516943367,0.445523012264123,2.00383684067579,0.472494316511808,0.938837583532086,3.81354504177162,"sSolve",818.25,"ok" "k_branch_n-7",1,1994,33,2027,7482,19528,10,9,19,1,6023,1458,5609,4829,2.36995455760492,0.240042769313018,199.4,0.120021384656509,0.120021384656509,4.5836211149482,54.4242424242424,27.2121212121212,62.8480513073508,597.575757575758,298.787878787879,366.088264794383,0.524221630479312,0.429793117574764,0.52667493796526,0.912278987984761,0.0459852519459238,1,0.907590114291296,0.923057065502119,0.486151022711724,0.5,0.526674937965261,0.927376884977488,1,0.194867682437851,0.645415664260893,0.53341708358666,0.437594328507325,2.21819637958287,0.463981461743133,0.937870447274725,4.15846520075412,"X2clsQ",3600,"timeout" "k_branch_n-7",1,1994,33,2027,7482,19528,10,9,19,1,6023,1458,5609,4829,2.36995455760492,0.240042769313018,199.4,0.120021384656509,0.120021384656509,4.5836211149482,54.4242424242424,27.2121212121212,62.8480513073508,597.575757575758,298.787878787879,366.088264794383,0.524221630479312,0.429793117574764,0.52667493796526,0.912278987984761,0.0459852519459238,1,0.907590114291296,0.923057065502119,0.486151022711724,0.5,0.526674937965261,0.927376884977488,1,0.194867682437851,0.645415664260893,0.53341708358666,0.437594328507325,2.21819637958287,0.463981461743133,0.937870447274725,4.15846520075412,"quantor",3600,"memout" "k_branch_n-7",1,1994,33,2027,7482,19528,10,9,19,1,6023,1458,5609,4829,2.36995455760492,0.240042769313018,199.4,0.120021384656509,0.120021384656509,4.5836211149482,54.4242424242424,27.2121212121212,62.8480513073508,597.575757575758,298.787878787879,366.088264794383,0.524221630479312,0.429793117574764,0.52667493796526,0.912278987984761,0.0459852519459238,1,0.907590114291296,0.923057065502119,0.486151022711724,0.5,0.526674937965261,0.927376884977488,1,0.194867682437851,0.645415664260893,0.53341708358666,0.437594328507325,2.21819637958287,0.463981461743133,0.937870447274725,4.15846520075412,"QuBE",3600,"memout" "k_branch_n-7",1,1994,33,2027,7482,19528,10,9,19,1,6023,1458,5609,4829,2.36995455760492,0.240042769313018,199.4,0.120021384656509,0.120021384656509,4.5836211149482,54.4242424242424,27.2121212121212,62.8480513073508,597.575757575758,298.787878787879,366.088264794383,0.524221630479312,0.429793117574764,0.52667493796526,0.912278987984761,0.0459852519459238,1,0.907590114291296,0.923057065502119,0.486151022711724,0.5,0.526674937965261,0.927376884977488,1,0.194867682437851,0.645415664260893,0.53341708358666,0.437594328507325,2.21819637958287,0.463981461743133,0.937870447274725,4.15846520075412,"sKizzo",238.23,"ok" "k_branch_n-7",1,1994,33,2027,7482,19528,10,9,19,1,6023,1458,5609,4829,2.36995455760492,0.240042769313018,199.4,0.120021384656509,0.120021384656509,4.5836211149482,54.4242424242424,27.2121212121212,62.8480513073508,597.575757575758,298.787878787879,366.088264794383,0.524221630479312,0.429793117574764,0.52667493796526,0.912278987984761,0.0459852519459238,1,0.907590114291296,0.923057065502119,0.486151022711724,0.5,0.526674937965261,0.927376884977488,1,0.194867682437851,0.645415664260893,0.53341708358666,0.437594328507325,2.21819637958287,0.463981461743133,0.937870447274725,4.15846520075412,"sSolve",3600,"timeout" "k_branch_n-8-shuffled",1,2522,46,2568,10117,26655,11,10,21,1,8269,1847,7497,6431,2.34960956805377,0.285064742512603,229.272727272727,0.142532371256301,0.142532371256301,4.9493769470405,62.695652173913,31.3478260869565,74.4704049844237,751.478260869565,375.739130434783,468.254163362411,0.523166385293566,0.422734946539111,0.525977030835893,0.896593761204733,0.0540986681673232,1,0.911437791323055,0.908068691630701,0.47762327421895,0.5,0.525977030835892,0.912947176357555,1,0.182564001186122,0.635662745873283,0.532144857972546,0.433333257864578,2.36055496504425,0.464885579824207,0.926212451116226,4.43592553733937,"X2clsQ",3600,"timeout" "k_branch_n-8-shuffled",1,2522,46,2568,10117,26655,11,10,21,1,8269,1847,7497,6431,2.34960956805377,0.285064742512603,229.272727272727,0.142532371256301,0.142532371256301,4.9493769470405,62.695652173913,31.3478260869565,74.4704049844237,751.478260869565,375.739130434783,468.254163362411,0.523166385293566,0.422734946539111,0.525977030835893,0.896593761204733,0.0540986681673232,1,0.911437791323055,0.908068691630701,0.47762327421895,0.5,0.525977030835892,0.912947176357555,1,0.182564001186122,0.635662745873283,0.532144857972546,0.433333257864578,2.36055496504425,0.464885579824207,0.926212451116226,4.43592553733937,"quantor",3600,"memout" "k_branch_n-8-shuffled",1,2522,46,2568,10117,26655,11,10,21,1,8269,1847,7497,6431,2.34960956805377,0.285064742512603,229.272727272727,0.142532371256301,0.142532371256301,4.9493769470405,62.695652173913,31.3478260869565,74.4704049844237,751.478260869565,375.739130434783,468.254163362411,0.523166385293566,0.422734946539111,0.525977030835893,0.896593761204733,0.0540986681673232,1,0.911437791323055,0.908068691630701,0.47762327421895,0.5,0.525977030835892,0.912947176357555,1,0.182564001186122,0.635662745873283,0.532144857972546,0.433333257864578,2.36055496504425,0.464885579824207,0.926212451116226,4.43592553733937,"QuBE",3600,"memout" "k_branch_n-8-shuffled",1,2522,46,2568,10117,26655,11,10,21,1,8269,1847,7497,6431,2.34960956805377,0.285064742512603,229.272727272727,0.142532371256301,0.142532371256301,4.9493769470405,62.695652173913,31.3478260869565,74.4704049844237,751.478260869565,375.739130434783,468.254163362411,0.523166385293566,0.422734946539111,0.525977030835893,0.896593761204733,0.0540986681673232,1,0.911437791323055,0.908068691630701,0.47762327421895,0.5,0.525977030835892,0.912947176357555,1,0.182564001186122,0.635662745873283,0.532144857972546,0.433333257864578,2.36055496504425,0.464885579824207,0.926212451116226,4.43592553733937,"sKizzo",690.22,"ok" "k_branch_n-8-shuffled",1,2522,46,2568,10117,26655,11,10,21,1,8269,1847,7497,6431,2.34960956805377,0.285064742512603,229.272727272727,0.142532371256301,0.142532371256301,4.9493769470405,62.695652173913,31.3478260869565,74.4704049844237,751.478260869565,375.739130434783,468.254163362411,0.523166385293566,0.422734946539111,0.525977030835893,0.896593761204733,0.0540986681673232,1,0.911437791323055,0.908068691630701,0.47762327421895,0.5,0.525977030835892,0.912947176357555,1,0.182564001186122,0.635662745873283,0.532144857972546,0.433333257864578,2.36055496504425,0.464885579824207,0.926212451116226,4.43592553733937,"sSolve",3600,"timeout" "k_branch_p-11-shuffled",1,4478,61,4539,19859,52995,14,13,27,1,16568,3290,15154,11562,2.40248753713681,0.266075834634171,319.857142857143,0.133037917317085,0.133037917317085,5.40647719762062,86.6229508196721,43.3114754098361,101.619299405155,1298.49180327869,649.245901639344,869.972979008486,0.536937446928956,0.413208793282385,0.54102827440213,0.907151642945001,0.0498537597886593,1,0.862414338429099,0.91255640210776,0.493718815526977,0.5,0.54102827440213,0.919509001189674,1,0.165667959111738,0.58220454202125,0.551665181127267,0.415422646052234,2.86441203018738,0.444025320161458,0.926590192340512,5.19230164995055,"X2clsQ",3600,"timeout" "k_branch_p-11-shuffled",1,4478,61,4539,19859,52995,14,13,27,1,16568,3290,15154,11562,2.40248753713681,0.266075834634171,319.857142857143,0.133037917317085,0.133037917317085,5.40647719762062,86.6229508196721,43.3114754098361,101.619299405155,1298.49180327869,649.245901639344,869.972979008486,0.536937446928956,0.413208793282385,0.54102827440213,0.907151642945001,0.0498537597886593,1,0.862414338429099,0.91255640210776,0.493718815526977,0.5,0.54102827440213,0.919509001189674,1,0.165667959111738,0.58220454202125,0.551665181127267,0.415422646052234,2.86441203018738,0.444025320161458,0.926590192340512,5.19230164995055,"quantor",3600,"memout" "k_branch_p-11-shuffled",1,4478,61,4539,19859,52995,14,13,27,1,16568,3290,15154,11562,2.40248753713681,0.266075834634171,319.857142857143,0.133037917317085,0.133037917317085,5.40647719762062,86.6229508196721,43.3114754098361,101.619299405155,1298.49180327869,649.245901639344,869.972979008486,0.536937446928956,0.413208793282385,0.54102827440213,0.907151642945001,0.0498537597886593,1,0.862414338429099,0.91255640210776,0.493718815526977,0.5,0.54102827440213,0.919509001189674,1,0.165667959111738,0.58220454202125,0.551665181127267,0.415422646052234,2.86441203018738,0.444025320161458,0.926590192340512,5.19230164995055,"QuBE",3600,"memout" "k_branch_p-11-shuffled",1,4478,61,4539,19859,52995,14,13,27,1,16568,3290,15154,11562,2.40248753713681,0.266075834634171,319.857142857143,0.133037917317085,0.133037917317085,5.40647719762062,86.6229508196721,43.3114754098361,101.619299405155,1298.49180327869,649.245901639344,869.972979008486,0.536937446928956,0.413208793282385,0.54102827440213,0.907151642945001,0.0498537597886593,1,0.862414338429099,0.91255640210776,0.493718815526977,0.5,0.54102827440213,0.919509001189674,1,0.165667959111738,0.58220454202125,0.551665181127267,0.415422646052234,2.86441203018738,0.444025320161458,0.926590192340512,5.19230164995055,"sKizzo",2.34,"ok" "k_branch_p-11-shuffled",1,4478,61,4539,19859,52995,14,13,27,1,16568,3290,15154,11562,2.40248753713681,0.266075834634171,319.857142857143,0.133037917317085,0.133037917317085,5.40647719762062,86.6229508196721,43.3114754098361,101.619299405155,1298.49180327869,649.245901639344,869.972979008486,0.536937446928956,0.413208793282385,0.54102827440213,0.907151642945001,0.0498537597886593,1,0.862414338429099,0.91255640210776,0.493718815526977,0.5,0.54102827440213,0.919509001189674,1,0.165667959111738,0.58220454202125,0.551665181127267,0.415422646052234,2.86441203018738,0.444025320161458,0.926590192340512,5.19230164995055,"sSolve",3600,"timeout" "k_branch_p-14-shuffled",1,6992,76,7068,33866,91302,17,16,33,1,28718,5147,26620,18186,2.44782377605858,0.248154491230142,411.294117647059,0.124077245615071,0.124077245615071,5.83573853989813,110.578947368421,55.2894736842105,130.997877758913,1989.57894736842,994.789473684211,1466.30091533181,0.548235526056384,0.405741385730871,0.553125527757003,0.916052342423334,0.0460230882127445,1,0.824033563080611,0.917822886874234,0.507671268689766,0.5,0.553125527757003,0.926009433101849,1,0.151981338215319,0.536998759818107,0.568022405712094,0.401016371201741,3.28041011821505,0.426814625630091,0.928326784778728,5.77514211627374,"X2clsQ",3600,"timeout" "k_branch_p-14-shuffled",1,6992,76,7068,33866,91302,17,16,33,1,28718,5147,26620,18186,2.44782377605858,0.248154491230142,411.294117647059,0.124077245615071,0.124077245615071,5.83573853989813,110.578947368421,55.2894736842105,130.997877758913,1989.57894736842,994.789473684211,1466.30091533181,0.548235526056384,0.405741385730871,0.553125527757003,0.916052342423334,0.0460230882127445,1,0.824033563080611,0.917822886874234,0.507671268689766,0.5,0.553125527757003,0.926009433101849,1,0.151981338215319,0.536998759818107,0.568022405712094,0.401016371201741,3.28041011821505,0.426814625630091,0.928326784778728,5.77514211627374,"quantor",3600,"memout" "k_branch_p-14-shuffled",1,6992,76,7068,33866,91302,17,16,33,1,28718,5147,26620,18186,2.44782377605858,0.248154491230142,411.294117647059,0.124077245615071,0.124077245615071,5.83573853989813,110.578947368421,55.2894736842105,130.997877758913,1989.57894736842,994.789473684211,1466.30091533181,0.548235526056384,0.405741385730871,0.553125527757003,0.916052342423334,0.0460230882127445,1,0.824033563080611,0.917822886874234,0.507671268689766,0.5,0.553125527757003,0.926009433101849,1,0.151981338215319,0.536998759818107,0.568022405712094,0.401016371201741,3.28041011821505,0.426814625630091,0.928326784778728,5.77514211627374,"QuBE",3600,"memout" "k_branch_p-14-shuffled",1,6992,76,7068,33866,91302,17,16,33,1,28718,5147,26620,18186,2.44782377605858,0.248154491230142,411.294117647059,0.124077245615071,0.124077245615071,5.83573853989813,110.578947368421,55.2894736842105,130.997877758913,1989.57894736842,994.789473684211,1466.30091533181,0.548235526056384,0.405741385730871,0.553125527757003,0.916052342423334,0.0460230882127445,1,0.824033563080611,0.917822886874234,0.507671268689766,0.5,0.553125527757003,0.926009433101849,1,0.151981338215319,0.536998759818107,0.568022405712094,0.401016371201741,3.28041011821505,0.426814625630091,0.928326784778728,5.77514211627374,"sKizzo",30.31,"ok" "k_branch_p-14-shuffled",1,6992,76,7068,33866,91302,17,16,33,1,28718,5147,26620,18186,2.44782377605858,0.248154491230142,411.294117647059,0.124077245615071,0.124077245615071,5.83573853989813,110.578947368421,55.2894736842105,130.997877758913,1989.57894736842,994.789473684211,1466.30091533181,0.548235526056384,0.405741385730871,0.553125527757003,0.916052342423334,0.0460230882127445,1,0.824033563080611,0.917822886874234,0.507671268689766,0.5,0.553125527757003,0.926009433101849,1,0.151981338215319,0.536998759818107,0.568022405712094,0.401016371201741,3.28041011821505,0.426814625630091,0.928326784778728,5.77514211627374,"sSolve",3600,"timeout" "k_branch_p-16-shuffled",1,8978,103,9081,46982,127714,19,18,37,1,40366,6615,36764,24520,2.44038142267251,0.277978800391639,472.526315789474,0.13898940019582,0.13898940019582,6.34192269573836,126.796116504854,63.3980582524272,158.393458870168,2535.22330097087,1267.61165048544,2001.6936957006,0.549062749581095,0.399807382119423,0.554651385908909,0.906877914521626,0.0511298682994817,1,0.821285455556665,0.908039578073604,0.503645410438665,0.5,0.554651385908909,0.917282060789807,1,0.140798603720574,0.5219020050232,0.569558519256241,0.395859371272349,3.44479273156245,0.424545121068401,0.919658975683161,6.04818050313924,"X2clsQ",3600,"timeout" "k_branch_p-16-shuffled",1,8978,103,9081,46982,127714,19,18,37,1,40366,6615,36764,24520,2.44038142267251,0.277978800391639,472.526315789474,0.13898940019582,0.13898940019582,6.34192269573836,126.796116504854,63.3980582524272,158.393458870168,2535.22330097087,1267.61165048544,2001.6936957006,0.549062749581095,0.399807382119423,0.554651385908909,0.906877914521626,0.0511298682994817,1,0.821285455556665,0.908039578073604,0.503645410438665,0.5,0.554651385908909,0.917282060789807,1,0.140798603720574,0.5219020050232,0.569558519256241,0.395859371272349,3.44479273156245,0.424545121068401,0.919658975683161,6.04818050313924,"quantor",3600,"memout" "k_branch_p-16-shuffled",1,8978,103,9081,46982,127714,19,18,37,1,40366,6615,36764,24520,2.44038142267251,0.277978800391639,472.526315789474,0.13898940019582,0.13898940019582,6.34192269573836,126.796116504854,63.3980582524272,158.393458870168,2535.22330097087,1267.61165048544,2001.6936957006,0.549062749581095,0.399807382119423,0.554651385908909,0.906877914521626,0.0511298682994817,1,0.821285455556665,0.908039578073604,0.503645410438665,0.5,0.554651385908909,0.917282060789807,1,0.140798603720574,0.5219020050232,0.569558519256241,0.395859371272349,3.44479273156245,0.424545121068401,0.919658975683161,6.04818050313924,"QuBE",3600,"memout" "k_branch_p-16-shuffled",1,8978,103,9081,46982,127714,19,18,37,1,40366,6615,36764,24520,2.44038142267251,0.277978800391639,472.526315789474,0.13898940019582,0.13898940019582,6.34192269573836,126.796116504854,63.3980582524272,158.393458870168,2535.22330097087,1267.61165048544,2001.6936957006,0.549062749581095,0.399807382119423,0.554651385908909,0.906877914521626,0.0511298682994817,1,0.821285455556665,0.908039578073604,0.503645410438665,0.5,0.554651385908909,0.917282060789807,1,0.140798603720574,0.5219020050232,0.569558519256241,0.395859371272349,3.44479273156245,0.424545121068401,0.919658975683161,6.04818050313924,"sKizzo",41.95,"ok" "k_branch_p-16-shuffled",1,8978,103,9081,46982,127714,19,18,37,1,40366,6615,36764,24520,2.44038142267251,0.277978800391639,472.526315789474,0.13898940019582,0.13898940019582,6.34192269573836,126.796116504854,63.3980582524272,158.393458870168,2535.22330097087,1267.61165048544,2001.6936957006,0.549062749581095,0.399807382119423,0.554651385908909,0.906877914521626,0.0511298682994817,1,0.821285455556665,0.908039578073604,0.503645410438665,0.5,0.554651385908909,0.917282060789807,1,0.140798603720574,0.5219020050232,0.569558519256241,0.395859371272349,3.44479273156245,0.424545121068401,0.919658975683161,6.04818050313924,"sSolve",3600,"timeout" "k_branch_p-17-shuffled",1,10064,109,10173,54011,147195,20,19,39,1,46592,7418,42490,27528,2.45325952120864,0.272018662864972,503.2,0.136009331432486,0.136009331432486,6.48068416396343,134.788990825688,67.394495412844,169.58714243586,2829.87155963303,1414.93577981651,2308.73956677266,0.552104351370631,0.397989062128469,0.557881708338679,0.909606605387181,0.0499065865009002,1,0.811251799623463,0.909936465724631,0.507636909978117,0.5,0.557881708338679,0.919458266753159,1,0.137342393216197,0.509673955305401,0.574019592713535,0.392100397909186,3.55413099786379,0.419878129711489,0.920465803596233,6.19165450618596,"X2clsQ",3600,"timeout" "k_branch_p-17-shuffled",1,10064,109,10173,54011,147195,20,19,39,1,46592,7418,42490,27528,2.45325952120864,0.272018662864972,503.2,0.136009331432486,0.136009331432486,6.48068416396343,134.788990825688,67.394495412844,169.58714243586,2829.87155963303,1414.93577981651,2308.73956677266,0.552104351370631,0.397989062128469,0.557881708338679,0.909606605387181,0.0499065865009002,1,0.811251799623463,0.909936465724631,0.507636909978117,0.5,0.557881708338679,0.919458266753159,1,0.137342393216197,0.509673955305401,0.574019592713535,0.392100397909186,3.55413099786379,0.419878129711489,0.920465803596233,6.19165450618596,"quantor",3600,"memout" "k_branch_p-17-shuffled",1,10064,109,10173,54011,147195,20,19,39,1,46592,7418,42490,27528,2.45325952120864,0.272018662864972,503.2,0.136009331432486,0.136009331432486,6.48068416396343,134.788990825688,67.394495412844,169.58714243586,2829.87155963303,1414.93577981651,2308.73956677266,0.552104351370631,0.397989062128469,0.557881708338679,0.909606605387181,0.0499065865009002,1,0.811251799623463,0.909936465724631,0.507636909978117,0.5,0.557881708338679,0.919458266753159,1,0.137342393216197,0.509673955305401,0.574019592713535,0.392100397909186,3.55413099786379,0.419878129711489,0.920465803596233,6.19165450618596,"QuBE",3600,"memout" "k_branch_p-17-shuffled",1,10064,109,10173,54011,147195,20,19,39,1,46592,7418,42490,27528,2.45325952120864,0.272018662864972,503.2,0.136009331432486,0.136009331432486,6.48068416396343,134.788990825688,67.394495412844,169.58714243586,2829.87155963303,1414.93577981651,2308.73956677266,0.552104351370631,0.397989062128469,0.557881708338679,0.909606605387181,0.0499065865009002,1,0.811251799623463,0.909936465724631,0.507636909978117,0.5,0.557881708338679,0.919458266753159,1,0.137342393216197,0.509673955305401,0.574019592713535,0.392100397909186,3.55413099786379,0.419878129711489,0.920465803596233,6.19165450618596,"sKizzo",219.88,"ok" "k_branch_p-17-shuffled",1,10064,109,10173,54011,147195,20,19,39,1,46592,7418,42490,27528,2.45325952120864,0.272018662864972,503.2,0.136009331432486,0.136009331432486,6.48068416396343,134.788990825688,67.394495412844,169.58714243586,2829.87155963303,1414.93577981651,2308.73956677266,0.552104351370631,0.397989062128469,0.557881708338679,0.909606605387181,0.0499065865009002,1,0.811251799623463,0.909936465724631,0.507636909978117,0.5,0.557881708338679,0.919458266753159,1,0.137342393216197,0.509673955305401,0.574019592713535,0.392100397909186,3.55413099786379,0.419878129711489,0.920465803596233,6.19165450618596,"sSolve",3600,"timeout" "k_branch_p-4-shuffled",1,782,21,803,2566,6562,7,6,13,1,1998,567,1840,1836,2.30631332813718,0.250974279033515,111.714285714286,0.125487139516758,0.125487139516758,4.04358655043587,30.6666666666667,15.3333333333333,39.6799501867995,244.190476190476,122.095238095238,160.033248081841,0.505181347150259,0.445748247485523,0.505745184183846,0.902865761689291,0.0490704053642182,1,0.979487179487179,0.926077914303153,0.46835944533784,0.5,0.505745184183846,0.92711151743798,1,0.220966484801247,0.715510522213562,0.507694447019561,0.464846602241608,1.51482457573122,0.491643454038997,0.944223763935641,2.98373280350821,"X2clsQ",671.82,"ok" "k_branch_p-4-shuffled",1,782,21,803,2566,6562,7,6,13,1,1998,567,1840,1836,2.30631332813718,0.250974279033515,111.714285714286,0.125487139516758,0.125487139516758,4.04358655043587,30.6666666666667,15.3333333333333,39.6799501867995,244.190476190476,122.095238095238,160.033248081841,0.505181347150259,0.445748247485523,0.505745184183846,0.902865761689291,0.0490704053642182,1,0.979487179487179,0.926077914303153,0.46835944533784,0.5,0.505745184183846,0.92711151743798,1,0.220966484801247,0.715510522213562,0.507694447019561,0.464846602241608,1.51482457573122,0.491643454038997,0.944223763935641,2.98373280350821,"quantor",3600,"memout" "k_branch_p-4-shuffled",1,782,21,803,2566,6562,7,6,13,1,1998,567,1840,1836,2.30631332813718,0.250974279033515,111.714285714286,0.125487139516758,0.125487139516758,4.04358655043587,30.6666666666667,15.3333333333333,39.6799501867995,244.190476190476,122.095238095238,160.033248081841,0.505181347150259,0.445748247485523,0.505745184183846,0.902865761689291,0.0490704053642182,1,0.979487179487179,0.926077914303153,0.46835944533784,0.5,0.505745184183846,0.92711151743798,1,0.220966484801247,0.715510522213562,0.507694447019561,0.464846602241608,1.51482457573122,0.491643454038997,0.944223763935641,2.98373280350821,"QuBE",3600,"timeout" "k_branch_p-4-shuffled",1,782,21,803,2566,6562,7,6,13,1,1998,567,1840,1836,2.30631332813718,0.250974279033515,111.714285714286,0.125487139516758,0.125487139516758,4.04358655043587,30.6666666666667,15.3333333333333,39.6799501867995,244.190476190476,122.095238095238,160.033248081841,0.505181347150259,0.445748247485523,0.505745184183846,0.902865761689291,0.0490704053642182,1,0.979487179487179,0.926077914303153,0.46835944533784,0.5,0.505745184183846,0.92711151743798,1,0.220966484801247,0.715510522213562,0.507694447019561,0.464846602241608,1.51482457573122,0.491643454038997,0.944223763935641,2.98373280350821,"sKizzo",0.04,"ok" "k_branch_p-4-shuffled",1,782,21,803,2566,6562,7,6,13,1,1998,567,1840,1836,2.30631332813718,0.250974279033515,111.714285714286,0.125487139516758,0.125487139516758,4.04358655043587,30.6666666666667,15.3333333333333,39.6799501867995,244.190476190476,122.095238095238,160.033248081841,0.505181347150259,0.445748247485523,0.505745184183846,0.902865761689291,0.0490704053642182,1,0.979487179487179,0.926077914303153,0.46835944533784,0.5,0.505745184183846,0.92711151743798,1,0.220966484801247,0.715510522213562,0.507694447019561,0.464846602241608,1.51482457573122,0.491643454038997,0.944223763935641,2.98373280350821,"sSolve",479.18,"ok" "k_branch_p-6-shuffled",1,1528,29,1557,5506,14286,9,8,17,1,4390,1115,4070,3674,2.34980021794406,0.244823828550672,169.777777777778,0.122411914275336,0.122411914275336,4.41811175337187,46.4827586206897,23.2413793103448,54.9190751445087,463.724137931034,231.862068965517,284.20942408377,0.518479630407392,0.434341313173737,0.520405008502087,0.90900499527474,0.0471790564188716,1,0.928716079384366,0.922830116696095,0.480245414725213,0.5,0.520405008502087,0.926257053431132,1,0.20250635670178,0.667272066836179,0.525493046846387,0.445491632089697,2.0033142147282,0.472451096798954,0.938851641959981,3.81225636904348,"X2clsQ",3600,"timeout" "k_branch_p-6-shuffled",1,1528,29,1557,5506,14286,9,8,17,1,4390,1115,4070,3674,2.34980021794406,0.244823828550672,169.777777777778,0.122411914275336,0.122411914275336,4.41811175337187,46.4827586206897,23.2413793103448,54.9190751445087,463.724137931034,231.862068965517,284.20942408377,0.518479630407392,0.434341313173737,0.520405008502087,0.90900499527474,0.0471790564188716,1,0.928716079384366,0.922830116696095,0.480245414725213,0.5,0.520405008502087,0.926257053431132,1,0.20250635670178,0.667272066836179,0.525493046846387,0.445491632089697,2.0033142147282,0.472451096798954,0.938851641959981,3.81225636904348,"quantor",3600,"memout" "k_branch_p-6-shuffled",1,1528,29,1557,5506,14286,9,8,17,1,4390,1115,4070,3674,2.34980021794406,0.244823828550672,169.777777777778,0.122411914275336,0.122411914275336,4.41811175337187,46.4827586206897,23.2413793103448,54.9190751445087,463.724137931034,231.862068965517,284.20942408377,0.518479630407392,0.434341313173737,0.520405008502087,0.90900499527474,0.0471790564188716,1,0.928716079384366,0.922830116696095,0.480245414725213,0.5,0.520405008502087,0.926257053431132,1,0.20250635670178,0.667272066836179,0.525493046846387,0.445491632089697,2.0033142147282,0.472451096798954,0.938851641959981,3.81225636904348,"QuBE",3600,"memout" "k_branch_p-6-shuffled",1,1528,29,1557,5506,14286,9,8,17,1,4390,1115,4070,3674,2.34980021794406,0.244823828550672,169.777777777778,0.122411914275336,0.122411914275336,4.41811175337187,46.4827586206897,23.2413793103448,54.9190751445087,463.724137931034,231.862068965517,284.20942408377,0.518479630407392,0.434341313173737,0.520405008502087,0.90900499527474,0.0471790564188716,1,0.928716079384366,0.922830116696095,0.480245414725213,0.5,0.520405008502087,0.926257053431132,1,0.20250635670178,0.667272066836179,0.525493046846387,0.445491632089697,2.0033142147282,0.472451096798954,0.938851641959981,3.81225636904348,"sKizzo",0.15,"ok" "k_branch_p-6-shuffled",1,1528,29,1557,5506,14286,9,8,17,1,4390,1115,4070,3674,2.34980021794406,0.244823828550672,169.777777777778,0.122411914275336,0.122411914275336,4.41811175337187,46.4827586206897,23.2413793103448,54.9190751445087,463.724137931034,231.862068965517,284.20942408377,0.518479630407392,0.434341313173737,0.520405008502087,0.90900499527474,0.0471790564188716,1,0.928716079384366,0.922830116696095,0.480245414725213,0.5,0.520405008502087,0.926257053431132,1,0.20250635670178,0.667272066836179,0.525493046846387,0.445491632089697,2.0033142147282,0.472451096798954,0.938851641959981,3.81225636904348,"sSolve",3600,"timeout" "k_branch_p-8-shuffled",1,2522,46,2568,10118,26658,11,10,21,1,8270,1847,7498,6432,2.34967384858668,0.285036568491797,229.272727272727,0.142518284245898,0.142518284245898,4.94976635514019,62.695652173913,31.3478260869565,74.4778037383178,751.478260869565,375.739130434783,468.338620142744,0.523182534323655,0.422724885587816,0.525994784218053,0.896608589660859,0.0540925800885288,1,0.911378791137879,0.908081089875225,0.477645916921413,0.5,0.525994784218053,0.912962275277195,1,0.18254595769915,0.635698754694604,0.532165902675518,0.433318042996698,2.3602142932956,0.464863059452238,0.92622159324175,4.4351099561798,"X2clsQ",3600,"timeout" "k_branch_p-8-shuffled",1,2522,46,2568,10118,26658,11,10,21,1,8270,1847,7498,6432,2.34967384858668,0.285036568491797,229.272727272727,0.142518284245898,0.142518284245898,4.94976635514019,62.695652173913,31.3478260869565,74.4778037383178,751.478260869565,375.739130434783,468.338620142744,0.523182534323655,0.422724885587816,0.525994784218053,0.896608589660859,0.0540925800885288,1,0.911378791137879,0.908081089875225,0.477645916921413,0.5,0.525994784218053,0.912962275277195,1,0.18254595769915,0.635698754694604,0.532165902675518,0.433318042996698,2.3602142932956,0.464863059452238,0.92622159324175,4.4351099561798,"quantor",3600,"memout" "k_branch_p-8-shuffled",1,2522,46,2568,10118,26658,11,10,21,1,8270,1847,7498,6432,2.34967384858668,0.285036568491797,229.272727272727,0.142518284245898,0.142518284245898,4.94976635514019,62.695652173913,31.3478260869565,74.4778037383178,751.478260869565,375.739130434783,468.338620142744,0.523182534323655,0.422724885587816,0.525994784218053,0.896608589660859,0.0540925800885288,1,0.911378791137879,0.908081089875225,0.477645916921413,0.5,0.525994784218053,0.912962275277195,1,0.18254595769915,0.635698754694604,0.532165902675518,0.433318042996698,2.3602142932956,0.464863059452238,0.92622159324175,4.4351099561798,"QuBE",3600,"memout" "k_branch_p-8-shuffled",1,2522,46,2568,10118,26658,11,10,21,1,8270,1847,7498,6432,2.34967384858668,0.285036568491797,229.272727272727,0.142518284245898,0.142518284245898,4.94976635514019,62.695652173913,31.3478260869565,74.4778037383178,751.478260869565,375.739130434783,468.338620142744,0.523182534323655,0.422724885587816,0.525994784218053,0.896608589660859,0.0540925800885288,1,0.911378791137879,0.908081089875225,0.477645916921413,0.5,0.525994784218053,0.912962275277195,1,0.18254595769915,0.635698754694604,0.532165902675518,0.433318042996698,2.3602142932956,0.464863059452238,0.92622159324175,4.4351099561798,"sKizzo",0.28,"ok" "k_branch_p-8-shuffled",1,2522,46,2568,10118,26658,11,10,21,1,8270,1847,7498,6432,2.34967384858668,0.285036568491797,229.272727272727,0.142518284245898,0.142518284245898,4.94976635514019,62.695652173913,31.3478260869565,74.4778037383178,751.478260869565,375.739130434783,468.338620142744,0.523182534323655,0.422724885587816,0.525994784218053,0.896608589660859,0.0540925800885288,1,0.911378791137879,0.908081089875225,0.477645916921413,0.5,0.525994784218053,0.912962275277195,1,0.18254595769915,0.635698754694604,0.532165902675518,0.433318042996698,2.3602142932956,0.464863059452238,0.92622159324175,4.4351099561798,"sSolve",3600,"timeout" "k_d4_n-11-shuffled",1,953,49,1002,3545,9177,16,15,31,1,2821,723,2450,2343,2.21692524682652,0.37179125528914,59.5625,0.18589562764457,0.18589562764457,4.52195608782435,26.8979591836735,13.4489795918367,98.7325349301397,445.30612244898,222.65306122449,428.707240293809,0.506265664160401,0.421924376157786,0.50731645247487,0.858157554885923,0.0718099596818132,1,0.975247524752475,0.900412214897845,0.456793930627015,0.5,0.50731645247487,0.902281080792965,1,0.20394922425952,0.660930888575458,0.50869334180899,0.459600852713549,1.1079522218649,0.490250137851521,0.93546636311789,2.17803562736807,"X2clsQ",3600,"timeout" "k_d4_n-11-shuffled",1,953,49,1002,3545,9177,16,15,31,1,2821,723,2450,2343,2.21692524682652,0.37179125528914,59.5625,0.18589562764457,0.18589562764457,4.52195608782435,26.8979591836735,13.4489795918367,98.7325349301397,445.30612244898,222.65306122449,428.707240293809,0.506265664160401,0.421924376157786,0.50731645247487,0.858157554885923,0.0718099596818132,1,0.975247524752475,0.900412214897845,0.456793930627015,0.5,0.50731645247487,0.902281080792965,1,0.20394922425952,0.660930888575458,0.50869334180899,0.459600852713549,1.1079522218649,0.490250137851521,0.93546636311789,2.17803562736807,"quantor",3600,"memout" "k_d4_n-11-shuffled",1,953,49,1002,3545,9177,16,15,31,1,2821,723,2450,2343,2.21692524682652,0.37179125528914,59.5625,0.18589562764457,0.18589562764457,4.52195608782435,26.8979591836735,13.4489795918367,98.7325349301397,445.30612244898,222.65306122449,428.707240293809,0.506265664160401,0.421924376157786,0.50731645247487,0.858157554885923,0.0718099596818132,1,0.975247524752475,0.900412214897845,0.456793930627015,0.5,0.50731645247487,0.902281080792965,1,0.20394922425952,0.660930888575458,0.50869334180899,0.459600852713549,1.1079522218649,0.490250137851521,0.93546636311789,2.17803562736807,"QuBE",3600,"timeout" "k_d4_n-11-shuffled",1,953,49,1002,3545,9177,16,15,31,1,2821,723,2450,2343,2.21692524682652,0.37179125528914,59.5625,0.18589562764457,0.18589562764457,4.52195608782435,26.8979591836735,13.4489795918367,98.7325349301397,445.30612244898,222.65306122449,428.707240293809,0.506265664160401,0.421924376157786,0.50731645247487,0.858157554885923,0.0718099596818132,1,0.975247524752475,0.900412214897845,0.456793930627015,0.5,0.50731645247487,0.902281080792965,1,0.20394922425952,0.660930888575458,0.50869334180899,0.459600852713549,1.1079522218649,0.490250137851521,0.93546636311789,2.17803562736807,"sKizzo",15.97,"ok" "k_d4_n-11-shuffled",1,953,49,1002,3545,9177,16,15,31,1,2821,723,2450,2343,2.21692524682652,0.37179125528914,59.5625,0.18589562764457,0.18589562764457,4.52195608782435,26.8979591836735,13.4489795918367,98.7325349301397,445.30612244898,222.65306122449,428.707240293809,0.506265664160401,0.421924376157786,0.50731645247487,0.858157554885923,0.0718099596818132,1,0.975247524752475,0.900412214897845,0.456793930627015,0.5,0.50731645247487,0.902281080792965,1,0.20394922425952,0.660930888575458,0.50869334180899,0.459600852713549,1.1079522218649,0.490250137851521,0.93546636311789,2.17803562736807,"sSolve",216.76,"ok" "k_d4_n-14-shuffled",1,1202,61,1263,4502,11667,19,18,37,1,3589,912,3113,2967,2.21879164815637,0.37272323411817,63.2631578947368,0.186361617059085,0.186361617059085,4.55661124307205,27.5081967213115,13.7540983606557,118.172604908947,537.901639344262,268.950819672131,518.158901830283,0.506728379189166,0.421359389731722,0.50785864450896,0.858085250338295,0.071912231079112,1,0.973443843031123,0.899625377948555,0.456882525010814,0.5,0.50785864450896,0.901632005971104,1,0.20257663260773,0.659040426477121,0.509334841197569,0.458894049696406,1.11671225954738,0.489536464839648,0.935248899303205,2.19249140098432,"X2clsQ",3600,"timeout" "k_d4_n-14-shuffled",1,1202,61,1263,4502,11667,19,18,37,1,3589,912,3113,2967,2.21879164815637,0.37272323411817,63.2631578947368,0.186361617059085,0.186361617059085,4.55661124307205,27.5081967213115,13.7540983606557,118.172604908947,537.901639344262,268.950819672131,518.158901830283,0.506728379189166,0.421359389731722,0.50785864450896,0.858085250338295,0.071912231079112,1,0.973443843031123,0.899625377948555,0.456882525010814,0.5,0.50785864450896,0.901632005971104,1,0.20257663260773,0.659040426477121,0.509334841197569,0.458894049696406,1.11671225954738,0.489536464839648,0.935248899303205,2.19249140098432,"quantor",3600,"memout" "k_d4_n-14-shuffled",1,1202,61,1263,4502,11667,19,18,37,1,3589,912,3113,2967,2.21879164815637,0.37272323411817,63.2631578947368,0.186361617059085,0.186361617059085,4.55661124307205,27.5081967213115,13.7540983606557,118.172604908947,537.901639344262,268.950819672131,518.158901830283,0.506728379189166,0.421359389731722,0.50785864450896,0.858085250338295,0.071912231079112,1,0.973443843031123,0.899625377948555,0.456882525010814,0.5,0.50785864450896,0.901632005971104,1,0.20257663260773,0.659040426477121,0.509334841197569,0.458894049696406,1.11671225954738,0.489536464839648,0.935248899303205,2.19249140098432,"QuBE",3600,"timeout" "k_d4_n-14-shuffled",1,1202,61,1263,4502,11667,19,18,37,1,3589,912,3113,2967,2.21879164815637,0.37272323411817,63.2631578947368,0.186361617059085,0.186361617059085,4.55661124307205,27.5081967213115,13.7540983606557,118.172604908947,537.901639344262,268.950819672131,518.158901830283,0.506728379189166,0.421359389731722,0.50785864450896,0.858085250338295,0.071912231079112,1,0.973443843031123,0.899625377948555,0.456882525010814,0.5,0.50785864450896,0.901632005971104,1,0.20257663260773,0.659040426477121,0.509334841197569,0.458894049696406,1.11671225954738,0.489536464839648,0.935248899303205,2.19249140098432,"sKizzo",14.08,"ok" "k_d4_n-14-shuffled",1,1202,61,1263,4502,11667,19,18,37,1,3589,912,3113,2967,2.21879164815637,0.37272323411817,63.2631578947368,0.186361617059085,0.186361617059085,4.55661124307205,27.5081967213115,13.7540983606557,118.172604908947,537.901639344262,268.950819672131,518.158901830283,0.506728379189166,0.421359389731722,0.50785864450896,0.858085250338295,0.071912231079112,1,0.973443843031123,0.899625377948555,0.456882525010814,0.5,0.50785864450896,0.901632005971104,1,0.20257663260773,0.659040426477121,0.509334841197569,0.458894049696406,1.11671225954738,0.489536464839648,0.935248899303205,2.19249140098432,"sSolve",409.23,"ok" "k_d4_n-15-shuffled",1,1285,65,1350,4821,12497,20,19,39,1,3845,975,3334,3175,2.21924911844016,0.372951669778054,64.25,0.186475834889027,0.186475834889027,4.56518518518519,27.6615384615385,13.8307692307692,124.635555555556,568.553846153846,284.276923076923,547.811673151751,0.506841641994079,0.421221093062335,0.50799140106552,0.858067571834544,0.0719372649435865,1,0.973002841806126,0.899431427744994,0.45690343114254,0.5,0.50799140106552,0.901471768075202,1,0.202240199128811,0.658577058701514,0.509493366450067,0.458726289128508,1.11877959634272,0.489360381346934,0.935209144489195,2.1958668552211,"X2clsQ",3600,"timeout" "k_d4_n-15-shuffled",1,1285,65,1350,4821,12497,20,19,39,1,3845,975,3334,3175,2.21924911844016,0.372951669778054,64.25,0.186475834889027,0.186475834889027,4.56518518518519,27.6615384615385,13.8307692307692,124.635555555556,568.553846153846,284.276923076923,547.811673151751,0.506841641994079,0.421221093062335,0.50799140106552,0.858067571834544,0.0719372649435865,1,0.973002841806126,0.899431427744994,0.45690343114254,0.5,0.50799140106552,0.901471768075202,1,0.202240199128811,0.658577058701514,0.509493366450067,0.458726289128508,1.11877959634272,0.489360381346934,0.935209144489195,2.1958668552211,"quantor",3600,"memout" "k_d4_n-15-shuffled",1,1285,65,1350,4821,12497,20,19,39,1,3845,975,3334,3175,2.21924911844016,0.372951669778054,64.25,0.186475834889027,0.186475834889027,4.56518518518519,27.6615384615385,13.8307692307692,124.635555555556,568.553846153846,284.276923076923,547.811673151751,0.506841641994079,0.421221093062335,0.50799140106552,0.858067571834544,0.0719372649435865,1,0.973002841806126,0.899431427744994,0.45690343114254,0.5,0.50799140106552,0.901471768075202,1,0.202240199128811,0.658577058701514,0.509493366450067,0.458726289128508,1.11877959634272,0.489360381346934,0.935209144489195,2.1958668552211,"QuBE",3600,"timeout" "k_d4_n-15-shuffled",1,1285,65,1350,4821,12497,20,19,39,1,3845,975,3334,3175,2.21924911844016,0.372951669778054,64.25,0.186475834889027,0.186475834889027,4.56518518518519,27.6615384615385,13.8307692307692,124.635555555556,568.553846153846,284.276923076923,547.811673151751,0.506841641994079,0.421221093062335,0.50799140106552,0.858067571834544,0.0719372649435865,1,0.973002841806126,0.899431427744994,0.45690343114254,0.5,0.50799140106552,0.901471768075202,1,0.202240199128811,0.658577058701514,0.509493366450067,0.458726289128508,1.11877959634272,0.489360381346934,0.935209144489195,2.1958668552211,"sKizzo",16.46,"ok" "k_d4_n-15-shuffled",1,1285,65,1350,4821,12497,20,19,39,1,3845,975,3334,3175,2.21924911844016,0.372951669778054,64.25,0.186475834889027,0.186475834889027,4.56518518518519,27.6615384615385,13.8307692307692,124.635555555556,568.553846153846,284.276923076923,547.811673151751,0.506841641994079,0.421221093062335,0.50799140106552,0.858067571834544,0.0719372649435865,1,0.973002841806126,0.899431427744994,0.45690343114254,0.5,0.50799140106552,0.901471768075202,1,0.202240199128811,0.658577058701514,0.509493366450067,0.458726289128508,1.11877959634272,0.489360381346934,0.935209144489195,2.1958668552211,"sSolve",418.02,"ok" "k_d4_n-16-shuffled",1,1368,69,1437,5140,13327,21,20,41,1,4101,1038,3555,3383,2.21964980544747,0.373151750972763,65.1428571428571,0.186575875486381,0.186575875486381,4.57272094641614,27.7971014492754,13.8985507246377,131.092553931802,599.130434782609,299.565217391304,577.40716374269,0.506940796878517,0.421100022510693,0.508107634323779,0.858052101835406,0.0719591806107901,1,0.972616933096507,0.899261195120314,0.456921478491757,0.5,0.508107634323779,0.901331045568332,1,0.201945525291829,0.658171206225681,0.509632680048209,0.458581316212588,1.12055939408556,0.489205737104786,0.93517919639847,2.19875890608029,"X2clsQ",3600,"timeout" "k_d4_n-16-shuffled",1,1368,69,1437,5140,13327,21,20,41,1,4101,1038,3555,3383,2.21964980544747,0.373151750972763,65.1428571428571,0.186575875486381,0.186575875486381,4.57272094641614,27.7971014492754,13.8985507246377,131.092553931802,599.130434782609,299.565217391304,577.40716374269,0.506940796878517,0.421100022510693,0.508107634323779,0.858052101835406,0.0719591806107901,1,0.972616933096507,0.899261195120314,0.456921478491757,0.5,0.508107634323779,0.901331045568332,1,0.201945525291829,0.658171206225681,0.509632680048209,0.458581316212588,1.12055939408556,0.489205737104786,0.93517919639847,2.19875890608029,"quantor",3600,"memout" "k_d4_n-16-shuffled",1,1368,69,1437,5140,13327,21,20,41,1,4101,1038,3555,3383,2.21964980544747,0.373151750972763,65.1428571428571,0.186575875486381,0.186575875486381,4.57272094641614,27.7971014492754,13.8985507246377,131.092553931802,599.130434782609,299.565217391304,577.40716374269,0.506940796878517,0.421100022510693,0.508107634323779,0.858052101835406,0.0719591806107901,1,0.972616933096507,0.899261195120314,0.456921478491757,0.5,0.508107634323779,0.901331045568332,1,0.201945525291829,0.658171206225681,0.509632680048209,0.458581316212588,1.12055939408556,0.489205737104786,0.93517919639847,2.19875890608029,"QuBE",3600,"memout" "k_d4_n-16-shuffled",1,1368,69,1437,5140,13327,21,20,41,1,4101,1038,3555,3383,2.21964980544747,0.373151750972763,65.1428571428571,0.186575875486381,0.186575875486381,4.57272094641614,27.7971014492754,13.8985507246377,131.092553931802,599.130434782609,299.565217391304,577.40716374269,0.506940796878517,0.421100022510693,0.508107634323779,0.858052101835406,0.0719591806107901,1,0.972616933096507,0.899261195120314,0.456921478491757,0.5,0.508107634323779,0.901331045568332,1,0.201945525291829,0.658171206225681,0.509632680048209,0.458581316212588,1.12055939408556,0.489205737104786,0.93517919639847,2.19875890608029,"sKizzo",16.17,"ok" "k_d4_n-16-shuffled",1,1368,69,1437,5140,13327,21,20,41,1,4101,1038,3555,3383,2.21964980544747,0.373151750972763,65.1428571428571,0.186575875486381,0.186575875486381,4.57272094641614,27.7971014492754,13.8985507246377,131.092553931802,599.130434782609,299.565217391304,577.40716374269,0.506940796878517,0.421100022510693,0.508107634323779,0.858052101835406,0.0719591806107901,1,0.972616933096507,0.899261195120314,0.456921478491757,0.5,0.508107634323779,0.901331045568332,1,0.201945525291829,0.658171206225681,0.509632680048209,0.458581316212588,1.12055939408556,0.489205737104786,0.93517919639847,2.19875890608029,"sSolve",479.52,"ok" "k_d4_n-17-shuffled",1,1451,73,1524,5459,14157,22,21,43,1,4357,1101,3776,3591,2.22000366367467,0.373328448433779,65.9545454545455,0.18666422421689,0.18666422421689,4.57939632545932,27.9178082191781,13.958904109589,137.544619422572,629.643835616438,314.821917808219,606.955203308063,0.507028325210143,0.420993148265875,0.508210248370328,0.858038450821956,0.0719785265239811,1,0.972276400111452,0.899110579707034,0.456937211025301,0.5,0.508210248370328,0.901206477637947,1,0.201685290346217,0.657812786224583,0.50975609185671,0.458454885761221,1.12210516124442,0.489068825910931,0.935156721268676,2.20125895338949,"X2clsQ",3600,"timeout" "k_d4_n-17-shuffled",1,1451,73,1524,5459,14157,22,21,43,1,4357,1101,3776,3591,2.22000366367467,0.373328448433779,65.9545454545455,0.18666422421689,0.18666422421689,4.57939632545932,27.9178082191781,13.958904109589,137.544619422572,629.643835616438,314.821917808219,606.955203308063,0.507028325210143,0.420993148265875,0.508210248370328,0.858038450821956,0.0719785265239811,1,0.972276400111452,0.899110579707034,0.456937211025301,0.5,0.508210248370328,0.901206477637947,1,0.201685290346217,0.657812786224583,0.50975609185671,0.458454885761221,1.12210516124442,0.489068825910931,0.935156721268676,2.20125895338949,"quantor",3600,"memout" "k_d4_n-17-shuffled",1,1451,73,1524,5459,14157,22,21,43,1,4357,1101,3776,3591,2.22000366367467,0.373328448433779,65.9545454545455,0.18666422421689,0.18666422421689,4.57939632545932,27.9178082191781,13.958904109589,137.544619422572,629.643835616438,314.821917808219,606.955203308063,0.507028325210143,0.420993148265875,0.508210248370328,0.858038450821956,0.0719785265239811,1,0.972276400111452,0.899110579707034,0.456937211025301,0.5,0.508210248370328,0.901206477637947,1,0.201685290346217,0.657812786224583,0.50975609185671,0.458454885761221,1.12210516124442,0.489068825910931,0.935156721268676,2.20125895338949,"QuBE",3600,"memout" "k_d4_n-17-shuffled",1,1451,73,1524,5459,14157,22,21,43,1,4357,1101,3776,3591,2.22000366367467,0.373328448433779,65.9545454545455,0.18666422421689,0.18666422421689,4.57939632545932,27.9178082191781,13.958904109589,137.544619422572,629.643835616438,314.821917808219,606.955203308063,0.507028325210143,0.420993148265875,0.508210248370328,0.858038450821956,0.0719785265239811,1,0.972276400111452,0.899110579707034,0.456937211025301,0.5,0.508210248370328,0.901206477637947,1,0.201685290346217,0.657812786224583,0.50975609185671,0.458454885761221,1.12210516124442,0.489068825910931,0.935156721268676,2.20125895338949,"sKizzo",15.04,"ok" "k_d4_n-17-shuffled",1,1451,73,1524,5459,14157,22,21,43,1,4357,1101,3776,3591,2.22000366367467,0.373328448433779,65.9545454545455,0.18666422421689,0.18666422421689,4.57939632545932,27.9178082191781,13.958904109589,137.544619422572,629.643835616438,314.821917808219,606.955203308063,0.507028325210143,0.420993148265875,0.508210248370328,0.858038450821956,0.0719785265239811,1,0.972276400111452,0.899110579707034,0.456937211025301,0.5,0.508210248370328,0.901206477637947,1,0.201685290346217,0.657812786224583,0.50975609185671,0.458454885761221,1.12210516124442,0.489068825910931,0.935156721268676,2.20125895338949,"sSolve",578.71,"ok" "k_d4_n-18-shuffled",1,1534,77,1611,5778,14987,23,22,45,1,4613,1164,3997,3799,2.22031844929041,0.373485635167878,66.695652173913,0.186742817583939,0.186742817583939,4.58535071384233,28.025974025974,14.012987012987,143.992551210428,660.103896103896,330.051948051948,636.463494132986,0.507106158670848,0.420898111696804,0.508301504404085,0.858026315789474,0.071995729632348,1,0.971973684210526,0.898976374918236,0.45695104379467,0.5,0.508301504404085,0.901095433335621,1,0.201453790238837,0.657493942540672,0.50986618999626,0.458343730829713,1.12345824445773,0.488946751725036,0.935139999475277,2.20343742436809,"X2clsQ",3600,"timeout" "k_d4_n-18-shuffled",1,1534,77,1611,5778,14987,23,22,45,1,4613,1164,3997,3799,2.22031844929041,0.373485635167878,66.695652173913,0.186742817583939,0.186742817583939,4.58535071384233,28.025974025974,14.012987012987,143.992551210428,660.103896103896,330.051948051948,636.463494132986,0.507106158670848,0.420898111696804,0.508301504404085,0.858026315789474,0.071995729632348,1,0.971973684210526,0.898976374918236,0.45695104379467,0.5,0.508301504404085,0.901095433335621,1,0.201453790238837,0.657493942540672,0.50986618999626,0.458343730829713,1.12345824445773,0.488946751725036,0.935139999475277,2.20343742436809,"quantor",3600,"memout" "k_d4_n-18-shuffled",1,1534,77,1611,5778,14987,23,22,45,1,4613,1164,3997,3799,2.22031844929041,0.373485635167878,66.695652173913,0.186742817583939,0.186742817583939,4.58535071384233,28.025974025974,14.012987012987,143.992551210428,660.103896103896,330.051948051948,636.463494132986,0.507106158670848,0.420898111696804,0.508301504404085,0.858026315789474,0.071995729632348,1,0.971973684210526,0.898976374918236,0.45695104379467,0.5,0.508301504404085,0.901095433335621,1,0.201453790238837,0.657493942540672,0.50986618999626,0.458343730829713,1.12345824445773,0.488946751725036,0.935139999475277,2.20343742436809,"QuBE",3600,"memout" "k_d4_n-18-shuffled",1,1534,77,1611,5778,14987,23,22,45,1,4613,1164,3997,3799,2.22031844929041,0.373485635167878,66.695652173913,0.186742817583939,0.186742817583939,4.58535071384233,28.025974025974,14.012987012987,143.992551210428,660.103896103896,330.051948051948,636.463494132986,0.507106158670848,0.420898111696804,0.508301504404085,0.858026315789474,0.071995729632348,1,0.971973684210526,0.898976374918236,0.45695104379467,0.5,0.508301504404085,0.901095433335621,1,0.201453790238837,0.657493942540672,0.50986618999626,0.458343730829713,1.12345824445773,0.488946751725036,0.935139999475277,2.20343742436809,"sKizzo",13.05,"ok" "k_d4_n-18-shuffled",1,1534,77,1611,5778,14987,23,22,45,1,4613,1164,3997,3799,2.22031844929041,0.373485635167878,66.695652173913,0.186742817583939,0.186742817583939,4.58535071384233,28.025974025974,14.012987012987,143.992551210428,660.103896103896,330.051948051948,636.463494132986,0.507106158670848,0.420898111696804,0.508301504404085,0.858026315789474,0.071995729632348,1,0.971973684210526,0.898976374918236,0.45695104379467,0.5,0.508301504404085,0.901095433335621,1,0.201453790238837,0.657493942540672,0.50986618999626,0.458343730829713,1.12345824445773,0.488946751725036,0.935139999475277,2.20343742436809,"sSolve",803.42,"ok" "k_d4_n-19-shuffled",1,1617,81,1698,6097,15817,24,23,47,1,4869,1227,4218,4007,2.22060029522716,0.373626373626374,67.375,0.186813186813187,0.186813186813187,4.5906949352179,28.1234567901235,14.0617283950617,150.436984687868,690.518518518518,345.259259259259,665.938157081014,0.507175823481065,0.420813049250806,0.508383189304971,0.858015457491897,0.0720111272681292,1,0.971702817252555,0.898856036980478,0.456963298806162,0.5,0.508383189304971,0.900995823637131,1,0.201246514679351,0.657208463178612,0.509965027922029,0.458245298854456,1.12465104124369,0.488837218297979,0.93512774590615,2.20534934684902,"X2clsQ",3600,"timeout" "k_d4_n-19-shuffled",1,1617,81,1698,6097,15817,24,23,47,1,4869,1227,4218,4007,2.22060029522716,0.373626373626374,67.375,0.186813186813187,0.186813186813187,4.5906949352179,28.1234567901235,14.0617283950617,150.436984687868,690.518518518518,345.259259259259,665.938157081014,0.507175823481065,0.420813049250806,0.508383189304971,0.858015457491897,0.0720111272681292,1,0.971702817252555,0.898856036980478,0.456963298806162,0.5,0.508383189304971,0.900995823637131,1,0.201246514679351,0.657208463178612,0.509965027922029,0.458245298854456,1.12465104124369,0.488837218297979,0.93512774590615,2.20534934684902,"quantor",3600,"memout" "k_d4_n-19-shuffled",1,1617,81,1698,6097,15817,24,23,47,1,4869,1227,4218,4007,2.22060029522716,0.373626373626374,67.375,0.186813186813187,0.186813186813187,4.5906949352179,28.1234567901235,14.0617283950617,150.436984687868,690.518518518518,345.259259259259,665.938157081014,0.507175823481065,0.420813049250806,0.508383189304971,0.858015457491897,0.0720111272681292,1,0.971702817252555,0.898856036980478,0.456963298806162,0.5,0.508383189304971,0.900995823637131,1,0.201246514679351,0.657208463178612,0.509965027922029,0.458245298854456,1.12465104124369,0.488837218297979,0.93512774590615,2.20534934684902,"QuBE",3600,"memout" "k_d4_n-19-shuffled",1,1617,81,1698,6097,15817,24,23,47,1,4869,1227,4218,4007,2.22060029522716,0.373626373626374,67.375,0.186813186813187,0.186813186813187,4.5906949352179,28.1234567901235,14.0617283950617,150.436984687868,690.518518518518,345.259259259259,665.938157081014,0.507175823481065,0.420813049250806,0.508383189304971,0.858015457491897,0.0720111272681292,1,0.971702817252555,0.898856036980478,0.456963298806162,0.5,0.508383189304971,0.900995823637131,1,0.201246514679351,0.657208463178612,0.509965027922029,0.458245298854456,1.12465104124369,0.488837218297979,0.93512774590615,2.20534934684902,"sKizzo",15.13,"ok" "k_d4_n-19-shuffled",1,1617,81,1698,6097,15817,24,23,47,1,4869,1227,4218,4007,2.22060029522716,0.373626373626374,67.375,0.186813186813187,0.186813186813187,4.5906949352179,28.1234567901235,14.0617283950617,150.436984687868,690.518518518518,345.259259259259,665.938157081014,0.507175823481065,0.420813049250806,0.508383189304971,0.858015457491897,0.0720111272681292,1,0.971702817252555,0.898856036980478,0.456963298806162,0.5,0.508383189304971,0.900995823637131,1,0.201246514679351,0.657208463178612,0.509965027922029,0.458245298854456,1.12465104124369,0.488837218297979,0.93512774590615,2.20534934684902,"sSolve",841.91,"ok" "k_d4_n-20-shuffled",1,1700,85,1785,6416,16647,25,24,49,1,5125,1290,4439,4215,2.22085411471322,0.373753117206983,68,0.186876558603491,0.186876558603491,4.59551820728291,28.2117647058824,14.1058823529412,156.878431372549,720.894117647059,360.447058823529,695.384117647059,0.507238541478945,0.420736469033459,0.508456733805881,0.858005684509711,0.0720249894875954,1,0.971459024159166,0.89874752207605,0.456974229590917,0.5,0.508456733805881,0.900905968735197,1,0.201059850374065,0.656951371571072,0.510054256065534,0.458157568292482,1.12570925677413,0.488738381219465,0.93511898810119,2.20703825796426,"X2clsQ",3600,"timeout" "k_d4_n-20-shuffled",1,1700,85,1785,6416,16647,25,24,49,1,5125,1290,4439,4215,2.22085411471322,0.373753117206983,68,0.186876558603491,0.186876558603491,4.59551820728291,28.2117647058824,14.1058823529412,156.878431372549,720.894117647059,360.447058823529,695.384117647059,0.507238541478945,0.420736469033459,0.508456733805881,0.858005684509711,0.0720249894875954,1,0.971459024159166,0.89874752207605,0.456974229590917,0.5,0.508456733805881,0.900905968735197,1,0.201059850374065,0.656951371571072,0.510054256065534,0.458157568292482,1.12570925677413,0.488738381219465,0.93511898810119,2.20703825796426,"quantor",3600,"memout" "k_d4_n-20-shuffled",1,1700,85,1785,6416,16647,25,24,49,1,5125,1290,4439,4215,2.22085411471322,0.373753117206983,68,0.186876558603491,0.186876558603491,4.59551820728291,28.2117647058824,14.1058823529412,156.878431372549,720.894117647059,360.447058823529,695.384117647059,0.507238541478945,0.420736469033459,0.508456733805881,0.858005684509711,0.0720249894875954,1,0.971459024159166,0.89874752207605,0.456974229590917,0.5,0.508456733805881,0.900905968735197,1,0.201059850374065,0.656951371571072,0.510054256065534,0.458157568292482,1.12570925677413,0.488738381219465,0.93511898810119,2.20703825796426,"QuBE",3600,"memout" "k_d4_n-20-shuffled",1,1700,85,1785,6416,16647,25,24,49,1,5125,1290,4439,4215,2.22085411471322,0.373753117206983,68,0.186876558603491,0.186876558603491,4.59551820728291,28.2117647058824,14.1058823529412,156.878431372549,720.894117647059,360.447058823529,695.384117647059,0.507238541478945,0.420736469033459,0.508456733805881,0.858005684509711,0.0720249894875954,1,0.971459024159166,0.89874752207605,0.456974229590917,0.5,0.508456733805881,0.900905968735197,1,0.201059850374065,0.656951371571072,0.510054256065534,0.458157568292482,1.12570925677413,0.488738381219465,0.93511898810119,2.20703825796426,"sKizzo",16.35,"ok" "k_d4_n-20-shuffled",1,1700,85,1785,6416,16647,25,24,49,1,5125,1290,4439,4215,2.22085411471322,0.373753117206983,68,0.186876558603491,0.186876558603491,4.59551820728291,28.2117647058824,14.1058823529412,156.878431372549,720.894117647059,360.447058823529,695.384117647059,0.507238541478945,0.420736469033459,0.508456733805881,0.858005684509711,0.0720249894875954,1,0.971459024159166,0.89874752207605,0.456974229590917,0.5,0.508456733805881,0.900905968735197,1,0.201059850374065,0.656951371571072,0.510054256065534,0.458157568292482,1.12570925677413,0.488738381219465,0.93511898810119,2.20703825796426,"sSolve",771.31,"ok" "k_d4_n-21-shuffled",1,1783,89,1872,6735,17477,26,25,51,1,5381,1353,4660,4423,2.22108389012621,0.373867854491463,68.5769230769231,0.186933927245731,0.186933927245731,4.59989316239316,28.2921348314607,14.1460674157303,163.317307692308,751.23595505618,375.61797752809,724.80538418396,0.507295302397437,0.420667162556503,0.508523297011832,0.85799684186781,0.0720375350460605,1,0.971238438980375,0.8986491692583,0.456984038408175,0.5,0.508523297011832,0.900824502510678,1,0.200890868596882,0.656718634001485,0.51013521633171,0.458078918621542,1.1266535182565,0.488648741769867,0.935112981976938,2.20853899551978,"X2clsQ",3600,"timeout" "k_d4_n-21-shuffled",1,1783,89,1872,6735,17477,26,25,51,1,5381,1353,4660,4423,2.22108389012621,0.373867854491463,68.5769230769231,0.186933927245731,0.186933927245731,4.59989316239316,28.2921348314607,14.1460674157303,163.317307692308,751.23595505618,375.61797752809,724.80538418396,0.507295302397437,0.420667162556503,0.508523297011832,0.85799684186781,0.0720375350460605,1,0.971238438980375,0.8986491692583,0.456984038408175,0.5,0.508523297011832,0.900824502510678,1,0.200890868596882,0.656718634001485,0.51013521633171,0.458078918621542,1.1266535182565,0.488648741769867,0.935112981976938,2.20853899551978,"quantor",3600,"memout" "k_d4_n-21-shuffled",1,1783,89,1872,6735,17477,26,25,51,1,5381,1353,4660,4423,2.22108389012621,0.373867854491463,68.5769230769231,0.186933927245731,0.186933927245731,4.59989316239316,28.2921348314607,14.1460674157303,163.317307692308,751.23595505618,375.61797752809,724.80538418396,0.507295302397437,0.420667162556503,0.508523297011832,0.85799684186781,0.0720375350460605,1,0.971238438980375,0.8986491692583,0.456984038408175,0.5,0.508523297011832,0.900824502510678,1,0.200890868596882,0.656718634001485,0.51013521633171,0.458078918621542,1.1266535182565,0.488648741769867,0.935112981976938,2.20853899551978,"QuBE",3600,"memout" "k_d4_n-21-shuffled",1,1783,89,1872,6735,17477,26,25,51,1,5381,1353,4660,4423,2.22108389012621,0.373867854491463,68.5769230769231,0.186933927245731,0.186933927245731,4.59989316239316,28.2921348314607,14.1460674157303,163.317307692308,751.23595505618,375.61797752809,724.80538418396,0.507295302397437,0.420667162556503,0.508523297011832,0.85799684186781,0.0720375350460605,1,0.971238438980375,0.8986491692583,0.456984038408175,0.5,0.508523297011832,0.900824502510678,1,0.200890868596882,0.656718634001485,0.51013521633171,0.458078918621542,1.1266535182565,0.488648741769867,0.935112981976938,2.20853899551978,"sKizzo",15.79,"ok" "k_d4_n-21-shuffled",1,1783,89,1872,6735,17477,26,25,51,1,5381,1353,4660,4423,2.22108389012621,0.373867854491463,68.5769230769231,0.186933927245731,0.186933927245731,4.59989316239316,28.2921348314607,14.1460674157303,163.317307692308,751.23595505618,375.61797752809,724.80538418396,0.507295302397437,0.420667162556503,0.508523297011832,0.85799684186781,0.0720375350460605,1,0.971238438980375,0.8986491692583,0.456984038408175,0.5,0.508523297011832,0.900824502510678,1,0.200890868596882,0.656718634001485,0.51013521633171,0.458078918621542,1.1266535182565,0.488648741769867,0.935112981976938,2.20853899551978,"sSolve",885.8,"ok" "k_d4_n-7-shuffled",1,621,33,654,2269,5857,12,11,23,1,1797,471,1566,1511,2.21198765976201,0.369325694138387,51.75,0.184662847069193,0.184662847069193,4.43272171253823,25.3939393939394,12.6969696969697,72.565749235474,318.909090909091,159.454545454545,307.056360708535,0.505036708212395,0.423424961584429,0.505877664873481,0.858350236646383,0.0715383302031757,1,0.980054090601758,0.902460328654181,0.456534523700531,0.5,0.505877664873481,0.903963051154162,1,0.20758043190833,0.665932128691053,0.507027184244149,0.461605496233556,1.0832487376754,0.492110327132777,0.936371096904805,2.13647072846843,"X2clsQ",3600,"timeout" "k_d4_n-7-shuffled",1,621,33,654,2269,5857,12,11,23,1,1797,471,1566,1511,2.21198765976201,0.369325694138387,51.75,0.184662847069193,0.184662847069193,4.43272171253823,25.3939393939394,12.6969696969697,72.565749235474,318.909090909091,159.454545454545,307.056360708535,0.505036708212395,0.423424961584429,0.505877664873481,0.858350236646383,0.0715383302031757,1,0.980054090601758,0.902460328654181,0.456534523700531,0.5,0.505877664873481,0.903963051154162,1,0.20758043190833,0.665932128691053,0.507027184244149,0.461605496233556,1.0832487376754,0.492110327132777,0.936371096904805,2.13647072846843,"quantor",3600,"memout" "k_d4_n-7-shuffled",1,621,33,654,2269,5857,12,11,23,1,1797,471,1566,1511,2.21198765976201,0.369325694138387,51.75,0.184662847069193,0.184662847069193,4.43272171253823,25.3939393939394,12.6969696969697,72.565749235474,318.909090909091,159.454545454545,307.056360708535,0.505036708212395,0.423424961584429,0.505877664873481,0.858350236646383,0.0715383302031757,1,0.980054090601758,0.902460328654181,0.456534523700531,0.5,0.505877664873481,0.903963051154162,1,0.20758043190833,0.665932128691053,0.507027184244149,0.461605496233556,1.0832487376754,0.492110327132777,0.936371096904805,2.13647072846843,"QuBE",3600,"timeout" "k_d4_n-7-shuffled",1,621,33,654,2269,5857,12,11,23,1,1797,471,1566,1511,2.21198765976201,0.369325694138387,51.75,0.184662847069193,0.184662847069193,4.43272171253823,25.3939393939394,12.6969696969697,72.565749235474,318.909090909091,159.454545454545,307.056360708535,0.505036708212395,0.423424961584429,0.505877664873481,0.858350236646383,0.0715383302031757,1,0.980054090601758,0.902460328654181,0.456534523700531,0.5,0.505877664873481,0.903963051154162,1,0.20758043190833,0.665932128691053,0.507027184244149,0.461605496233556,1.0832487376754,0.492110327132777,0.936371096904805,2.13647072846843,"sKizzo",0.69,"ok" "k_d4_n-7-shuffled",1,621,33,654,2269,5857,12,11,23,1,1797,471,1566,1511,2.21198765976201,0.369325694138387,51.75,0.184662847069193,0.184662847069193,4.43272171253823,25.3939393939394,12.6969696969697,72.565749235474,318.909090909091,159.454545454545,307.056360708535,0.505036708212395,0.423424961584429,0.505877664873481,0.858350236646383,0.0715383302031757,1,0.980054090601758,0.902460328654181,0.456534523700531,0.5,0.505877664873481,0.903963051154162,1,0.20758043190833,0.665932128691053,0.507027184244149,0.461605496233556,1.0832487376754,0.492110327132777,0.936371096904805,2.13647072846843,"sSolve",64.45,"ok" "k_d4_p-10-shuffled",1,600,35,635,1868,4691,15,14,29,1,1416,451,1280,1322,2.22002141327623,0.291220556745182,40,0.145610278372591,0.145610278372591,3.69763779527559,15.5428571428571,7.77142857142857,75.6157480314961,236.914285714286,118.457142857143,263.41,0.499467064591771,0.442549562992965,0.499397154569568,0.883909517712335,0.0579833724152633,1,1.00213401621852,0.935601861721026,0.467236907553471,0.5,0.499397154569568,0.935470906245554,1,0.241434689507495,0.707708779443255,0.499233456745059,0.484216335540839,0.784484389782403,0.500839108147911,0.966950252693546,1.57137783772976,"X2clsQ",3600,"timeout" "k_d4_p-10-shuffled",1,600,35,635,1868,4691,15,14,29,1,1416,451,1280,1322,2.22002141327623,0.291220556745182,40,0.145610278372591,0.145610278372591,3.69763779527559,15.5428571428571,7.77142857142857,75.6157480314961,236.914285714286,118.457142857143,263.41,0.499467064591771,0.442549562992965,0.499397154569568,0.883909517712335,0.0579833724152633,1,1.00213401621852,0.935601861721026,0.467236907553471,0.5,0.499397154569568,0.935470906245554,1,0.241434689507495,0.707708779443255,0.499233456745059,0.484216335540839,0.784484389782403,0.500839108147911,0.966950252693546,1.57137783772976,"quantor",3600,"memout" "k_d4_p-10-shuffled",1,600,35,635,1868,4691,15,14,29,1,1416,451,1280,1322,2.22002141327623,0.291220556745182,40,0.145610278372591,0.145610278372591,3.69763779527559,15.5428571428571,7.77142857142857,75.6157480314961,236.914285714286,118.457142857143,263.41,0.499467064591771,0.442549562992965,0.499397154569568,0.883909517712335,0.0579833724152633,1,1.00213401621852,0.935601861721026,0.467236907553471,0.5,0.499397154569568,0.935470906245554,1,0.241434689507495,0.707708779443255,0.499233456745059,0.484216335540839,0.784484389782403,0.500839108147911,0.966950252693546,1.57137783772976,"QuBE",3600,"timeout" "k_d4_p-10-shuffled",1,600,35,635,1868,4691,15,14,29,1,1416,451,1280,1322,2.22002141327623,0.291220556745182,40,0.145610278372591,0.145610278372591,3.69763779527559,15.5428571428571,7.77142857142857,75.6157480314961,236.914285714286,118.457142857143,263.41,0.499467064591771,0.442549562992965,0.499397154569568,0.883909517712335,0.0579833724152633,1,1.00213401621852,0.935601861721026,0.467236907553471,0.5,0.499397154569568,0.935470906245554,1,0.241434689507495,0.707708779443255,0.499233456745059,0.484216335540839,0.784484389782403,0.500839108147911,0.966950252693546,1.57137783772976,"sKizzo",0.05,"ok" "k_d4_p-10-shuffled",1,600,35,635,1868,4691,15,14,29,1,1416,451,1280,1322,2.22002141327623,0.291220556745182,40,0.145610278372591,0.145610278372591,3.69763779527559,15.5428571428571,7.77142857142857,75.6157480314961,236.914285714286,118.457142857143,263.41,0.499467064591771,0.442549562992965,0.499397154569568,0.883909517712335,0.0579833724152633,1,1.00213401621852,0.935601861721026,0.467236907553471,0.5,0.499397154569568,0.935470906245554,1,0.241434689507495,0.707708779443255,0.499233456745059,0.484216335540839,0.784484389782403,0.500839108147911,0.966950252693546,1.57137783772976,"sSolve",3.68,"ok" "k_d4_p-11-shuffled",1,657,38,695,2051,5153,16,15,31,1,1556,494,1406,1450,2.22086786933203,0.291565090199903,41.0625,0.145782545099951,0.145782545099951,3.70935251798561,15.7368421052632,7.86842105263158,81.0028776978417,255.578947368421,127.789473684211,283.235920852359,0.499708907432564,0.442266640791772,0.49967069154775,0.883883495145631,0.0580244517756647,1,1.00116504854369,0.935077643884058,0.467230892970388,0.5,0.49967069154775,0.935006132612197,1,0.240858117991224,0.706972208678693,0.499515490954349,0.483764755645755,0.789560245459628,0.500530294921818,0.966592865318095,1.58065217146947,"X2clsQ",3600,"timeout" "k_d4_p-11-shuffled",1,657,38,695,2051,5153,16,15,31,1,1556,494,1406,1450,2.22086786933203,0.291565090199903,41.0625,0.145782545099951,0.145782545099951,3.70935251798561,15.7368421052632,7.86842105263158,81.0028776978417,255.578947368421,127.789473684211,283.235920852359,0.499708907432564,0.442266640791772,0.49967069154775,0.883883495145631,0.0580244517756647,1,1.00116504854369,0.935077643884058,0.467230892970388,0.5,0.49967069154775,0.935006132612197,1,0.240858117991224,0.706972208678693,0.499515490954349,0.483764755645755,0.789560245459628,0.500530294921818,0.966592865318095,1.58065217146947,"quantor",3600,"memout" "k_d4_p-11-shuffled",1,657,38,695,2051,5153,16,15,31,1,1556,494,1406,1450,2.22086786933203,0.291565090199903,41.0625,0.145782545099951,0.145782545099951,3.70935251798561,15.7368421052632,7.86842105263158,81.0028776978417,255.578947368421,127.789473684211,283.235920852359,0.499708907432564,0.442266640791772,0.49967069154775,0.883883495145631,0.0580244517756647,1,1.00116504854369,0.935077643884058,0.467230892970388,0.5,0.49967069154775,0.935006132612197,1,0.240858117991224,0.706972208678693,0.499515490954349,0.483764755645755,0.789560245459628,0.500530294921818,0.966592865318095,1.58065217146947,"QuBE",3600,"timeout" "k_d4_p-11-shuffled",1,657,38,695,2051,5153,16,15,31,1,1556,494,1406,1450,2.22086786933203,0.291565090199903,41.0625,0.145782545099951,0.145782545099951,3.70935251798561,15.7368421052632,7.86842105263158,81.0028776978417,255.578947368421,127.789473684211,283.235920852359,0.499708907432564,0.442266640791772,0.49967069154775,0.883883495145631,0.0580244517756647,1,1.00116504854369,0.935077643884058,0.467230892970388,0.5,0.49967069154775,0.935006132612197,1,0.240858117991224,0.706972208678693,0.499515490954349,0.483764755645755,0.789560245459628,0.500530294921818,0.966592865318095,1.58065217146947,"sKizzo",0.04,"ok" "k_d4_p-11-shuffled",1,657,38,695,2051,5153,16,15,31,1,1556,494,1406,1450,2.22086786933203,0.291565090199903,41.0625,0.145782545099951,0.145782545099951,3.70935251798561,15.7368421052632,7.86842105263158,81.0028776978417,255.578947368421,127.789473684211,283.235920852359,0.499708907432564,0.442266640791772,0.49967069154775,0.883883495145631,0.0580244517756647,1,1.00116504854369,0.935077643884058,0.467230892970388,0.5,0.49967069154775,0.935006132612197,1,0.240858117991224,0.706972208678693,0.499515490954349,0.483764755645755,0.789560245459628,0.500530294921818,0.966592865318095,1.58065217146947,"sSolve",4.53,"ok" "k_d4_p-21-shuffled",1,1227,68,1295,3881,9773,26,25,51,1,2956,924,2666,2730,2.22494202525122,0.293223396031951,47.1923076923077,0.146611698015975,0.146611698015975,3.76679536679537,16.7352941176471,8.36764705882353,134.515057915058,439,219.5,479.067644661777,0.500869743169958,0.440908625805791,0.500984365952519,0.883758937691522,0.0582216310242505,1,0.996527068437181,0.932523207536423,0.467179547863644,0.5,0.500984365952519,0.932736613130009,1,0.238082968307137,0.703426951816542,0.500861043854496,0.481662358160508,0.81448874625711,0.499058415819868,0.964986507725314,1.62617707296422,"X2clsQ",3600,"timeout" "k_d4_p-21-shuffled",1,1227,68,1295,3881,9773,26,25,51,1,2956,924,2666,2730,2.22494202525122,0.293223396031951,47.1923076923077,0.146611698015975,0.146611698015975,3.76679536679537,16.7352941176471,8.36764705882353,134.515057915058,439,219.5,479.067644661777,0.500869743169958,0.440908625805791,0.500984365952519,0.883758937691522,0.0582216310242505,1,0.996527068437181,0.932523207536423,0.467179547863644,0.5,0.500984365952519,0.932736613130009,1,0.238082968307137,0.703426951816542,0.500861043854496,0.481662358160508,0.81448874625711,0.499058415819868,0.964986507725314,1.62617707296422,"quantor",3600,"memout" "k_d4_p-21-shuffled",1,1227,68,1295,3881,9773,26,25,51,1,2956,924,2666,2730,2.22494202525122,0.293223396031951,47.1923076923077,0.146611698015975,0.146611698015975,3.76679536679537,16.7352941176471,8.36764705882353,134.515057915058,439,219.5,479.067644661777,0.500869743169958,0.440908625805791,0.500984365952519,0.883758937691522,0.0582216310242505,1,0.996527068437181,0.932523207536423,0.467179547863644,0.5,0.500984365952519,0.932736613130009,1,0.238082968307137,0.703426951816542,0.500861043854496,0.481662358160508,0.81448874625711,0.499058415819868,0.964986507725314,1.62617707296422,"QuBE",3600,"timeout" "k_d4_p-21-shuffled",1,1227,68,1295,3881,9773,26,25,51,1,2956,924,2666,2730,2.22494202525122,0.293223396031951,47.1923076923077,0.146611698015975,0.146611698015975,3.76679536679537,16.7352941176471,8.36764705882353,134.515057915058,439,219.5,479.067644661777,0.500869743169958,0.440908625805791,0.500984365952519,0.883758937691522,0.0582216310242505,1,0.996527068437181,0.932523207536423,0.467179547863644,0.5,0.500984365952519,0.932736613130009,1,0.238082968307137,0.703426951816542,0.500861043854496,0.481662358160508,0.81448874625711,0.499058415819868,0.964986507725314,1.62617707296422,"sKizzo",0.21,"ok" "k_d4_p-21-shuffled",1,1227,68,1295,3881,9773,26,25,51,1,2956,924,2666,2730,2.22494202525122,0.293223396031951,47.1923076923077,0.146611698015975,0.146611698015975,3.76679536679537,16.7352941176471,8.36764705882353,134.515057915058,439,219.5,479.067644661777,0.500869743169958,0.440908625805791,0.500984365952519,0.883758937691522,0.0582216310242505,1,0.996527068437181,0.932523207536423,0.467179547863644,0.5,0.500984365952519,0.932736613130009,1,0.238082968307137,0.703426951816542,0.500861043854496,0.481662358160508,0.81448874625711,0.499058415819868,0.964986507725314,1.62617707296422,"sSolve",17.37,"ok" "k_d4_p-6-shuffled",1,372,23,395,1136,2843,11,10,21,1,856,279,776,810,2.21390845070423,0.288732394366197,33.8181818181818,0.144366197183099,0.144366197183099,3.61518987341772,14.2608695652174,7.1304347826087,53.853164556962,160.521739130435,80.2608695652174,182.650537634409,0.497713682729511,0.444600773830461,0.49741550695825,0.884098939929329,0.0576855434400281,1,1.00918727915194,0.939323711511768,0.467234180159532,0.5,0.49741550695825,0.938760971161518,1,0.245598591549296,0.713028169014085,0.497175274790214,0.487579952546345,0.749392352635879,0.503094812627871,0.969681736201256,1.5073001225817,"X2clsQ",1584.33,"ok" "k_d4_p-6-shuffled",1,372,23,395,1136,2843,11,10,21,1,856,279,776,810,2.21390845070423,0.288732394366197,33.8181818181818,0.144366197183099,0.144366197183099,3.61518987341772,14.2608695652174,7.1304347826087,53.853164556962,160.521739130435,80.2608695652174,182.650537634409,0.497713682729511,0.444600773830461,0.49741550695825,0.884098939929329,0.0576855434400281,1,1.00918727915194,0.939323711511768,0.467234180159532,0.5,0.49741550695825,0.938760971161518,1,0.245598591549296,0.713028169014085,0.497175274790214,0.487579952546345,0.749392352635879,0.503094812627871,0.969681736201256,1.5073001225817,"quantor",0.22,"ok" "k_d4_p-6-shuffled",1,372,23,395,1136,2843,11,10,21,1,856,279,776,810,2.21390845070423,0.288732394366197,33.8181818181818,0.144366197183099,0.144366197183099,3.61518987341772,14.2608695652174,7.1304347826087,53.853164556962,160.521739130435,80.2608695652174,182.650537634409,0.497713682729511,0.444600773830461,0.49741550695825,0.884098939929329,0.0576855434400281,1,1.00918727915194,0.939323711511768,0.467234180159532,0.5,0.49741550695825,0.938760971161518,1,0.245598591549296,0.713028169014085,0.497175274790214,0.487579952546345,0.749392352635879,0.503094812627871,0.969681736201256,1.5073001225817,"QuBE",3600,"timeout" "k_d4_p-6-shuffled",1,372,23,395,1136,2843,11,10,21,1,856,279,776,810,2.21390845070423,0.288732394366197,33.8181818181818,0.144366197183099,0.144366197183099,3.61518987341772,14.2608695652174,7.1304347826087,53.853164556962,160.521739130435,80.2608695652174,182.650537634409,0.497713682729511,0.444600773830461,0.49741550695825,0.884098939929329,0.0576855434400281,1,1.00918727915194,0.939323711511768,0.467234180159532,0.5,0.49741550695825,0.938760971161518,1,0.245598591549296,0.713028169014085,0.497175274790214,0.487579952546345,0.749392352635879,0.503094812627871,0.969681736201256,1.5073001225817,"sKizzo",0.04,"ok" "k_d4_p-6-shuffled",1,372,23,395,1136,2843,11,10,21,1,856,279,776,810,2.21390845070423,0.288732394366197,33.8181818181818,0.144366197183099,0.144366197183099,3.61518987341772,14.2608695652174,7.1304347826087,53.853164556962,160.521739130435,80.2608695652174,182.650537634409,0.497713682729511,0.444600773830461,0.49741550695825,0.884098939929329,0.0576855434400281,1,1.00918727915194,0.939323711511768,0.467234180159532,0.5,0.49741550695825,0.938760971161518,1,0.245598591549296,0.713028169014085,0.497175274790214,0.487579952546345,0.749392352635879,0.503094812627871,0.969681736201256,1.5073001225817,"sSolve",1.49,"ok" "k_d4_p-7-shuffled",1,429,26,455,1319,3305,12,11,23,1,996,322,902,938,2.21607278241092,0.289613343442002,35.75,0.144806671721001,0.144806671721001,3.64395604395604,14.6923076923077,7.34615384615385,59.3428571428571,180,90,203.174825174825,0.498335854765507,0.443872919818457,0.498118371536093,0.884031572556163,0.0577912254160363,1,1.0066788099575,0.938018612753862,0.467244303855499,0.5,0.498118371536093,0.937609243620173,1,0.24412433661865,0.711144806671721,0.49790802759544,0.486371609807279,0.761477955259684,0.502291382365521,0.968690272975299,1.5293546459516,"X2clsQ",3600,"timeout" "k_d4_p-7-shuffled",1,429,26,455,1319,3305,12,11,23,1,996,322,902,938,2.21607278241092,0.289613343442002,35.75,0.144806671721001,0.144806671721001,3.64395604395604,14.6923076923077,7.34615384615385,59.3428571428571,180,90,203.174825174825,0.498335854765507,0.443872919818457,0.498118371536093,0.884031572556163,0.0577912254160363,1,1.0066788099575,0.938018612753862,0.467244303855499,0.5,0.498118371536093,0.937609243620173,1,0.24412433661865,0.711144806671721,0.49790802759544,0.486371609807279,0.761477955259684,0.502291382365521,0.968690272975299,1.5293546459516,"quantor",9.38,"ok" "k_d4_p-7-shuffled",1,429,26,455,1319,3305,12,11,23,1,996,322,902,938,2.21607278241092,0.289613343442002,35.75,0.144806671721001,0.144806671721001,3.64395604395604,14.6923076923077,7.34615384615385,59.3428571428571,180,90,203.174825174825,0.498335854765507,0.443872919818457,0.498118371536093,0.884031572556163,0.0577912254160363,1,1.0066788099575,0.938018612753862,0.467244303855499,0.5,0.498118371536093,0.937609243620173,1,0.24412433661865,0.711144806671721,0.49790802759544,0.486371609807279,0.761477955259684,0.502291382365521,0.968690272975299,1.5293546459516,"QuBE",3600,"timeout" "k_d4_p-7-shuffled",1,429,26,455,1319,3305,12,11,23,1,996,322,902,938,2.21607278241092,0.289613343442002,35.75,0.144806671721001,0.144806671721001,3.64395604395604,14.6923076923077,7.34615384615385,59.3428571428571,180,90,203.174825174825,0.498335854765507,0.443872919818457,0.498118371536093,0.884031572556163,0.0577912254160363,1,1.0066788099575,0.938018612753862,0.467244303855499,0.5,0.498118371536093,0.937609243620173,1,0.24412433661865,0.711144806671721,0.49790802759544,0.486371609807279,0.761477955259684,0.502291382365521,0.968690272975299,1.5293546459516,"sKizzo",0.03,"ok" "k_d4_p-7-shuffled",1,429,26,455,1319,3305,12,11,23,1,996,322,902,938,2.21607278241092,0.289613343442002,35.75,0.144806671721001,0.144806671721001,3.64395604395604,14.6923076923077,7.34615384615385,59.3428571428571,180,90,203.174825174825,0.498335854765507,0.443872919818457,0.498118371536093,0.884031572556163,0.0577912254160363,1,1.0066788099575,0.938018612753862,0.467244303855499,0.5,0.498118371536093,0.937609243620173,1,0.24412433661865,0.711144806671721,0.49790802759544,0.486371609807279,0.761477955259684,0.502291382365521,0.968690272975299,1.5293546459516,"sSolve",1.9,"ok" "k_dum_n-10-shuffled",1,504,28,532,1360,3316,16,15,31,1,978,381,957,1010,2.23823529411765,0.2,31.5,0.1,0.1,3.19172932330827,9.71428571428571,4.85714285714286,69.7593984962406,151.428571428571,75.7142857142857,207.456349206349,0.487937273823884,0.471049457177322,0.486859395532194,0.915945611866502,0.0410132689987937,1,1.04944375772559,0.968971987669213,0.471753116204262,0.5,0.486859395532195,0.966831479192419,1,0.280147058823529,0.742647058823529,0.483695047301057,0.513856427378965,0.560378408458542,0.51732702542874,0.995257598620392,1.15853658536585,"X2clsQ",3600,"timeout" "k_dum_n-10-shuffled",1,504,28,532,1360,3316,16,15,31,1,978,381,957,1010,2.23823529411765,0.2,31.5,0.1,0.1,3.19172932330827,9.71428571428571,4.85714285714286,69.7593984962406,151.428571428571,75.7142857142857,207.456349206349,0.487937273823884,0.471049457177322,0.486859395532194,0.915945611866502,0.0410132689987937,1,1.04944375772559,0.968971987669213,0.471753116204262,0.5,0.486859395532195,0.966831479192419,1,0.280147058823529,0.742647058823529,0.483695047301057,0.513856427378965,0.560378408458542,0.51732702542874,0.995257598620392,1.15853658536585,"quantor",0,"ok" "k_dum_n-10-shuffled",1,504,28,532,1360,3316,16,15,31,1,978,381,957,1010,2.23823529411765,0.2,31.5,0.1,0.1,3.19172932330827,9.71428571428571,4.85714285714286,69.7593984962406,151.428571428571,75.7142857142857,207.456349206349,0.487937273823884,0.471049457177322,0.486859395532194,0.915945611866502,0.0410132689987937,1,1.04944375772559,0.968971987669213,0.471753116204262,0.5,0.486859395532195,0.966831479192419,1,0.280147058823529,0.742647058823529,0.483695047301057,0.513856427378965,0.560378408458542,0.51732702542874,0.995257598620392,1.15853658536585,"QuBE",3600,"timeout" "k_dum_n-10-shuffled",1,504,28,532,1360,3316,16,15,31,1,978,381,957,1010,2.23823529411765,0.2,31.5,0.1,0.1,3.19172932330827,9.71428571428571,4.85714285714286,69.7593984962406,151.428571428571,75.7142857142857,207.456349206349,0.487937273823884,0.471049457177322,0.486859395532194,0.915945611866502,0.0410132689987937,1,1.04944375772559,0.968971987669213,0.471753116204262,0.5,0.486859395532195,0.966831479192419,1,0.280147058823529,0.742647058823529,0.483695047301057,0.513856427378965,0.560378408458542,0.51732702542874,0.995257598620392,1.15853658536585,"sKizzo",0.05,"ok" "k_dum_n-10-shuffled",1,504,28,532,1360,3316,16,15,31,1,978,381,957,1010,2.23823529411765,0.2,31.5,0.1,0.1,3.19172932330827,9.71428571428571,4.85714285714286,69.7593984962406,151.428571428571,75.7142857142857,207.456349206349,0.487937273823884,0.471049457177322,0.486859395532194,0.915945611866502,0.0410132689987937,1,1.04944375772559,0.968971987669213,0.471753116204262,0.5,0.486859395532195,0.966831479192419,1,0.280147058823529,0.742647058823529,0.483695047301057,0.513856427378965,0.560378408458542,0.51732702542874,0.995257598620392,1.15853658536585,"sSolve",1.36,"ok" "k_dum_n-21-shuffled",1,966,50,1016,2647,6473,27,26,53,1,1913,733,1870,1956,2.24291650925576,0.202493388741972,35.7777777777778,0.101246694370986,0.101246694370986,3.25196850393701,10.72,5.36,121.011811023622,284,142,364.78364389234,0.489572068592615,0.46902518152325,0.488630621526023,0.915430735247712,0.0414027498841341,1,1.04260018933417,0.964668335647453,0.471366488413889,0.5,0.488630621526023,0.962813278479995,1,0.276917264828107,0.738949754438988,0.485476344918499,0.509904682019315,0.603762214642924,0.515441258258993,0.991022816897595,1.24364909014111,"X2clsQ",3600,"timeout" "k_dum_n-21-shuffled",1,966,50,1016,2647,6473,27,26,53,1,1913,733,1870,1956,2.24291650925576,0.202493388741972,35.7777777777778,0.101246694370986,0.101246694370986,3.25196850393701,10.72,5.36,121.011811023622,284,142,364.78364389234,0.489572068592615,0.46902518152325,0.488630621526023,0.915430735247712,0.0414027498841341,1,1.04260018933417,0.964668335647453,0.471366488413889,0.5,0.488630621526023,0.962813278479995,1,0.276917264828107,0.738949754438988,0.485476344918499,0.509904682019315,0.603762214642924,0.515441258258993,0.991022816897595,1.24364909014111,"quantor",0.02,"ok" "k_dum_n-21-shuffled",1,966,50,1016,2647,6473,27,26,53,1,1913,733,1870,1956,2.24291650925576,0.202493388741972,35.7777777777778,0.101246694370986,0.101246694370986,3.25196850393701,10.72,5.36,121.011811023622,284,142,364.78364389234,0.489572068592615,0.46902518152325,0.488630621526023,0.915430735247712,0.0414027498841341,1,1.04260018933417,0.964668335647453,0.471366488413889,0.5,0.488630621526023,0.962813278479995,1,0.276917264828107,0.738949754438988,0.485476344918499,0.509904682019315,0.603762214642924,0.515441258258993,0.991022816897595,1.24364909014111,"QuBE",3600,"timeout" "k_dum_n-21-shuffled",1,966,50,1016,2647,6473,27,26,53,1,1913,733,1870,1956,2.24291650925576,0.202493388741972,35.7777777777778,0.101246694370986,0.101246694370986,3.25196850393701,10.72,5.36,121.011811023622,284,142,364.78364389234,0.489572068592615,0.46902518152325,0.488630621526023,0.915430735247712,0.0414027498841341,1,1.04260018933417,0.964668335647453,0.471366488413889,0.5,0.488630621526023,0.962813278479995,1,0.276917264828107,0.738949754438988,0.485476344918499,0.509904682019315,0.603762214642924,0.515441258258993,0.991022816897595,1.24364909014111,"sKizzo",0.15,"ok" "k_dum_n-21-shuffled",1,966,50,1016,2647,6473,27,26,53,1,1913,733,1870,1956,2.24291650925576,0.202493388741972,35.7777777777778,0.101246694370986,0.101246694370986,3.25196850393701,10.72,5.36,121.011811023622,284,142,364.78364389234,0.489572068592615,0.46902518152325,0.488630621526023,0.915430735247712,0.0414027498841341,1,1.04260018933417,0.964668335647453,0.471366488413889,0.5,0.488630621526023,0.962813278479995,1,0.276917264828107,0.738949754438988,0.485476344918499,0.509904682019315,0.603762214642924,0.515441258258993,0.991022816897595,1.24364909014111,"sSolve",3.45,"ok" "k_dum_p-11-shuffled",1,450,23,473,1236,3024,13,12,25,2,894,340,872,914,2.24595469255663,0.200647249190939,34.6153846153846,0.100323624595469,0.100323624595469,3.25369978858351,10.7826086956522,5.39130434782609,59.0126849894292,138.782608695652,69.3913043478261,187.604444444444,0.491071428571429,0.46792328042328,0.490273775216138,0.916498316498316,0.041005291005291,1,1.03636363636364,0.964908877131099,0.473069517930629,0.5,0.490273775216138,0.963341563786008,1,0.275080906148867,0.739482200647249,0.488473097786248,0.506928806463699,0.60148964514957,0.512243070694488,0.991011038265722,1.23136698392503,"X2clsQ",2017.84,"ok" "k_dum_p-11-shuffled",1,450,23,473,1236,3024,13,12,25,2,894,340,872,914,2.24595469255663,0.200647249190939,34.6153846153846,0.100323624595469,0.100323624595469,3.25369978858351,10.7826086956522,5.39130434782609,59.0126849894292,138.782608695652,69.3913043478261,187.604444444444,0.491071428571429,0.46792328042328,0.490273775216138,0.916498316498316,0.041005291005291,1,1.03636363636364,0.964908877131099,0.473069517930629,0.5,0.490273775216138,0.963341563786008,1,0.275080906148867,0.739482200647249,0.488473097786248,0.506928806463699,0.60148964514957,0.512243070694488,0.991011038265722,1.23136698392503,"quantor",0.24,"ok" "k_dum_p-11-shuffled",1,450,23,473,1236,3024,13,12,25,2,894,340,872,914,2.24595469255663,0.200647249190939,34.6153846153846,0.100323624595469,0.100323624595469,3.25369978858351,10.7826086956522,5.39130434782609,59.0126849894292,138.782608695652,69.3913043478261,187.604444444444,0.491071428571429,0.46792328042328,0.490273775216138,0.916498316498316,0.041005291005291,1,1.03636363636364,0.964908877131099,0.473069517930629,0.5,0.490273775216138,0.963341563786008,1,0.275080906148867,0.739482200647249,0.488473097786248,0.506928806463699,0.60148964514957,0.512243070694488,0.991011038265722,1.23136698392503,"QuBE",3600,"timeout" "k_dum_p-11-shuffled",1,450,23,473,1236,3024,13,12,25,2,894,340,872,914,2.24595469255663,0.200647249190939,34.6153846153846,0.100323624595469,0.100323624595469,3.25369978858351,10.7826086956522,5.39130434782609,59.0126849894292,138.782608695652,69.3913043478261,187.604444444444,0.491071428571429,0.46792328042328,0.490273775216138,0.916498316498316,0.041005291005291,1,1.03636363636364,0.964908877131099,0.473069517930629,0.5,0.490273775216138,0.963341563786008,1,0.275080906148867,0.739482200647249,0.488473097786248,0.506928806463699,0.60148964514957,0.512243070694488,0.991011038265722,1.23136698392503,"sKizzo",0.03,"ok" "k_dum_p-11-shuffled",1,450,23,473,1236,3024,13,12,25,2,894,340,872,914,2.24595469255663,0.200647249190939,34.6153846153846,0.100323624595469,0.100323624595469,3.25369978858351,10.7826086956522,5.39130434782609,59.0126849894292,138.782608695652,69.3913043478261,187.604444444444,0.491071428571429,0.46792328042328,0.490273775216138,0.916498316498316,0.041005291005291,1,1.03636363636364,0.964908877131099,0.473069517930629,0.5,0.490273775216138,0.963341563786008,1,0.275080906148867,0.739482200647249,0.488473097786248,0.506928806463699,0.60148964514957,0.512243070694488,0.991011038265722,1.23136698392503,"sSolve",1.88,"ok" "k_dum_p-13-shuffled",1,530,27,557,1451,3547,15,14,29,2,1048,401,1023,1074,2.2460372157133,0.198483804272915,35.3333333333333,0.0992419021364576,0.0992419021364576,3.24236983842011,10.6666666666667,5.33333333333333,67.6947935368043,156.148148148148,78.0740740740741,212.881132075472,0.490837327318861,0.468564984493939,0.490027615833078,0.917288914417002,0.0405976881872005,1,1.0373348650201,0.96561165162162,0.473176375464783,0.5,0.490027615833078,0.964018727038245,1,0.276361130254997,0.740179186767746,0.487975448459418,0.508025190028217,0.590530536783304,0.512754771335974,0.992189121595182,1.21016444300151,"X2clsQ",3600,"timeout" "k_dum_p-13-shuffled",1,530,27,557,1451,3547,15,14,29,2,1048,401,1023,1074,2.2460372157133,0.198483804272915,35.3333333333333,0.0992419021364576,0.0992419021364576,3.24236983842011,10.6666666666667,5.33333333333333,67.6947935368043,156.148148148148,78.0740740740741,212.881132075472,0.490837327318861,0.468564984493939,0.490027615833078,0.917288914417002,0.0405976881872005,1,1.0373348650201,0.96561165162162,0.473176375464783,0.5,0.490027615833078,0.964018727038245,1,0.276361130254997,0.740179186767746,0.487975448459418,0.508025190028217,0.590530536783304,0.512754771335974,0.992189121595182,1.21016444300151,"quantor",0.72,"ok" "k_dum_p-13-shuffled",1,530,27,557,1451,3547,15,14,29,2,1048,401,1023,1074,2.2460372157133,0.198483804272915,35.3333333333333,0.0992419021364576,0.0992419021364576,3.24236983842011,10.6666666666667,5.33333333333333,67.6947935368043,156.148148148148,78.0740740740741,212.881132075472,0.490837327318861,0.468564984493939,0.490027615833078,0.917288914417002,0.0405976881872005,1,1.0373348650201,0.96561165162162,0.473176375464783,0.5,0.490027615833078,0.964018727038245,1,0.276361130254997,0.740179186767746,0.487975448459418,0.508025190028217,0.590530536783304,0.512754771335974,0.992189121595182,1.21016444300151,"QuBE",3600,"timeout" "k_dum_p-13-shuffled",1,530,27,557,1451,3547,15,14,29,2,1048,401,1023,1074,2.2460372157133,0.198483804272915,35.3333333333333,0.0992419021364576,0.0992419021364576,3.24236983842011,10.6666666666667,5.33333333333333,67.6947935368043,156.148148148148,78.0740740740741,212.881132075472,0.490837327318861,0.468564984493939,0.490027615833078,0.917288914417002,0.0405976881872005,1,1.0373348650201,0.96561165162162,0.473176375464783,0.5,0.490027615833078,0.964018727038245,1,0.276361130254997,0.740179186767746,0.487975448459418,0.508025190028217,0.590530536783304,0.512754771335974,0.992189121595182,1.21016444300151,"sKizzo",0.05,"ok" "k_dum_p-13-shuffled",1,530,27,557,1451,3547,15,14,29,2,1048,401,1023,1074,2.2460372157133,0.198483804272915,35.3333333333333,0.0992419021364576,0.0992419021364576,3.24236983842011,10.6666666666667,5.33333333333333,67.6947935368043,156.148148148148,78.0740740740741,212.881132075472,0.490837327318861,0.468564984493939,0.490027615833078,0.917288914417002,0.0405976881872005,1,1.0373348650201,0.96561165162162,0.473176375464783,0.5,0.490027615833078,0.964018727038245,1,0.276361130254997,0.740179186767746,0.487975448459418,0.508025190028217,0.590530536783304,0.512754771335974,0.992189121595182,1.21016444300151,"sSolve",3.39,"ok" "k_dum_p-16-shuffled",1,652,33,685,1782,4354,18,17,35,2,1286,494,1256,1319,2.24579124579125,0.197530864197531,36.2222222222222,0.0987654320987654,0.0987654320987654,3.23649635036496,10.6666666666667,5.33333333333333,81.0583941605839,186.181818181818,93.0909090909091,252.981595092025,0.490813045475425,0.468764354616445,0.490004997501249,0.917641553579785,0.0404225999081305,1,1.03743565746373,0.965676438843345,0.473186281002449,0.5,0.490004997501249,0.964086601537044,1,0.277216610549944,0.740179573512907,0.487748399357898,0.508402953619215,0.588291535760953,0.512987775061125,0.992486803324651,1.20613729647379,"X2clsQ",3600,"timeout" "k_dum_p-16-shuffled",1,652,33,685,1782,4354,18,17,35,2,1286,494,1256,1319,2.24579124579125,0.197530864197531,36.2222222222222,0.0987654320987654,0.0987654320987654,3.23649635036496,10.6666666666667,5.33333333333333,81.0583941605839,186.181818181818,93.0909090909091,252.981595092025,0.490813045475425,0.468764354616445,0.490004997501249,0.917641553579785,0.0404225999081305,1,1.03743565746373,0.965676438843345,0.473186281002449,0.5,0.490004997501249,0.964086601537044,1,0.277216610549944,0.740179573512907,0.487748399357898,0.508402953619215,0.588291535760953,0.512987775061125,0.992486803324651,1.20613729647379,"quantor",13.36,"ok" "k_dum_p-16-shuffled",1,652,33,685,1782,4354,18,17,35,2,1286,494,1256,1319,2.24579124579125,0.197530864197531,36.2222222222222,0.0987654320987654,0.0987654320987654,3.23649635036496,10.6666666666667,5.33333333333333,81.0583941605839,186.181818181818,93.0909090909091,252.981595092025,0.490813045475425,0.468764354616445,0.490004997501249,0.917641553579785,0.0404225999081305,1,1.03743565746373,0.965676438843345,0.473186281002449,0.5,0.490004997501249,0.964086601537044,1,0.277216610549944,0.740179573512907,0.487748399357898,0.508402953619215,0.588291535760953,0.512987775061125,0.992486803324651,1.20613729647379,"QuBE",3600,"timeout" "k_dum_p-16-shuffled",1,652,33,685,1782,4354,18,17,35,2,1286,494,1256,1319,2.24579124579125,0.197530864197531,36.2222222222222,0.0987654320987654,0.0987654320987654,3.23649635036496,10.6666666666667,5.33333333333333,81.0583941605839,186.181818181818,93.0909090909091,252.981595092025,0.490813045475425,0.468764354616445,0.490004997501249,0.917641553579785,0.0404225999081305,1,1.03743565746373,0.965676438843345,0.473186281002449,0.5,0.490004997501249,0.964086601537044,1,0.277216610549944,0.740179573512907,0.487748399357898,0.508402953619215,0.588291535760953,0.512987775061125,0.992486803324651,1.20613729647379,"sKizzo",0.06,"ok" "k_dum_p-16-shuffled",1,652,33,685,1782,4354,18,17,35,2,1286,494,1256,1319,2.24579124579125,0.197530864197531,36.2222222222222,0.0987654320987654,0.0987654320987654,3.23649635036496,10.6666666666667,5.33333333333333,81.0583941605839,186.181818181818,93.0909090909091,252.981595092025,0.490813045475425,0.468764354616445,0.490004997501249,0.917641553579785,0.0404225999081305,1,1.03743565746373,0.965676438843345,0.473186281002449,0.5,0.490004997501249,0.964086601537044,1,0.277216610549944,0.740179573512907,0.487748399357898,0.508402953619215,0.588291535760953,0.512987775061125,0.992486803324651,1.20613729647379,"sSolve",4.18,"ok" "k_dum_p-21-shuffled",1,850,43,893,2311,5639,23,22,45,2,1664,645,1627,1714,2.24621376027694,0.193855473820857,36.9565217391304,0.0969277369104284,0.0969277369104284,3.21836506159015,10.4186046511628,5.2093023255814,102.528555431131,226.790697674419,113.395348837209,314.949411764706,0.490335165809541,0.469941478985636,0.489501059526103,0.918987341772152,0.0397233552048236,1,1.03942133815552,0.967122456004924,0.473407466905897,0.5,0.489501059526103,0.965477289650037,1,0.279099956728689,0.74167027260926,0.486877427381706,0.510371340569092,0.567507392195546,0.513881231436854,0.994638255660507,1.16560629078133,"X2clsQ",3600,"timeout" "k_dum_p-21-shuffled",1,850,43,893,2311,5639,23,22,45,2,1664,645,1627,1714,2.24621376027694,0.193855473820857,36.9565217391304,0.0969277369104284,0.0969277369104284,3.21836506159015,10.4186046511628,5.2093023255814,102.528555431131,226.790697674419,113.395348837209,314.949411764706,0.490335165809541,0.469941478985636,0.489501059526103,0.918987341772152,0.0397233552048236,1,1.03942133815552,0.967122456004924,0.473407466905897,0.5,0.489501059526103,0.965477289650037,1,0.279099956728689,0.74167027260926,0.486877427381706,0.510371340569092,0.567507392195546,0.513881231436854,0.994638255660507,1.16560629078133,"quantor",3600,"memout" "k_dum_p-21-shuffled",1,850,43,893,2311,5639,23,22,45,2,1664,645,1627,1714,2.24621376027694,0.193855473820857,36.9565217391304,0.0969277369104284,0.0969277369104284,3.21836506159015,10.4186046511628,5.2093023255814,102.528555431131,226.790697674419,113.395348837209,314.949411764706,0.490335165809541,0.469941478985636,0.489501059526103,0.918987341772152,0.0397233552048236,1,1.03942133815552,0.967122456004924,0.473407466905897,0.5,0.489501059526103,0.965477289650037,1,0.279099956728689,0.74167027260926,0.486877427381706,0.510371340569092,0.567507392195546,0.513881231436854,0.994638255660507,1.16560629078133,"QuBE",3600,"timeout" "k_dum_p-21-shuffled",1,850,43,893,2311,5639,23,22,45,2,1664,645,1627,1714,2.24621376027694,0.193855473820857,36.9565217391304,0.0969277369104284,0.0969277369104284,3.21836506159015,10.4186046511628,5.2093023255814,102.528555431131,226.790697674419,113.395348837209,314.949411764706,0.490335165809541,0.469941478985636,0.489501059526103,0.918987341772152,0.0397233552048236,1,1.03942133815552,0.967122456004924,0.473407466905897,0.5,0.489501059526103,0.965477289650037,1,0.279099956728689,0.74167027260926,0.486877427381706,0.510371340569092,0.567507392195546,0.513881231436854,0.994638255660507,1.16560629078133,"sKizzo",0.12,"ok" "k_dum_p-21-shuffled",1,850,43,893,2311,5639,23,22,45,2,1664,645,1627,1714,2.24621376027694,0.193855473820857,36.9565217391304,0.0969277369104284,0.0969277369104284,3.21836506159015,10.4186046511628,5.2093023255814,102.528555431131,226.790697674419,113.395348837209,314.949411764706,0.490335165809541,0.469941478985636,0.489501059526103,0.918987341772152,0.0397233552048236,1,1.03942133815552,0.967122456004924,0.473407466905897,0.5,0.489501059526103,0.965477289650037,1,0.279099956728689,0.74167027260926,0.486877427381706,0.510371340569092,0.567507392195546,0.513881231436854,0.994638255660507,1.16560629078133,"sSolve",7.43,"ok" "k_grz_n-10-shuffled",1,499,20,519,1803,4685,9,8,17,1,1441,361,1319,1190,2.32445923460899,0.273987798114254,55.4444444444444,0.136993899057127,0.136993899057127,4.39884393063584,24.7,12.35,44.7129094412331,163,81.5,212.977955911824,0.512700106723586,0.434578441835646,0.514197089000239,0.897169025811824,0.0527214514407684,1,0.950457951706911,0.930411088986939,0.478414673530626,0.5,0.514197089000239,0.933127704201075,1,0.200221852468109,0.660011092623405,0.526185760663168,0.458189957308087,0.863640075954019,0.471946978148172,0.967024456566326,1.64132164060378,"X2clsQ",594.25,"ok" "k_grz_n-10-shuffled",1,499,20,519,1803,4685,9,8,17,1,1441,361,1319,1190,2.32445923460899,0.273987798114254,55.4444444444444,0.136993899057127,0.136993899057127,4.39884393063584,24.7,12.35,44.7129094412331,163,81.5,212.977955911824,0.512700106723586,0.434578441835646,0.514197089000239,0.897169025811824,0.0527214514407684,1,0.950457951706911,0.930411088986939,0.478414673530626,0.5,0.514197089000239,0.933127704201075,1,0.200221852468109,0.660011092623405,0.526185760663168,0.458189957308087,0.863640075954019,0.471946978148172,0.967024456566326,1.64132164060378,"quantor",0.9,"ok" "k_grz_n-10-shuffled",1,499,20,519,1803,4685,9,8,17,1,1441,361,1319,1190,2.32445923460899,0.273987798114254,55.4444444444444,0.136993899057127,0.136993899057127,4.39884393063584,24.7,12.35,44.7129094412331,163,81.5,212.977955911824,0.512700106723586,0.434578441835646,0.514197089000239,0.897169025811824,0.0527214514407684,1,0.950457951706911,0.930411088986939,0.478414673530626,0.5,0.514197089000239,0.933127704201075,1,0.200221852468109,0.660011092623405,0.526185760663168,0.458189957308087,0.863640075954019,0.471946978148172,0.967024456566326,1.64132164060378,"QuBE",3600,"timeout" "k_grz_n-10-shuffled",1,499,20,519,1803,4685,9,8,17,1,1441,361,1319,1190,2.32445923460899,0.273987798114254,55.4444444444444,0.136993899057127,0.136993899057127,4.39884393063584,24.7,12.35,44.7129094412331,163,81.5,212.977955911824,0.512700106723586,0.434578441835646,0.514197089000239,0.897169025811824,0.0527214514407684,1,0.950457951706911,0.930411088986939,0.478414673530626,0.5,0.514197089000239,0.933127704201075,1,0.200221852468109,0.660011092623405,0.526185760663168,0.458189957308087,0.863640075954019,0.471946978148172,0.967024456566326,1.64132164060378,"sKizzo",0.04,"ok" "k_grz_n-10-shuffled",1,499,20,519,1803,4685,9,8,17,1,1441,361,1319,1190,2.32445923460899,0.273987798114254,55.4444444444444,0.136993899057127,0.136993899057127,4.39884393063584,24.7,12.35,44.7129094412331,163,81.5,212.977955911824,0.512700106723586,0.434578441835646,0.514197089000239,0.897169025811824,0.0527214514407684,1,0.950457951706911,0.930411088986939,0.478414673530626,0.5,0.514197089000239,0.933127704201075,1,0.200221852468109,0.660011092623405,0.526185760663168,0.458189957308087,0.863640075954019,0.471946978148172,0.967024456566326,1.64132164060378,"sSolve",2871.05,"ok" "k_grz_n-13-shuffled",1,556,20,576,2112,5522,9,8,17,1,1705,406,1576,1355,2.35795454545455,0.256628787878788,61.7777777777778,0.128314393939394,0.128314393939394,4.61458333333333,27.1,13.55,45.6788194444444,172.6,86.3,250.012589928058,0.518652662078957,0.432270916334661,0.520682730923695,0.905377094972067,0.0490764215863817,1,0.928072625698324,0.934287702036849,0.48646747216497,0.5,0.520682730923695,0.93794461637394,1,0.192234848484848,0.64157196969697,0.53710415200563,0.448088538317833,0.874539057002111,0.460496740840638,0.968011573789883,1.62824855055849,"X2clsQ",1405.53,"ok" "k_grz_n-13-shuffled",1,556,20,576,2112,5522,9,8,17,1,1705,406,1576,1355,2.35795454545455,0.256628787878788,61.7777777777778,0.128314393939394,0.128314393939394,4.61458333333333,27.1,13.55,45.6788194444444,172.6,86.3,250.012589928058,0.518652662078957,0.432270916334661,0.520682730923695,0.905377094972067,0.0490764215863817,1,0.928072625698324,0.934287702036849,0.48646747216497,0.5,0.520682730923695,0.93794461637394,1,0.192234848484848,0.64157196969697,0.53710415200563,0.448088538317833,0.874539057002111,0.460496740840638,0.968011573789883,1.62824855055849,"quantor",5.09,"ok" "k_grz_n-13-shuffled",1,556,20,576,2112,5522,9,8,17,1,1705,406,1576,1355,2.35795454545455,0.256628787878788,61.7777777777778,0.128314393939394,0.128314393939394,4.61458333333333,27.1,13.55,45.6788194444444,172.6,86.3,250.012589928058,0.518652662078957,0.432270916334661,0.520682730923695,0.905377094972067,0.0490764215863817,1,0.928072625698324,0.934287702036849,0.48646747216497,0.5,0.520682730923695,0.93794461637394,1,0.192234848484848,0.64157196969697,0.53710415200563,0.448088538317833,0.874539057002111,0.460496740840638,0.968011573789883,1.62824855055849,"QuBE",3600,"timeout" "k_grz_n-13-shuffled",1,556,20,576,2112,5522,9,8,17,1,1705,406,1576,1355,2.35795454545455,0.256628787878788,61.7777777777778,0.128314393939394,0.128314393939394,4.61458333333333,27.1,13.55,45.6788194444444,172.6,86.3,250.012589928058,0.518652662078957,0.432270916334661,0.520682730923695,0.905377094972067,0.0490764215863817,1,0.928072625698324,0.934287702036849,0.48646747216497,0.5,0.520682730923695,0.93794461637394,1,0.192234848484848,0.64157196969697,0.53710415200563,0.448088538317833,0.874539057002111,0.460496740840638,0.968011573789883,1.62824855055849,"sKizzo",0.41,"ok" "k_grz_n-13-shuffled",1,556,20,576,2112,5522,9,8,17,1,1705,406,1576,1355,2.35795454545455,0.256628787878788,61.7777777777778,0.128314393939394,0.128314393939394,4.61458333333333,27.1,13.55,45.6788194444444,172.6,86.3,250.012589928058,0.518652662078957,0.432270916334661,0.520682730923695,0.905377094972067,0.0490764215863817,1,0.928072625698324,0.934287702036849,0.48646747216497,0.5,0.520682730923695,0.93794461637394,1,0.192234848484848,0.64157196969697,0.53710415200563,0.448088538317833,0.874539057002111,0.460496740840638,0.968011573789883,1.62824855055849,"sSolve",3600,"timeout" "k_grz_n-14-shuffled",1,628,21,649,2444,6414,9,8,17,1,1985,458,1842,1539,2.37152209492635,0.252864157119476,69.7777777777778,0.126432078559738,0.126432078559738,4.72419106317411,29.4285714285714,14.7142857142857,47.2218798151002,193.714285714286,96.8571428571429,271.660828025478,0.521983161833489,0.429840972871843,0.524327122153209,0.907706093189964,0.0481758652946679,1,0.915770609318996,0.93386580708204,0.48965117110461,0.5,0.524327122153209,0.938059322420839,1,0.187397708674304,0.629705400981997,0.541733955380106,0.44215868383467,0.939952833816103,0.455562985606929,0.964851507166359,1.73508199824135,"X2clsQ",3125.12,"ok" "k_grz_n-14-shuffled",1,628,21,649,2444,6414,9,8,17,1,1985,458,1842,1539,2.37152209492635,0.252864157119476,69.7777777777778,0.126432078559738,0.126432078559738,4.72419106317411,29.4285714285714,14.7142857142857,47.2218798151002,193.714285714286,96.8571428571429,271.660828025478,0.521983161833489,0.429840972871843,0.524327122153209,0.907706093189964,0.0481758652946679,1,0.915770609318996,0.93386580708204,0.48965117110461,0.5,0.524327122153209,0.938059322420839,1,0.187397708674304,0.629705400981997,0.541733955380106,0.44215868383467,0.939952833816103,0.455562985606929,0.964851507166359,1.73508199824135,"quantor",40.41,"ok" "k_grz_n-14-shuffled",1,628,21,649,2444,6414,9,8,17,1,1985,458,1842,1539,2.37152209492635,0.252864157119476,69.7777777777778,0.126432078559738,0.126432078559738,4.72419106317411,29.4285714285714,14.7142857142857,47.2218798151002,193.714285714286,96.8571428571429,271.660828025478,0.521983161833489,0.429840972871843,0.524327122153209,0.907706093189964,0.0481758652946679,1,0.915770609318996,0.93386580708204,0.48965117110461,0.5,0.524327122153209,0.938059322420839,1,0.187397708674304,0.629705400981997,0.541733955380106,0.44215868383467,0.939952833816103,0.455562985606929,0.964851507166359,1.73508199824135,"QuBE",3600,"timeout" "k_grz_n-14-shuffled",1,628,21,649,2444,6414,9,8,17,1,1985,458,1842,1539,2.37152209492635,0.252864157119476,69.7777777777778,0.126432078559738,0.126432078559738,4.72419106317411,29.4285714285714,14.7142857142857,47.2218798151002,193.714285714286,96.8571428571429,271.660828025478,0.521983161833489,0.429840972871843,0.524327122153209,0.907706093189964,0.0481758652946679,1,0.915770609318996,0.93386580708204,0.48965117110461,0.5,0.524327122153209,0.938059322420839,1,0.187397708674304,0.629705400981997,0.541733955380106,0.44215868383467,0.939952833816103,0.455562985606929,0.964851507166359,1.73508199824135,"sKizzo",1.02,"ok" "k_grz_n-14-shuffled",1,628,21,649,2444,6414,9,8,17,1,1985,458,1842,1539,2.37152209492635,0.252864157119476,69.7777777777778,0.126432078559738,0.126432078559738,4.72419106317411,29.4285714285714,14.7142857142857,47.2218798151002,193.714285714286,96.8571428571429,271.660828025478,0.521983161833489,0.429840972871843,0.524327122153209,0.907706093189964,0.0481758652946679,1,0.915770609318996,0.93386580708204,0.48965117110461,0.5,0.524327122153209,0.938059322420839,1,0.187397708674304,0.629705400981997,0.541733955380106,0.44215868383467,0.939952833816103,0.455562985606929,0.964851507166359,1.73508199824135,"sSolve",3600,"timeout" "k_grz_n-21-shuffled",1,824,24,848,3592,9560,9,8,17,2,2984,606,2765,2129,2.41202672605791,0.249443207126949,91.5555555555556,0.124721603563474,0.124721603563474,5.28419811320755,37.3333333333333,18.6666666666667,51.3478773584906,237.5,118.75,381.822815533981,0.531276150627615,0.421861924686192,0.534510618651893,0.911793660169325,0.0468619246861925,1,0.882260287458161,0.932672543364342,0.498523378153309,0.5,0.534510618651893,0.93835075706746,1,0.168708240534521,0.592706013363029,0.557786444051754,0.425306532302609,1.02268803444844,0.438662872172994,0.961767287731868,1.83347595724924,"X2clsQ",3600,"timeout" "k_grz_n-21-shuffled",1,824,24,848,3592,9560,9,8,17,2,2984,606,2765,2129,2.41202672605791,0.249443207126949,91.5555555555556,0.124721603563474,0.124721603563474,5.28419811320755,37.3333333333333,18.6666666666667,51.3478773584906,237.5,118.75,381.822815533981,0.531276150627615,0.421861924686192,0.534510618651893,0.911793660169325,0.0468619246861925,1,0.882260287458161,0.932672543364342,0.498523378153309,0.5,0.534510618651893,0.93835075706746,1,0.168708240534521,0.592706013363029,0.557786444051754,0.425306532302609,1.02268803444844,0.438662872172994,0.961767287731868,1.83347595724924,"quantor",18.86,"ok" "k_grz_n-21-shuffled",1,824,24,848,3592,9560,9,8,17,2,2984,606,2765,2129,2.41202672605791,0.249443207126949,91.5555555555556,0.124721603563474,0.124721603563474,5.28419811320755,37.3333333333333,18.6666666666667,51.3478773584906,237.5,118.75,381.822815533981,0.531276150627615,0.421861924686192,0.534510618651893,0.911793660169325,0.0468619246861925,1,0.882260287458161,0.932672543364342,0.498523378153309,0.5,0.534510618651893,0.93835075706746,1,0.168708240534521,0.592706013363029,0.557786444051754,0.425306532302609,1.02268803444844,0.438662872172994,0.961767287731868,1.83347595724924,"QuBE",3600,"timeout" "k_grz_n-21-shuffled",1,824,24,848,3592,9560,9,8,17,2,2984,606,2765,2129,2.41202672605791,0.249443207126949,91.5555555555556,0.124721603563474,0.124721603563474,5.28419811320755,37.3333333333333,18.6666666666667,51.3478773584906,237.5,118.75,381.822815533981,0.531276150627615,0.421861924686192,0.534510618651893,0.911793660169325,0.0468619246861925,1,0.882260287458161,0.932672543364342,0.498523378153309,0.5,0.534510618651893,0.93835075706746,1,0.168708240534521,0.592706013363029,0.557786444051754,0.425306532302609,1.02268803444844,0.438662872172994,0.961767287731868,1.83347595724924,"sKizzo",207.97,"ok" "k_grz_n-21-shuffled",1,824,24,848,3592,9560,9,8,17,2,2984,606,2765,2129,2.41202672605791,0.249443207126949,91.5555555555556,0.124721603563474,0.124721603563474,5.28419811320755,37.3333333333333,18.6666666666667,51.3478773584906,237.5,118.75,381.822815533981,0.531276150627615,0.421861924686192,0.534510618651893,0.911793660169325,0.0468619246861925,1,0.882260287458161,0.932672543364342,0.498523378153309,0.5,0.534510618651893,0.93835075706746,1,0.168708240534521,0.592706013363029,0.557786444051754,0.425306532302609,1.02268803444844,0.438662872172994,0.961767287731868,1.83347595724924,"sSolve",3600,"timeout" "k_grz_p-10-shuffled",1,483,20,503,1777,4629,9,8,17,1,1426,350,1286,1207,2.27293190770962,0.332020258863253,53.6666666666667,0.166010129431626,0.166010129431626,4.54671968190855,29.5,14.75,47.1809145129225,183.8,91.9,205.884057971014,0.505940807949881,0.430330524951393,0.506808615994058,0.874039282664389,0.0637286670987254,1,0.976515798462852,0.908672796891682,0.460523202584123,0.5,0.506808615994058,0.910231385466228,1,0.196961170512099,0.67923466516601,0.512439650744735,0.468424937872248,0.94968053415511,0.486544145425454,0.960752732636595,1.85325341779257,"X2clsQ",582.51,"ok" "k_grz_p-10-shuffled",1,483,20,503,1777,4629,9,8,17,1,1426,350,1286,1207,2.27293190770962,0.332020258863253,53.6666666666667,0.166010129431626,0.166010129431626,4.54671968190855,29.5,14.75,47.1809145129225,183.8,91.9,205.884057971014,0.505940807949881,0.430330524951393,0.506808615994058,0.874039282664389,0.0637286670987254,1,0.976515798462852,0.908672796891682,0.460523202584123,0.5,0.506808615994058,0.910231385466228,1,0.196961170512099,0.67923466516601,0.512439650744735,0.468424937872248,0.94968053415511,0.486544145425454,0.960752732636595,1.85325341779257,"quantor",0.65,"ok" "k_grz_p-10-shuffled",1,483,20,503,1777,4629,9,8,17,1,1426,350,1286,1207,2.27293190770962,0.332020258863253,53.6666666666667,0.166010129431626,0.166010129431626,4.54671968190855,29.5,14.75,47.1809145129225,183.8,91.9,205.884057971014,0.505940807949881,0.430330524951393,0.506808615994058,0.874039282664389,0.0637286670987254,1,0.976515798462852,0.908672796891682,0.460523202584123,0.5,0.506808615994058,0.910231385466228,1,0.196961170512099,0.67923466516601,0.512439650744735,0.468424937872248,0.94968053415511,0.486544145425454,0.960752732636595,1.85325341779257,"QuBE",3600,"timeout" "k_grz_p-10-shuffled",1,483,20,503,1777,4629,9,8,17,1,1426,350,1286,1207,2.27293190770962,0.332020258863253,53.6666666666667,0.166010129431626,0.166010129431626,4.54671968190855,29.5,14.75,47.1809145129225,183.8,91.9,205.884057971014,0.505940807949881,0.430330524951393,0.506808615994058,0.874039282664389,0.0637286670987254,1,0.976515798462852,0.908672796891682,0.460523202584123,0.5,0.506808615994058,0.910231385466228,1,0.196961170512099,0.67923466516601,0.512439650744735,0.468424937872248,0.94968053415511,0.486544145425454,0.960752732636595,1.85325341779257,"sKizzo",0.08,"ok" "k_grz_p-10-shuffled",1,483,20,503,1777,4629,9,8,17,1,1426,350,1286,1207,2.27293190770962,0.332020258863253,53.6666666666667,0.166010129431626,0.166010129431626,4.54671968190855,29.5,14.75,47.1809145129225,183.8,91.9,205.884057971014,0.505940807949881,0.430330524951393,0.506808615994058,0.874039282664389,0.0637286670987254,1,0.976515798462852,0.908672796891682,0.460523202584123,0.5,0.506808615994058,0.910231385466228,1,0.196961170512099,0.67923466516601,0.512439650744735,0.468424937872248,0.94968053415511,0.486544145425454,0.960752732636595,1.85325341779257,"sSolve",2211.25,"ok" "k_grz_p-17-shuffled",1,645,22,667,2623,6919,9,8,17,1,2148,474,1937,1727,2.31376286694624,0.324056423942051,71.6666666666667,0.162028211971026,0.162028211971026,5.06296851574213,38.6363636363636,19.3181818181818,51.7241379310345,228.545454545455,114.272727272727,291.733333333333,0.511923688394277,0.426651250180662,0.513593672763223,0.880011293054771,0.0614250614250614,1,0.953416149068323,0.907068167533284,0.465864471610026,0.5,0.513593672763223,0.910027182120205,1,0.180709111704156,0.658406404879909,0.522960136060066,0.457363633644961,1.05391116122439,0.475324327939013,0.958753488372093,2.01528011133786,"X2clsQ",3600,"timeout" "k_grz_p-17-shuffled",1,645,22,667,2623,6919,9,8,17,1,2148,474,1937,1727,2.31376286694624,0.324056423942051,71.6666666666667,0.162028211971026,0.162028211971026,5.06296851574213,38.6363636363636,19.3181818181818,51.7241379310345,228.545454545455,114.272727272727,291.733333333333,0.511923688394277,0.426651250180662,0.513593672763223,0.880011293054771,0.0614250614250614,1,0.953416149068323,0.907068167533284,0.465864471610026,0.5,0.513593672763223,0.910027182120205,1,0.180709111704156,0.658406404879909,0.522960136060066,0.457363633644961,1.05391116122439,0.475324327939013,0.958753488372093,2.01528011133786,"quantor",11.94,"ok" "k_grz_p-17-shuffled",1,645,22,667,2623,6919,9,8,17,1,2148,474,1937,1727,2.31376286694624,0.324056423942051,71.6666666666667,0.162028211971026,0.162028211971026,5.06296851574213,38.6363636363636,19.3181818181818,51.7241379310345,228.545454545455,114.272727272727,291.733333333333,0.511923688394277,0.426651250180662,0.513593672763223,0.880011293054771,0.0614250614250614,1,0.953416149068323,0.907068167533284,0.465864471610026,0.5,0.513593672763223,0.910027182120205,1,0.180709111704156,0.658406404879909,0.522960136060066,0.457363633644961,1.05391116122439,0.475324327939013,0.958753488372093,2.01528011133786,"QuBE",3600,"timeout" "k_grz_p-17-shuffled",1,645,22,667,2623,6919,9,8,17,1,2148,474,1937,1727,2.31376286694624,0.324056423942051,71.6666666666667,0.162028211971026,0.162028211971026,5.06296851574213,38.6363636363636,19.3181818181818,51.7241379310345,228.545454545455,114.272727272727,291.733333333333,0.511923688394277,0.426651250180662,0.513593672763223,0.880011293054771,0.0614250614250614,1,0.953416149068323,0.907068167533284,0.465864471610026,0.5,0.513593672763223,0.910027182120205,1,0.180709111704156,0.658406404879909,0.522960136060066,0.457363633644961,1.05391116122439,0.475324327939013,0.958753488372093,2.01528011133786,"sKizzo",0.2,"ok" "k_grz_p-17-shuffled",1,645,22,667,2623,6919,9,8,17,1,2148,474,1937,1727,2.31376286694624,0.324056423942051,71.6666666666667,0.162028211971026,0.162028211971026,5.06296851574213,38.6363636363636,19.3181818181818,51.7241379310345,228.545454545455,114.272727272727,291.733333333333,0.511923688394277,0.426651250180662,0.513593672763223,0.880011293054771,0.0614250614250614,1,0.953416149068323,0.907068167533284,0.465864471610026,0.5,0.513593672763223,0.910027182120205,1,0.180709111704156,0.658406404879909,0.522960136060066,0.457363633644961,1.05391116122439,0.475324327939013,0.958753488372093,2.01528011133786,"sSolve",3600,"timeout" "k_grz_p-21-shuffled",1,772,24,796,3274,8682,9,8,17,2,2704,568,2460,2101,2.34270006108735,0.309102015882712,85.7777777777778,0.154551007941356,0.154551007941356,5.26758793969849,42.1666666666667,21.0833333333333,53.9912060301508,258.166666666667,129.083333333333,347.546632124352,0.517046763418567,0.424671734623359,0.519295958279009,0.887280017821341,0.0582815019580742,1,0.934061038093116,0.910901358656407,0.47302739394113,0.5,0.519295958279009,0.914863852572263,1,0.173488087965791,0.641722663408674,0.531238411029428,0.448493288301205,1.12072526050188,0.466497438177011,0.956762027550343,2.10964651130959,"X2clsQ",3600,"timeout" "k_grz_p-21-shuffled",1,772,24,796,3274,8682,9,8,17,2,2704,568,2460,2101,2.34270006108735,0.309102015882712,85.7777777777778,0.154551007941356,0.154551007941356,5.26758793969849,42.1666666666667,21.0833333333333,53.9912060301508,258.166666666667,129.083333333333,347.546632124352,0.517046763418567,0.424671734623359,0.519295958279009,0.887280017821341,0.0582815019580742,1,0.934061038093116,0.910901358656407,0.47302739394113,0.5,0.519295958279009,0.914863852572263,1,0.173488087965791,0.641722663408674,0.531238411029428,0.448493288301205,1.12072526050188,0.466497438177011,0.956762027550343,2.10964651130959,"quantor",16.74,"ok" "k_grz_p-21-shuffled",1,772,24,796,3274,8682,9,8,17,2,2704,568,2460,2101,2.34270006108735,0.309102015882712,85.7777777777778,0.154551007941356,0.154551007941356,5.26758793969849,42.1666666666667,21.0833333333333,53.9912060301508,258.166666666667,129.083333333333,347.546632124352,0.517046763418567,0.424671734623359,0.519295958279009,0.887280017821341,0.0582815019580742,1,0.934061038093116,0.910901358656407,0.47302739394113,0.5,0.519295958279009,0.914863852572263,1,0.173488087965791,0.641722663408674,0.531238411029428,0.448493288301205,1.12072526050188,0.466497438177011,0.956762027550343,2.10964651130959,"QuBE",3600,"timeout" "k_grz_p-21-shuffled",1,772,24,796,3274,8682,9,8,17,2,2704,568,2460,2101,2.34270006108735,0.309102015882712,85.7777777777778,0.154551007941356,0.154551007941356,5.26758793969849,42.1666666666667,21.0833333333333,53.9912060301508,258.166666666667,129.083333333333,347.546632124352,0.517046763418567,0.424671734623359,0.519295958279009,0.887280017821341,0.0582815019580742,1,0.934061038093116,0.910901358656407,0.47302739394113,0.5,0.519295958279009,0.914863852572263,1,0.173488087965791,0.641722663408674,0.531238411029428,0.448493288301205,1.12072526050188,0.466497438177011,0.956762027550343,2.10964651130959,"sKizzo",1.42,"ok" "k_grz_p-21-shuffled",1,772,24,796,3274,8682,9,8,17,2,2704,568,2460,2101,2.34270006108735,0.309102015882712,85.7777777777778,0.154551007941356,0.154551007941356,5.26758793969849,42.1666666666667,21.0833333333333,53.9912060301508,258.166666666667,129.083333333333,347.546632124352,0.517046763418567,0.424671734623359,0.519295958279009,0.887280017821341,0.0582815019580742,1,0.934061038093116,0.910901358656407,0.47302739394113,0.5,0.519295958279009,0.914863852572263,1,0.173488087965791,0.641722663408674,0.531238411029428,0.448493288301205,1.12072526050188,0.466497438177011,0.956762027550343,2.10964651130959,"sSolve",3600,"timeout" "k_lin_n-10-shuffled",1,2032,16,2048,18199,51818,5,4,9,1,16810,1388,14964,6385,2.55453596351448,0.29276333864498,406.4,0.14638166932249,0.14638166932249,10.67041015625,333,166.5,66.66845703125,2101.5,1050.75,2158.32874015748,0.578273958855996,0.37031533443977,0.587244568724457,0.911096278992158,0.0514107067042341,1,0.729284164859002,0.90424298491115,0.531011781696264,0.5,0.587244568724457,0.918270265440915,1,0.0762679268091653,0.350843452936975,0.606555629196323,0.347715518933329,6.2010085583379,0.382012469448575,0.883773017829842,10.2233138394151,"X2clsQ",156.28,"ok" "k_lin_n-10-shuffled",1,2032,16,2048,18199,51818,5,4,9,1,16810,1388,14964,6385,2.55453596351448,0.29276333864498,406.4,0.14638166932249,0.14638166932249,10.67041015625,333,166.5,66.66845703125,2101.5,1050.75,2158.32874015748,0.578273958855996,0.37031533443977,0.587244568724457,0.911096278992158,0.0514107067042341,1,0.729284164859002,0.90424298491115,0.531011781696264,0.5,0.587244568724457,0.918270265440915,1,0.0762679268091653,0.350843452936975,0.606555629196323,0.347715518933329,6.2010085583379,0.382012469448575,0.883773017829842,10.2233138394151,"quantor",3600,"timeout" "k_lin_n-10-shuffled",1,2032,16,2048,18199,51818,5,4,9,1,16810,1388,14964,6385,2.55453596351448,0.29276333864498,406.4,0.14638166932249,0.14638166932249,10.67041015625,333,166.5,66.66845703125,2101.5,1050.75,2158.32874015748,0.578273958855996,0.37031533443977,0.587244568724457,0.911096278992158,0.0514107067042341,1,0.729284164859002,0.90424298491115,0.531011781696264,0.5,0.587244568724457,0.918270265440915,1,0.0762679268091653,0.350843452936975,0.606555629196323,0.347715518933329,6.2010085583379,0.382012469448575,0.883773017829842,10.2233138394151,"QuBE",207.16,"ok" "k_lin_n-10-shuffled",1,2032,16,2048,18199,51818,5,4,9,1,16810,1388,14964,6385,2.55453596351448,0.29276333864498,406.4,0.14638166932249,0.14638166932249,10.67041015625,333,166.5,66.66845703125,2101.5,1050.75,2158.32874015748,0.578273958855996,0.37031533443977,0.587244568724457,0.911096278992158,0.0514107067042341,1,0.729284164859002,0.90424298491115,0.531011781696264,0.5,0.587244568724457,0.918270265440915,1,0.0762679268091653,0.350843452936975,0.606555629196323,0.347715518933329,6.2010085583379,0.382012469448575,0.883773017829842,10.2233138394151,"sKizzo",191.41,"ok" "k_lin_n-10-shuffled",1,2032,16,2048,18199,51818,5,4,9,1,16810,1388,14964,6385,2.55453596351448,0.29276333864498,406.4,0.14638166932249,0.14638166932249,10.67041015625,333,166.5,66.66845703125,2101.5,1050.75,2158.32874015748,0.578273958855996,0.37031533443977,0.587244568724457,0.911096278992158,0.0514107067042341,1,0.729284164859002,0.90424298491115,0.531011781696264,0.5,0.587244568724457,0.918270265440915,1,0.0762679268091653,0.350843452936975,0.606555629196323,0.347715518933329,6.2010085583379,0.382012469448575,0.883773017829842,10.2233138394151,"sSolve",18.83,"ok" "k_lin_n-13-shuffled",1,2716,16,2732,29491,84758,5,4,9,1,27634,1856,25232,8557,2.63151469939982,0.242514665491167,543.2,0.121257332745583,0.121257332745583,12.5889458272328,447,223.5,76.7573206442167,2821.5,1410.75,3736.55522827688,0.59422119445952,0.363588097878666,0.602904414607118,0.928998312320063,0.0421907076618136,1,0.682875012409411,0.921012508591992,0.555282507338488,0.5,0.602904414607118,0.934471056427987,1,0.0629344545793632,0.290156318876945,0.628459807586683,0.333501142734085,6.82866887546287,0.360372140675492,0.897617941595629,10.8657209148908,"X2clsQ",429.77,"ok" "k_lin_n-13-shuffled",1,2716,16,2732,29491,84758,5,4,9,1,27634,1856,25232,8557,2.63151469939982,0.242514665491167,543.2,0.121257332745583,0.121257332745583,12.5889458272328,447,223.5,76.7573206442167,2821.5,1410.75,3736.55522827688,0.59422119445952,0.363588097878666,0.602904414607118,0.928998312320063,0.0421907076618136,1,0.682875012409411,0.921012508591992,0.555282507338488,0.5,0.602904414607118,0.934471056427987,1,0.0629344545793632,0.290156318876945,0.628459807586683,0.333501142734085,6.82866887546287,0.360372140675492,0.897617941595629,10.8657209148908,"quantor",3600,"timeout" "k_lin_n-13-shuffled",1,2716,16,2732,29491,84758,5,4,9,1,27634,1856,25232,8557,2.63151469939982,0.242514665491167,543.2,0.121257332745583,0.121257332745583,12.5889458272328,447,223.5,76.7573206442167,2821.5,1410.75,3736.55522827688,0.59422119445952,0.363588097878666,0.602904414607118,0.928998312320063,0.0421907076618136,1,0.682875012409411,0.921012508591992,0.555282507338488,0.5,0.602904414607118,0.934471056427987,1,0.0629344545793632,0.290156318876945,0.628459807586683,0.333501142734085,6.82866887546287,0.360372140675492,0.897617941595629,10.8657209148908,"QuBE",365.12,"ok" "k_lin_n-13-shuffled",1,2716,16,2732,29491,84758,5,4,9,1,27634,1856,25232,8557,2.63151469939982,0.242514665491167,543.2,0.121257332745583,0.121257332745583,12.5889458272328,447,223.5,76.7573206442167,2821.5,1410.75,3736.55522827688,0.59422119445952,0.363588097878666,0.602904414607118,0.928998312320063,0.0421907076618136,1,0.682875012409411,0.921012508591992,0.555282507338488,0.5,0.602904414607118,0.934471056427987,1,0.0629344545793632,0.290156318876945,0.628459807586683,0.333501142734085,6.82866887546287,0.360372140675492,0.897617941595629,10.8657209148908,"sKizzo",131.51,"ok" "k_lin_n-13-shuffled",1,2716,16,2732,29491,84758,5,4,9,1,27634,1856,25232,8557,2.63151469939982,0.242514665491167,543.2,0.121257332745583,0.121257332745583,12.5889458272328,447,223.5,76.7573206442167,2821.5,1410.75,3736.55522827688,0.59422119445952,0.363588097878666,0.602904414607118,0.928998312320063,0.0421907076618136,1,0.682875012409411,0.921012508591992,0.555282507338488,0.5,0.602904414607118,0.934471056427987,1,0.0629344545793632,0.290156318876945,0.628459807586683,0.333501142734085,6.82866887546287,0.360372140675492,0.897617941595629,10.8657209148908,"sSolve",49.7,"ok" "k_lin_n-14-shuffled",1,2944,16,2960,33831,97466,5,4,9,1,31818,2012,29234,9281,2.65159173539062,0.229375424906151,588.8,0.114687712453076,0.114687712453076,13.2260135135135,485,242.5,80.1003378378378,3061.5,1530.75,4360.29211956522,0.598331725935198,0.361859520242956,0.606837892671616,0.933467085069534,0.0398087538218456,1,0.671313682116707,0.925384571119115,0.561558423048751,0.5,0.606837892671616,0.938540275749259,1,0.0594720818184505,0.274334190535308,0.634149088832996,0.329841625065999,6.99155955375191,0.354882270658971,0.901573878861908,11.0251038389383,"X2clsQ",527.56,"ok" "k_lin_n-14-shuffled",1,2944,16,2960,33831,97466,5,4,9,1,31818,2012,29234,9281,2.65159173539062,0.229375424906151,588.8,0.114687712453076,0.114687712453076,13.2260135135135,485,242.5,80.1003378378378,3061.5,1530.75,4360.29211956522,0.598331725935198,0.361859520242956,0.606837892671616,0.933467085069534,0.0398087538218456,1,0.671313682116707,0.925384571119115,0.561558423048751,0.5,0.606837892671616,0.938540275749259,1,0.0594720818184505,0.274334190535308,0.634149088832996,0.329841625065999,6.99155955375191,0.354882270658971,0.901573878861908,11.0251038389383,"quantor",3600,"timeout" "k_lin_n-14-shuffled",1,2944,16,2960,33831,97466,5,4,9,1,31818,2012,29234,9281,2.65159173539062,0.229375424906151,588.8,0.114687712453076,0.114687712453076,13.2260135135135,485,242.5,80.1003378378378,3061.5,1530.75,4360.29211956522,0.598331725935198,0.361859520242956,0.606837892671616,0.933467085069534,0.0398087538218456,1,0.671313682116707,0.925384571119115,0.561558423048751,0.5,0.606837892671616,0.938540275749259,1,0.0594720818184505,0.274334190535308,0.634149088832996,0.329841625065999,6.99155955375191,0.354882270658971,0.901573878861908,11.0251038389383,"QuBE",615.76,"ok" "k_lin_n-14-shuffled",1,2944,16,2960,33831,97466,5,4,9,1,31818,2012,29234,9281,2.65159173539062,0.229375424906151,588.8,0.114687712453076,0.114687712453076,13.2260135135135,485,242.5,80.1003378378378,3061.5,1530.75,4360.29211956522,0.598331725935198,0.361859520242956,0.606837892671616,0.933467085069534,0.0398087538218456,1,0.671313682116707,0.925384571119115,0.561558423048751,0.5,0.606837892671616,0.938540275749259,1,0.0594720818184505,0.274334190535308,0.634149088832996,0.329841625065999,6.99155955375191,0.354882270658971,0.901573878861908,11.0251038389383,"sKizzo",165.56,"ok" "k_lin_n-14-shuffled",1,2944,16,2960,33831,97466,5,4,9,1,31818,2012,29234,9281,2.65159173539062,0.229375424906151,588.8,0.114687712453076,0.114687712453076,13.2260135135135,485,242.5,80.1003378378378,3061.5,1530.75,4360.29211956522,0.598331725935198,0.361859520242956,0.606837892671616,0.933467085069534,0.0398087538218456,1,0.671313682116707,0.925384571119115,0.561558423048751,0.5,0.606837892671616,0.938540275749259,1,0.0594720818184505,0.274334190535308,0.634149088832996,0.329841625065999,6.99155955375191,0.354882270658971,0.901573878861908,11.0251038389383,"sSolve",57.01,"ok" "k_lin_n-21-shuffled",1,4540,18,4558,73065,212984,5,4,9,1,69960,3104,65396,15139,2.72891261205776,0.186080886881544,908,0.0930404434407719,0.0930404434407719,18.0089951733216,755.333333333333,377.666666666667,105.999780605529,4776.44444444444,2388.22222222222,10097.0185022026,0.614595462569958,0.353486646884273,0.622409573294281,0.948066830151491,0.0319178905457687,1,0.627086532364648,0.939875883212505,0.58498774741988,0.5,0.62240957329428,0.951825685425219,1,0.0424827208649832,0.207199069321837,0.656785620922461,0.313916570852949,7.73277267572407,0.33301582161642,0.914637002379289,11.7736631701275,"X2clsQ",3600,"timeout" "k_lin_n-21-shuffled",1,4540,18,4558,73065,212984,5,4,9,1,69960,3104,65396,15139,2.72891261205776,0.186080886881544,908,0.0930404434407719,0.0930404434407719,18.0089951733216,755.333333333333,377.666666666667,105.999780605529,4776.44444444444,2388.22222222222,10097.0185022026,0.614595462569958,0.353486646884273,0.622409573294281,0.948066830151491,0.0319178905457687,1,0.627086532364648,0.939875883212505,0.58498774741988,0.5,0.62240957329428,0.951825685425219,1,0.0424827208649832,0.207199069321837,0.656785620922461,0.313916570852949,7.73277267572407,0.33301582161642,0.914637002379289,11.7736631701275,"quantor",3600,"timeout" "k_lin_n-21-shuffled",1,4540,18,4558,73065,212984,5,4,9,1,69960,3104,65396,15139,2.72891261205776,0.186080886881544,908,0.0930404434407719,0.0930404434407719,18.0089951733216,755.333333333333,377.666666666667,105.999780605529,4776.44444444444,2388.22222222222,10097.0185022026,0.614595462569958,0.353486646884273,0.622409573294281,0.948066830151491,0.0319178905457687,1,0.627086532364648,0.939875883212505,0.58498774741988,0.5,0.62240957329428,0.951825685425219,1,0.0424827208649832,0.207199069321837,0.656785620922461,0.313916570852949,7.73277267572407,0.33301582161642,0.914637002379289,11.7736631701275,"QuBE",3600,"memout" "k_lin_n-21-shuffled",1,4540,18,4558,73065,212984,5,4,9,1,69960,3104,65396,15139,2.72891261205776,0.186080886881544,908,0.0930404434407719,0.0930404434407719,18.0089951733216,755.333333333333,377.666666666667,105.999780605529,4776.44444444444,2388.22222222222,10097.0185022026,0.614595462569958,0.353486646884273,0.622409573294281,0.948066830151491,0.0319178905457687,1,0.627086532364648,0.939875883212505,0.58498774741988,0.5,0.62240957329428,0.951825685425219,1,0.0424827208649832,0.207199069321837,0.656785620922461,0.313916570852949,7.73277267572407,0.33301582161642,0.914637002379289,11.7736631701275,"sKizzo",169.35,"ok" "k_lin_n-21-shuffled",1,4540,18,4558,73065,212984,5,4,9,1,69960,3104,65396,15139,2.72891261205776,0.186080886881544,908,0.0930404434407719,0.0930404434407719,18.0089951733216,755.333333333333,377.666666666667,105.999780605529,4776.44444444444,2388.22222222222,10097.0185022026,0.614595462569958,0.353486646884273,0.622409573294281,0.948066830151491,0.0319178905457687,1,0.627086532364648,0.939875883212505,0.58498774741988,0.5,0.62240957329428,0.951825685425219,1,0.0424827208649832,0.207199069321837,0.656785620922461,0.313916570852949,7.73277267572407,0.33301582161642,0.914637002379289,11.7736631701275,"sSolve",361.91,"ok" "k_lin_n-9-shuffled",1,1804,14,1818,14701,41636,5,4,9,1,13468,1232,12140,5351,2.55329569417046,0.278892592340657,360.8,0.139446296170329,0.139446296170329,9.6969196919692,292.857142857143,146.428571428571,60.7167216721672,1844,922,1726.80709534368,0.576592371985782,0.374171390143145,0.584958439897698,0.914608239263548,0.0492362378710731,1,0.734327487816054,0.908523857594666,0.531448698348415,0.5,0.584958439897698,0.921706085909718,1,0.0838038228691926,0.363988844296306,0.604379023124453,0.352068935642184,6.00761257580319,0.384978435678289,0.889914732081905,9.9401407824277,"X2clsQ",48.33,"ok" "k_lin_n-9-shuffled",1,1804,14,1818,14701,41636,5,4,9,1,13468,1232,12140,5351,2.55329569417046,0.278892592340657,360.8,0.139446296170329,0.139446296170329,9.6969196919692,292.857142857143,146.428571428571,60.7167216721672,1844,922,1726.80709534368,0.576592371985782,0.374171390143145,0.584958439897698,0.914608239263548,0.0492362378710731,1,0.734327487816054,0.908523857594666,0.531448698348415,0.5,0.584958439897698,0.921706085909718,1,0.0838038228691926,0.363988844296306,0.604379023124453,0.352068935642184,6.00761257580319,0.384978435678289,0.889914732081905,9.9401407824277,"quantor",19.4,"ok" "k_lin_n-9-shuffled",1,1804,14,1818,14701,41636,5,4,9,1,13468,1232,12140,5351,2.55329569417046,0.278892592340657,360.8,0.139446296170329,0.139446296170329,9.6969196919692,292.857142857143,146.428571428571,60.7167216721672,1844,922,1726.80709534368,0.576592371985782,0.374171390143145,0.584958439897698,0.914608239263548,0.0492362378710731,1,0.734327487816054,0.908523857594666,0.531448698348415,0.5,0.584958439897698,0.921706085909718,1,0.0838038228691926,0.363988844296306,0.604379023124453,0.352068935642184,6.00761257580319,0.384978435678289,0.889914732081905,9.9401407824277,"QuBE",60.07,"ok" "k_lin_n-9-shuffled",1,1804,14,1818,14701,41636,5,4,9,1,13468,1232,12140,5351,2.55329569417046,0.278892592340657,360.8,0.139446296170329,0.139446296170329,9.6969196919692,292.857142857143,146.428571428571,60.7167216721672,1844,922,1726.80709534368,0.576592371985782,0.374171390143145,0.584958439897698,0.914608239263548,0.0492362378710731,1,0.734327487816054,0.908523857594666,0.531448698348415,0.5,0.584958439897698,0.921706085909718,1,0.0838038228691926,0.363988844296306,0.604379023124453,0.352068935642184,6.00761257580319,0.384978435678289,0.889914732081905,9.9401407824277,"sKizzo",398.55,"ok" "k_lin_n-9-shuffled",1,1804,14,1818,14701,41636,5,4,9,1,13468,1232,12140,5351,2.55329569417046,0.278892592340657,360.8,0.139446296170329,0.139446296170329,9.6969196919692,292.857142857143,146.428571428571,60.7167216721672,1844,922,1726.80709534368,0.576592371985782,0.374171390143145,0.584958439897698,0.914608239263548,0.0492362378710731,1,0.734327487816054,0.908523857594666,0.531448698348415,0.5,0.584958439897698,0.921706085909718,1,0.0838038228691926,0.363988844296306,0.604379023124453,0.352068935642184,6.00761257580319,0.384978435678289,0.889914732081905,9.9401407824277,"sSolve",11.52,"ok" "k_lin_p-12-shuffled",1,625,11,636,3286,8913,4,3,7,14,2838,434,2556,1791,2.3776628119294,0.334753499695679,156.25,0.167376749847839,0.167376749847839,6.40251572327044,100,50,36.2987421383648,560.727272727273,280.363636363636,355.8224,0.543139234825536,0.395153147088522,0.549212850377576,0.886387110101219,0.0617076180859419,1,0.841148523032431,0.892012655671491,0.489904813194211,0.5,0.549212850377576,0.901987523239,1,0.132075471698113,0.545039561777237,0.553833368764857,0.393367899039484,3.4460945968976,0.43888096544158,0.881661405180629,6.22225888010862,"X2clsQ",0.07,"ok" "k_lin_p-12-shuffled",1,625,11,636,3286,8913,4,3,7,14,2838,434,2556,1791,2.3776628119294,0.334753499695679,156.25,0.167376749847839,0.167376749847839,6.40251572327044,100,50,36.2987421383648,560.727272727273,280.363636363636,355.8224,0.543139234825536,0.395153147088522,0.549212850377576,0.886387110101219,0.0617076180859419,1,0.841148523032431,0.892012655671491,0.489904813194211,0.5,0.549212850377576,0.901987523239,1,0.132075471698113,0.545039561777237,0.553833368764857,0.393367899039484,3.4460945968976,0.43888096544158,0.881661405180629,6.22225888010862,"quantor",0.13,"ok" "k_lin_p-12-shuffled",1,625,11,636,3286,8913,4,3,7,14,2838,434,2556,1791,2.3776628119294,0.334753499695679,156.25,0.167376749847839,0.167376749847839,6.40251572327044,100,50,36.2987421383648,560.727272727273,280.363636363636,355.8224,0.543139234825536,0.395153147088522,0.549212850377576,0.886387110101219,0.0617076180859419,1,0.841148523032431,0.892012655671491,0.489904813194211,0.5,0.549212850377576,0.901987523239,1,0.132075471698113,0.545039561777237,0.553833368764857,0.393367899039484,3.4460945968976,0.43888096544158,0.881661405180629,6.22225888010862,"QuBE",1.09,"ok" "k_lin_p-12-shuffled",1,625,11,636,3286,8913,4,3,7,14,2838,434,2556,1791,2.3776628119294,0.334753499695679,156.25,0.167376749847839,0.167376749847839,6.40251572327044,100,50,36.2987421383648,560.727272727273,280.363636363636,355.8224,0.543139234825536,0.395153147088522,0.549212850377576,0.886387110101219,0.0617076180859419,1,0.841148523032431,0.892012655671491,0.489904813194211,0.5,0.549212850377576,0.901987523239,1,0.132075471698113,0.545039561777237,0.553833368764857,0.393367899039484,3.4460945968976,0.43888096544158,0.881661405180629,6.22225888010862,"sKizzo",0.03,"ok" "k_lin_p-12-shuffled",1,625,11,636,3286,8913,4,3,7,14,2838,434,2556,1791,2.3776628119294,0.334753499695679,156.25,0.167376749847839,0.167376749847839,6.40251572327044,100,50,36.2987421383648,560.727272727273,280.363636363636,355.8224,0.543139234825536,0.395153147088522,0.549212850377576,0.886387110101219,0.0617076180859419,1,0.841148523032431,0.892012655671491,0.489904813194211,0.5,0.549212850377576,0.901987523239,1,0.132075471698113,0.545039561777237,0.553833368764857,0.393367899039484,3.4460945968976,0.43888096544158,0.881661405180629,6.22225888010862,"sSolve",1.64,"ok" "k_lin_p-16-shuffled",1,821,12,833,4896,13447,4,3,7,18,4308,570,3924,2397,2.43607026143791,0.310457516339869,205.25,0.155228758169935,0.155228758169935,7.16446578631453,126.666666666667,63.3333333333333,39.8943577430972,702,351,547.163215590743,0.556183535361047,0.387298282144716,0.563343674016936,0.898382136649285,0.0565181824942366,1,0.797967642732986,0.899927780712061,0.50696862233624,0.5,0.563343674016936,0.911513178841479,1,0.116421568627451,0.489583333333333,0.570007116516788,0.380981634879269,3.78317914213625,0.421428675157201,0.886018465685013,6.63707352507207,"X2clsQ",3600,"memout" "k_lin_p-16-shuffled",1,821,12,833,4896,13447,4,3,7,18,4308,570,3924,2397,2.43607026143791,0.310457516339869,205.25,0.155228758169935,0.155228758169935,7.16446578631453,126.666666666667,63.3333333333333,39.8943577430972,702,351,547.163215590743,0.556183535361047,0.387298282144716,0.563343674016936,0.898382136649285,0.0565181824942366,1,0.797967642732986,0.899927780712061,0.50696862233624,0.5,0.563343674016936,0.911513178841479,1,0.116421568627451,0.489583333333333,0.570007116516788,0.380981634879269,3.78317914213625,0.421428675157201,0.886018465685013,6.63707352507207,"quantor",0.56,"ok" "k_lin_p-16-shuffled",1,821,12,833,4896,13447,4,3,7,18,4308,570,3924,2397,2.43607026143791,0.310457516339869,205.25,0.155228758169935,0.155228758169935,7.16446578631453,126.666666666667,63.3333333333333,39.8943577430972,702,351,547.163215590743,0.556183535361047,0.387298282144716,0.563343674016936,0.898382136649285,0.0565181824942366,1,0.797967642732986,0.899927780712061,0.50696862233624,0.5,0.563343674016936,0.911513178841479,1,0.116421568627451,0.489583333333333,0.570007116516788,0.380981634879269,3.78317914213625,0.421428675157201,0.886018465685013,6.63707352507207,"QuBE",2.55,"ok" "k_lin_p-16-shuffled",1,821,12,833,4896,13447,4,3,7,18,4308,570,3924,2397,2.43607026143791,0.310457516339869,205.25,0.155228758169935,0.155228758169935,7.16446578631453,126.666666666667,63.3333333333333,39.8943577430972,702,351,547.163215590743,0.556183535361047,0.387298282144716,0.563343674016936,0.898382136649285,0.0565181824942366,1,0.797967642732986,0.899927780712061,0.50696862233624,0.5,0.563343674016936,0.911513178841479,1,0.116421568627451,0.489583333333333,0.570007116516788,0.380981634879269,3.78317914213625,0.421428675157201,0.886018465685013,6.63707352507207,"sKizzo",0.06,"ok" "k_lin_p-16-shuffled",1,821,12,833,4896,13447,4,3,7,18,4308,570,3924,2397,2.43607026143791,0.310457516339869,205.25,0.155228758169935,0.155228758169935,7.16446578631453,126.666666666667,63.3333333333333,39.8943577430972,702,351,547.163215590743,0.556183535361047,0.387298282144716,0.563343674016936,0.898382136649285,0.0565181824942366,1,0.797967642732986,0.899927780712061,0.50696862233624,0.5,0.563343674016936,0.911513178841479,1,0.116421568627451,0.489583333333333,0.570007116516788,0.380981634879269,3.78317914213625,0.421428675157201,0.886018465685013,6.63707352507207,"sSolve",3600,"timeout" "k_lin_p-21-shuffled",1,1066,13,1079,7364,20481,4,3,7,23,6601,740,6012,3250,2.47759369907659,0.303639326453015,266.5,0.151819663226507,0.151819663226507,8.23818350324374,172,86,45.2650602409639,963.076923076923,481.538461538462,853.112570356473,0.565987988867731,0.379424832771837,0.574075089065497,0.903554175293306,0.0545871783604316,1,0.766821946169772,0.901689370636199,0.517637405757388,0.5,0.574075089065497,0.914573128650541,1,0.10048886474742,0.441336230309614,0.582259209524706,0.368639979539373,4.44400728722085,0.407875705240572,0.882461057058719,7.63235207709031,"X2clsQ",3600,"memout" "k_lin_p-21-shuffled",1,1066,13,1079,7364,20481,4,3,7,23,6601,740,6012,3250,2.47759369907659,0.303639326453015,266.5,0.151819663226507,0.151819663226507,8.23818350324374,172,86,45.2650602409639,963.076923076923,481.538461538462,853.112570356473,0.565987988867731,0.379424832771837,0.574075089065497,0.903554175293306,0.0545871783604316,1,0.766821946169772,0.901689370636199,0.517637405757388,0.5,0.574075089065497,0.914573128650541,1,0.10048886474742,0.441336230309614,0.582259209524706,0.368639979539373,4.44400728722085,0.407875705240572,0.882461057058719,7.63235207709031,"quantor",1.32,"ok" "k_lin_p-21-shuffled",1,1066,13,1079,7364,20481,4,3,7,23,6601,740,6012,3250,2.47759369907659,0.303639326453015,266.5,0.151819663226507,0.151819663226507,8.23818350324374,172,86,45.2650602409639,963.076923076923,481.538461538462,853.112570356473,0.565987988867731,0.379424832771837,0.574075089065497,0.903554175293306,0.0545871783604316,1,0.766821946169772,0.901689370636199,0.517637405757388,0.5,0.574075089065497,0.914573128650541,1,0.10048886474742,0.441336230309614,0.582259209524706,0.368639979539373,4.44400728722085,0.407875705240572,0.882461057058719,7.63235207709031,"QuBE",6.21,"ok" "k_lin_p-21-shuffled",1,1066,13,1079,7364,20481,4,3,7,23,6601,740,6012,3250,2.47759369907659,0.303639326453015,266.5,0.151819663226507,0.151819663226507,8.23818350324374,172,86,45.2650602409639,963.076923076923,481.538461538462,853.112570356473,0.565987988867731,0.379424832771837,0.574075089065497,0.903554175293306,0.0545871783604316,1,0.766821946169772,0.901689370636199,0.517637405757388,0.5,0.574075089065497,0.914573128650541,1,0.10048886474742,0.441336230309614,0.582259209524706,0.368639979539373,4.44400728722085,0.407875705240572,0.882461057058719,7.63235207709031,"sKizzo",0.11,"ok" "k_lin_p-21-shuffled",1,1066,13,1079,7364,20481,4,3,7,23,6601,740,6012,3250,2.47759369907659,0.303639326453015,266.5,0.151819663226507,0.151819663226507,8.23818350324374,172,86,45.2650602409639,963.076923076923,481.538461538462,853.112570356473,0.565987988867731,0.379424832771837,0.574075089065497,0.903554175293306,0.0545871783604316,1,0.766821946169772,0.901689370636199,0.517637405757388,0.5,0.574075089065497,0.914573128650541,1,0.10048886474742,0.441336230309614,0.582259209524706,0.368639979539373,4.44400728722085,0.407875705240572,0.882461057058719,7.63235207709031,"sSolve",3600,"timeout" "k_lin_p-8-shuffled",1,429,10,439,1916,5099,4,3,7,10,1608,298,1456,1169,2.32306889352818,0.338204592901879,107.25,0.169102296450939,0.169102296450939,5.46697038724374,64.8,32.4,31.4715261958998,358.4,179.2,209.913752913753,0.529319474406746,0.407138654638164,0.533587957762301,0.879955539088551,0.0635418709550892,1,0.889218228973694,0.893263956413594,0.476634890245402,0.5,0.533587957762301,0.90046732321649,1,0.15553235908142,0.610125260960334,0.537260943832267,0.412107034205704,2.63485279833875,0.457656148898108,0.890581913743466,4.90423290318559,"X2clsQ",3600,"memout" "k_lin_p-8-shuffled",1,429,10,439,1916,5099,4,3,7,10,1608,298,1456,1169,2.32306889352818,0.338204592901879,107.25,0.169102296450939,0.169102296450939,5.46697038724374,64.8,32.4,31.4715261958998,358.4,179.2,209.913752913753,0.529319474406746,0.407138654638164,0.533587957762301,0.879955539088551,0.0635418709550892,1,0.889218228973694,0.893263956413594,0.476634890245402,0.5,0.533587957762301,0.90046732321649,1,0.15553235908142,0.610125260960334,0.537260943832267,0.412107034205704,2.63485279833875,0.457656148898108,0.890581913743466,4.90423290318559,"quantor",0.04,"ok" "k_lin_p-8-shuffled",1,429,10,439,1916,5099,4,3,7,10,1608,298,1456,1169,2.32306889352818,0.338204592901879,107.25,0.169102296450939,0.169102296450939,5.46697038724374,64.8,32.4,31.4715261958998,358.4,179.2,209.913752913753,0.529319474406746,0.407138654638164,0.533587957762301,0.879955539088551,0.0635418709550892,1,0.889218228973694,0.893263956413594,0.476634890245402,0.5,0.533587957762301,0.90046732321649,1,0.15553235908142,0.610125260960334,0.537260943832267,0.412107034205704,2.63485279833875,0.457656148898108,0.890581913743466,4.90423290318559,"QuBE",0.49,"ok" "k_lin_p-8-shuffled",1,429,10,439,1916,5099,4,3,7,10,1608,298,1456,1169,2.32306889352818,0.338204592901879,107.25,0.169102296450939,0.169102296450939,5.46697038724374,64.8,32.4,31.4715261958998,358.4,179.2,209.913752913753,0.529319474406746,0.407138654638164,0.533587957762301,0.879955539088551,0.0635418709550892,1,0.889218228973694,0.893263956413594,0.476634890245402,0.5,0.533587957762301,0.90046732321649,1,0.15553235908142,0.610125260960334,0.537260943832267,0.412107034205704,2.63485279833875,0.457656148898108,0.890581913743466,4.90423290318559,"sKizzo",0.03,"ok" "k_lin_p-8-shuffled",1,429,10,439,1916,5099,4,3,7,10,1608,298,1456,1169,2.32306889352818,0.338204592901879,107.25,0.169102296450939,0.169102296450939,5.46697038724374,64.8,32.4,31.4715261958998,358.4,179.2,209.913752913753,0.529319474406746,0.407138654638164,0.533587957762301,0.879955539088551,0.0635418709550892,1,0.889218228973694,0.893263956413594,0.476634890245402,0.5,0.533587957762301,0.90046732321649,1,0.15553235908142,0.610125260960334,0.537260943832267,0.412107034205704,2.63485279833875,0.457656148898108,0.890581913743466,4.90423290318559,"sSolve",0.9,"ok" "k_path_n-10-shuffled",1,698,34,732,2033,5108,13,12,25,1,1538,494,1300,1732,2.13871126414166,0.373831775700935,53.6923076923077,0.186915887850467,0.186915887850467,3.64617486338798,22.3529411764706,11.1764705882353,68.8265027322404,318.588235294118,159.294117647059,176.518624641834,0.477486296006265,0.448120595144871,0.473551057957682,0.84419844198442,0.0743931088488645,1,1.09430094300943,0.892676917829889,0.422728098852746,0.5,0.473551057957682,0.88531985606389,1,0.242990654205607,0.851942941465814,0.467937480198543,0.497996327028237,1.23142141849875,0.536204059243006,0.935973327371504,2.63159390005748,"X2clsQ",3600,"timeout" "k_path_n-10-shuffled",1,698,34,732,2033,5108,13,12,25,1,1538,494,1300,1732,2.13871126414166,0.373831775700935,53.6923076923077,0.186915887850467,0.186915887850467,3.64617486338798,22.3529411764706,11.1764705882353,68.8265027322404,318.588235294118,159.294117647059,176.518624641834,0.477486296006265,0.448120595144871,0.473551057957682,0.84419844198442,0.0743931088488645,1,1.09430094300943,0.892676917829889,0.422728098852746,0.5,0.473551057957682,0.88531985606389,1,0.242990654205607,0.851942941465814,0.467937480198543,0.497996327028237,1.23142141849875,0.536204059243006,0.935973327371504,2.63159390005748,"quantor",0.01,"ok" "k_path_n-10-shuffled",1,698,34,732,2033,5108,13,12,25,1,1538,494,1300,1732,2.13871126414166,0.373831775700935,53.6923076923077,0.186915887850467,0.186915887850467,3.64617486338798,22.3529411764706,11.1764705882353,68.8265027322404,318.588235294118,159.294117647059,176.518624641834,0.477486296006265,0.448120595144871,0.473551057957682,0.84419844198442,0.0743931088488645,1,1.09430094300943,0.892676917829889,0.422728098852746,0.5,0.473551057957682,0.88531985606389,1,0.242990654205607,0.851942941465814,0.467937480198543,0.497996327028237,1.23142141849875,0.536204059243006,0.935973327371504,2.63159390005748,"QuBE",3600,"timeout" "k_path_n-10-shuffled",1,698,34,732,2033,5108,13,12,25,1,1538,494,1300,1732,2.13871126414166,0.373831775700935,53.6923076923077,0.186915887850467,0.186915887850467,3.64617486338798,22.3529411764706,11.1764705882353,68.8265027322404,318.588235294118,159.294117647059,176.518624641834,0.477486296006265,0.448120595144871,0.473551057957682,0.84419844198442,0.0743931088488645,1,1.09430094300943,0.892676917829889,0.422728098852746,0.5,0.473551057957682,0.88531985606389,1,0.242990654205607,0.851942941465814,0.467937480198543,0.497996327028237,1.23142141849875,0.536204059243006,0.935973327371504,2.63159390005748,"sKizzo",9.26,"ok" "k_path_n-10-shuffled",1,698,34,732,2033,5108,13,12,25,1,1538,494,1300,1732,2.13871126414166,0.373831775700935,53.6923076923077,0.186915887850467,0.186915887850467,3.64617486338798,22.3529411764706,11.1764705882353,68.8265027322404,318.588235294118,159.294117647059,176.518624641834,0.477486296006265,0.448120595144871,0.473551057957682,0.84419844198442,0.0743931088488645,1,1.09430094300943,0.892676917829889,0.422728098852746,0.5,0.473551057957682,0.88531985606389,1,0.242990654205607,0.851942941465814,0.467937480198543,0.497996327028237,1.23142141849875,0.536204059243006,0.935973327371504,2.63159390005748,"sSolve",2.82,"ok" "k_path_n-20-shuffled",1,1364,64,1428,3992,10037,23,22,45,1,3023,968,2551,3407,2.14053106212425,0.37374749498998,59.304347826087,0.18687374749499,0.18687374749499,3.67296918767507,23.3125,11.65625,121.765406162465,565.625,282.8125,312.148826979472,0.477433496064561,0.448241506426223,0.473493270918666,0.84432387312187,0.0743249975092159,1,1.0945325542571,0.891296046271026,0.422022680305743,0.5,0.473493270918666,0.883940242535213,1,0.24248496993988,0.853456913827655,0.466467222043233,0.500423044856684,1.23918396465228,0.537722856008698,0.937942457393376,2.65652956112193,"X2clsQ",3600,"timeout" "k_path_n-20-shuffled",1,1364,64,1428,3992,10037,23,22,45,1,3023,968,2551,3407,2.14053106212425,0.37374749498998,59.304347826087,0.18687374749499,0.18687374749499,3.67296918767507,23.3125,11.65625,121.765406162465,565.625,282.8125,312.148826979472,0.477433496064561,0.448241506426223,0.473493270918666,0.84432387312187,0.0743249975092159,1,1.0945325542571,0.891296046271026,0.422022680305743,0.5,0.473493270918666,0.883940242535213,1,0.24248496993988,0.853456913827655,0.466467222043233,0.500423044856684,1.23918396465228,0.537722856008698,0.937942457393376,2.65652956112193,"quantor",0.03,"ok" "k_path_n-20-shuffled",1,1364,64,1428,3992,10037,23,22,45,1,3023,968,2551,3407,2.14053106212425,0.37374749498998,59.304347826087,0.18687374749499,0.18687374749499,3.67296918767507,23.3125,11.65625,121.765406162465,565.625,282.8125,312.148826979472,0.477433496064561,0.448241506426223,0.473493270918666,0.84432387312187,0.0743249975092159,1,1.0945325542571,0.891296046271026,0.422022680305743,0.5,0.473493270918666,0.883940242535213,1,0.24248496993988,0.853456913827655,0.466467222043233,0.500423044856684,1.23918396465228,0.537722856008698,0.937942457393376,2.65652956112193,"QuBE",3600,"memout" "k_path_n-20-shuffled",1,1364,64,1428,3992,10037,23,22,45,1,3023,968,2551,3407,2.14053106212425,0.37374749498998,59.304347826087,0.18687374749499,0.18687374749499,3.67296918767507,23.3125,11.65625,121.765406162465,565.625,282.8125,312.148826979472,0.477433496064561,0.448241506426223,0.473493270918666,0.84432387312187,0.0743249975092159,1,1.0945325542571,0.891296046271026,0.422022680305743,0.5,0.473493270918666,0.883940242535213,1,0.24248496993988,0.853456913827655,0.466467222043233,0.500423044856684,1.23918396465228,0.537722856008698,0.937942457393376,2.65652956112193,"sKizzo",9.41,"ok" "k_path_n-20-shuffled",1,1364,64,1428,3992,10037,23,22,45,1,3023,968,2551,3407,2.14053106212425,0.37374749498998,59.304347826087,0.18687374749499,0.18687374749499,3.67296918767507,23.3125,11.65625,121.765406162465,565.625,282.8125,312.148826979472,0.477433496064561,0.448241506426223,0.473493270918666,0.84432387312187,0.0743249975092159,1,1.0945325542571,0.891296046271026,0.422022680305743,0.5,0.473493270918666,0.883940242535213,1,0.24248496993988,0.853456913827655,0.466467222043233,0.500423044856684,1.23918396465228,0.537722856008698,0.937942457393376,2.65652956112193,"sSolve",8.5,"ok" "k_path_n-21-shuffled",1,1421,67,1488,4155,10447,24,23,47,1,3146,1008,2655,3546,2.14079422382671,0.37352587244284,59.2083333333333,0.18676293622142,0.18676293622142,3.66868279569892,23.1641791044776,11.5820895522388,126.757392473118,585.074626865672,292.537313432836,324.341308937368,0.477457643342586,0.448262659136594,0.473524451939292,0.844426623897354,0.0742796975208194,1,1.09442662389735,0.891585939604533,0.422187743408015,0.5,0.473524451939292,0.884241250076891,1,0.242599277978339,0.853429602888087,0.466409982941188,0.50068614165,1.23144683729645,0.537779644460566,0.938334911904499,2.64026689465548,"X2clsQ",3600,"timeout" "k_path_n-21-shuffled",1,1421,67,1488,4155,10447,24,23,47,1,3146,1008,2655,3546,2.14079422382671,0.37352587244284,59.2083333333333,0.18676293622142,0.18676293622142,3.66868279569892,23.1641791044776,11.5820895522388,126.757392473118,585.074626865672,292.537313432836,324.341308937368,0.477457643342586,0.448262659136594,0.473524451939292,0.844426623897354,0.0742796975208194,1,1.09442662389735,0.891585939604533,0.422187743408015,0.5,0.473524451939292,0.884241250076891,1,0.242599277978339,0.853429602888087,0.466409982941188,0.50068614165,1.23144683729645,0.537779644460566,0.938334911904499,2.64026689465548,"quantor",0.03,"ok" "k_path_n-21-shuffled",1,1421,67,1488,4155,10447,24,23,47,1,3146,1008,2655,3546,2.14079422382671,0.37352587244284,59.2083333333333,0.18676293622142,0.18676293622142,3.66868279569892,23.1641791044776,11.5820895522388,126.757392473118,585.074626865672,292.537313432836,324.341308937368,0.477457643342586,0.448262659136594,0.473524451939292,0.844426623897354,0.0742796975208194,1,1.09442662389735,0.891585939604533,0.422187743408015,0.5,0.473524451939292,0.884241250076891,1,0.242599277978339,0.853429602888087,0.466409982941188,0.50068614165,1.23144683729645,0.537779644460566,0.938334911904499,2.64026689465548,"QuBE",3600,"memout" "k_path_n-21-shuffled",1,1421,67,1488,4155,10447,24,23,47,1,3146,1008,2655,3546,2.14079422382671,0.37352587244284,59.2083333333333,0.18676293622142,0.18676293622142,3.66868279569892,23.1641791044776,11.5820895522388,126.757392473118,585.074626865672,292.537313432836,324.341308937368,0.477457643342586,0.448262659136594,0.473524451939292,0.844426623897354,0.0742796975208194,1,1.09442662389735,0.891585939604533,0.422187743408015,0.5,0.473524451939292,0.884241250076891,1,0.242599277978339,0.853429602888087,0.466409982941188,0.50068614165,1.23144683729645,0.537779644460566,0.938334911904499,2.64026689465548,"sKizzo",10.65,"ok" "k_path_n-21-shuffled",1,1421,67,1488,4155,10447,24,23,47,1,3146,1008,2655,3546,2.14079422382671,0.37352587244284,59.2083333333333,0.18676293622142,0.18676293622142,3.66868279569892,23.1641791044776,11.5820895522388,126.757392473118,585.074626865672,292.537313432836,324.341308937368,0.477457643342586,0.448262659136594,0.473524451939292,0.844426623897354,0.0742796975208194,1,1.09442662389735,0.891585939604533,0.422187743408015,0.5,0.473524451939292,0.884241250076891,1,0.242599277978339,0.853429602888087,0.466409982941188,0.50068614165,1.23144683729645,0.537779644460566,0.938334911904499,2.64026689465548,"sSolve",8.47,"ok" "k_path_p-10-shuffled",1,636,31,667,1850,4650,12,11,23,1,1400,449,1181,1573,2.13513513513514,0.378378378378378,53,0.189189189189189,0.189189189189189,3.6431784107946,22.5806451612903,11.2903225806452,63.4272863568216,299.096774193548,149.548387096774,161.279874213836,0.47741935483871,0.447311827956989,0.473417721518987,0.842342342342342,0.0752688172043011,1,1.09459459459459,0.890866977750727,0.421752214783256,0.5,0.473417721518987,0.883399909343305,1,0.242702702702703,0.85027027027027,0.467982897384306,0.496807298191666,1.25438599337963,0.536243024712447,0.933818284692508,2.68040990470114,"X2clsQ",3600,"timeout" "k_path_p-10-shuffled",1,636,31,667,1850,4650,12,11,23,1,1400,449,1181,1573,2.13513513513514,0.378378378378378,53,0.189189189189189,0.189189189189189,3.6431784107946,22.5806451612903,11.2903225806452,63.4272863568216,299.096774193548,149.548387096774,161.279874213836,0.47741935483871,0.447311827956989,0.473417721518987,0.842342342342342,0.0752688172043011,1,1.09459459459459,0.890866977750727,0.421752214783256,0.5,0.473417721518987,0.883399909343305,1,0.242702702702703,0.85027027027027,0.467982897384306,0.496807298191666,1.25438599337963,0.536243024712447,0.933818284692508,2.68040990470114,"quantor",0.01,"ok" "k_path_p-10-shuffled",1,636,31,667,1850,4650,12,11,23,1,1400,449,1181,1573,2.13513513513514,0.378378378378378,53,0.189189189189189,0.189189189189189,3.6431784107946,22.5806451612903,11.2903225806452,63.4272863568216,299.096774193548,149.548387096774,161.279874213836,0.47741935483871,0.447311827956989,0.473417721518987,0.842342342342342,0.0752688172043011,1,1.09459459459459,0.890866977750727,0.421752214783256,0.5,0.473417721518987,0.883399909343305,1,0.242702702702703,0.85027027027027,0.467982897384306,0.496807298191666,1.25438599337963,0.536243024712447,0.933818284692508,2.68040990470114,"QuBE",3600,"timeout" "k_path_p-10-shuffled",1,636,31,667,1850,4650,12,11,23,1,1400,449,1181,1573,2.13513513513514,0.378378378378378,53,0.189189189189189,0.189189189189189,3.6431784107946,22.5806451612903,11.2903225806452,63.4272863568216,299.096774193548,149.548387096774,161.279874213836,0.47741935483871,0.447311827956989,0.473417721518987,0.842342342342342,0.0752688172043011,1,1.09459459459459,0.890866977750727,0.421752214783256,0.5,0.473417721518987,0.883399909343305,1,0.242702702702703,0.85027027027027,0.467982897384306,0.496807298191666,1.25438599337963,0.536243024712447,0.933818284692508,2.68040990470114,"sKizzo",9.94,"ok" "k_path_p-10-shuffled",1,636,31,667,1850,4650,12,11,23,1,1400,449,1181,1573,2.13513513513514,0.378378378378378,53,0.189189189189189,0.189189189189189,3.6431784107946,22.5806451612903,11.2903225806452,63.4272863568216,299.096774193548,149.548387096774,161.279874213836,0.47741935483871,0.447311827956989,0.473417721518987,0.842342342342342,0.0752688172043011,1,1.09459459459459,0.890866977750727,0.421752214783256,0.5,0.473417721518987,0.883399909343305,1,0.242702702702703,0.85027027027027,0.467982897384306,0.496807298191666,1.25438599337963,0.536243024712447,0.933818284692508,2.68040990470114,"sSolve",2.61,"ok" "k_path_p-16-shuffled",1,1032,49,1081,3014,7578,18,17,35,1,2282,731,1925,2569,2.13868613138686,0.375580623755806,57.3333333333333,0.187790311877903,0.187790311877903,3.66327474560592,23.1020408163265,11.5510204081633,95.1785383903793,444.897959183673,222.448979591837,242.998062015504,0.477434679334917,0.447875428873054,0.473471920570897,0.843559977888336,0.0746898917920296,1,1.09452736318408,0.891008191807783,0.421867359819633,0.5,0.473471920570897,0.883612728776445,1,0.242534837425348,0.852355673523557,0.466912602847609,0.499241711502465,1.24591898063654,0.53730053036144,0.936510062269863,2.6684201133958,"X2clsQ",3600,"timeout" "k_path_p-16-shuffled",1,1032,49,1081,3014,7578,18,17,35,1,2282,731,1925,2569,2.13868613138686,0.375580623755806,57.3333333333333,0.187790311877903,0.187790311877903,3.66327474560592,23.1020408163265,11.5510204081633,95.1785383903793,444.897959183673,222.448979591837,242.998062015504,0.477434679334917,0.447875428873054,0.473471920570897,0.843559977888336,0.0746898917920296,1,1.09452736318408,0.891008191807783,0.421867359819633,0.5,0.473471920570897,0.883612728776445,1,0.242534837425348,0.852355673523557,0.466912602847609,0.499241711502465,1.24591898063654,0.53730053036144,0.936510062269863,2.6684201133958,"quantor",0.02,"ok" "k_path_p-16-shuffled",1,1032,49,1081,3014,7578,18,17,35,1,2282,731,1925,2569,2.13868613138686,0.375580623755806,57.3333333333333,0.187790311877903,0.187790311877903,3.66327474560592,23.1020408163265,11.5510204081633,95.1785383903793,444.897959183673,222.448979591837,242.998062015504,0.477434679334917,0.447875428873054,0.473471920570897,0.843559977888336,0.0746898917920296,1,1.09452736318408,0.891008191807783,0.421867359819633,0.5,0.473471920570897,0.883612728776445,1,0.242534837425348,0.852355673523557,0.466912602847609,0.499241711502465,1.24591898063654,0.53730053036144,0.936510062269863,2.6684201133958,"QuBE",3600,"timeout" "k_path_p-16-shuffled",1,1032,49,1081,3014,7578,18,17,35,1,2282,731,1925,2569,2.13868613138686,0.375580623755806,57.3333333333333,0.187790311877903,0.187790311877903,3.66327474560592,23.1020408163265,11.5510204081633,95.1785383903793,444.897959183673,222.448979591837,242.998062015504,0.477434679334917,0.447875428873054,0.473471920570897,0.843559977888336,0.0746898917920296,1,1.09452736318408,0.891008191807783,0.421867359819633,0.5,0.473471920570897,0.883612728776445,1,0.242534837425348,0.852355673523557,0.466912602847609,0.499241711502465,1.24591898063654,0.53730053036144,0.936510062269863,2.6684201133958,"sKizzo",9.13,"ok" "k_path_p-16-shuffled",1,1032,49,1081,3014,7578,18,17,35,1,2282,731,1925,2569,2.13868613138686,0.375580623755806,57.3333333333333,0.187790311877903,0.187790311877903,3.66327474560592,23.1020408163265,11.5510204081633,95.1785383903793,444.897959183673,222.448979591837,242.998062015504,0.477434679334917,0.447875428873054,0.473471920570897,0.843559977888336,0.0746898917920296,1,1.09452736318408,0.891008191807783,0.421867359819633,0.5,0.473471920570897,0.883612728776445,1,0.242534837425348,0.852355673523557,0.466912602847609,0.499241711502465,1.24591898063654,0.53730053036144,0.936510062269863,2.6684201133958,"sSolve",7.43,"ok" "k_path_p-21-shuffled",1,1365,64,1429,3996,10047,23,22,45,1,3026,969,2554,3411,2.14089089089089,0.373373373373373,59.3478260869565,0.186686686686687,0.186686686686687,3.6738978306508,23.3125,11.65625,121.806857942617,565.625,282.8125,312.520879120879,0.47745595700209,0.448293022792873,0.473524254821742,0.844486137169064,0.0742510202050363,1,1.09443402126329,0.891421673446158,0.422109783650542,0.5,0.473524254821742,0.884081091585782,1,0.242492492492492,0.853603603603604,0.46650442735551,0.500432173739005,1.23867587742554,0.537675778354656,0.938024979773322,2.65522855688052,"X2clsQ",3600,"timeout" "k_path_p-21-shuffled",1,1365,64,1429,3996,10047,23,22,45,1,3026,969,2554,3411,2.14089089089089,0.373373373373373,59.3478260869565,0.186686686686687,0.186686686686687,3.6738978306508,23.3125,11.65625,121.806857942617,565.625,282.8125,312.520879120879,0.47745595700209,0.448293022792873,0.473524254821742,0.844486137169064,0.0742510202050363,1,1.09443402126329,0.891421673446158,0.422109783650542,0.5,0.473524254821742,0.884081091585782,1,0.242492492492492,0.853603603603604,0.46650442735551,0.500432173739005,1.23867587742554,0.537675778354656,0.938024979773322,2.65522855688052,"quantor",0.03,"ok" "k_path_p-21-shuffled",1,1365,64,1429,3996,10047,23,22,45,1,3026,969,2554,3411,2.14089089089089,0.373373373373373,59.3478260869565,0.186686686686687,0.186686686686687,3.6738978306508,23.3125,11.65625,121.806857942617,565.625,282.8125,312.520879120879,0.47745595700209,0.448293022792873,0.473524254821742,0.844486137169064,0.0742510202050363,1,1.09443402126329,0.891421673446158,0.422109783650542,0.5,0.473524254821742,0.884081091585782,1,0.242492492492492,0.853603603603604,0.46650442735551,0.500432173739005,1.23867587742554,0.537675778354656,0.938024979773322,2.65522855688052,"QuBE",3600,"memout" "k_path_p-21-shuffled",1,1365,64,1429,3996,10047,23,22,45,1,3026,969,2554,3411,2.14089089089089,0.373373373373373,59.3478260869565,0.186686686686687,0.186686686686687,3.6738978306508,23.3125,11.65625,121.806857942617,565.625,282.8125,312.520879120879,0.47745595700209,0.448293022792873,0.473524254821742,0.844486137169064,0.0742510202050363,1,1.09443402126329,0.891421673446158,0.422109783650542,0.5,0.473524254821742,0.884081091585782,1,0.242492492492492,0.853603603603604,0.46650442735551,0.500432173739005,1.23867587742554,0.537675778354656,0.938024979773322,2.65522855688052,"sKizzo",9.72,"ok" "k_path_p-21-shuffled",1,1365,64,1429,3996,10047,23,22,45,1,3026,969,2554,3411,2.14089089089089,0.373373373373373,59.3478260869565,0.186686686686687,0.186686686686687,3.6738978306508,23.3125,11.65625,121.806857942617,565.625,282.8125,312.520879120879,0.47745595700209,0.448293022792873,0.473524254821742,0.844486137169064,0.0742510202050363,1,1.09443402126329,0.891421673446158,0.422109783650542,0.5,0.473524254821742,0.884081091585782,1,0.242492492492492,0.853603603603604,0.46650442735551,0.500432173739005,1.23867587742554,0.537675778354656,0.938024979773322,2.65522855688052,"sSolve",9.12,"ok" "k_path_p-9-shuffled",1,573,28,601,1668,4191,11,10,21,1,1262,405,1066,1419,2.13609112709832,0.376498800959233,52.0909090909091,0.188249400479616,0.188249400479616,3.64392678868552,22.4285714285714,11.2142857142857,58.3460898502496,274.571428571429,137.285714285714,149.34554973822,0.477451682176092,0.4476258649487,0.473477406679764,0.843078460769615,0.0749224528752088,1,1.09445277361319,0.891698449640487,0.422199069476144,0.5,0.473477406679764,0.884276012081219,1,0.242805755395683,0.850719424460432,0.468398950927035,0.496455321520746,1.25083325933803,0.535770055770056,0.933887023711657,2.67044419476694,"X2clsQ",3600,"timeout" "k_path_p-9-shuffled",1,573,28,601,1668,4191,11,10,21,1,1262,405,1066,1419,2.13609112709832,0.376498800959233,52.0909090909091,0.188249400479616,0.188249400479616,3.64392678868552,22.4285714285714,11.2142857142857,58.3460898502496,274.571428571429,137.285714285714,149.34554973822,0.477451682176092,0.4476258649487,0.473477406679764,0.843078460769615,0.0749224528752088,1,1.09445277361319,0.891698449640487,0.422199069476144,0.5,0.473477406679764,0.884276012081219,1,0.242805755395683,0.850719424460432,0.468398950927035,0.496455321520746,1.25083325933803,0.535770055770056,0.933887023711657,2.67044419476694,"quantor",0.01,"ok" "k_path_p-9-shuffled",1,573,28,601,1668,4191,11,10,21,1,1262,405,1066,1419,2.13609112709832,0.376498800959233,52.0909090909091,0.188249400479616,0.188249400479616,3.64392678868552,22.4285714285714,11.2142857142857,58.3460898502496,274.571428571429,137.285714285714,149.34554973822,0.477451682176092,0.4476258649487,0.473477406679764,0.843078460769615,0.0749224528752088,1,1.09445277361319,0.891698449640487,0.422199069476144,0.5,0.473477406679764,0.884276012081219,1,0.242805755395683,0.850719424460432,0.468398950927035,0.496455321520746,1.25083325933803,0.535770055770056,0.933887023711657,2.67044419476694,"QuBE",3600,"timeout" "k_path_p-9-shuffled",1,573,28,601,1668,4191,11,10,21,1,1262,405,1066,1419,2.13609112709832,0.376498800959233,52.0909090909091,0.188249400479616,0.188249400479616,3.64392678868552,22.4285714285714,11.2142857142857,58.3460898502496,274.571428571429,137.285714285714,149.34554973822,0.477451682176092,0.4476258649487,0.473477406679764,0.843078460769615,0.0749224528752088,1,1.09445277361319,0.891698449640487,0.422199069476144,0.5,0.473477406679764,0.884276012081219,1,0.242805755395683,0.850719424460432,0.468398950927035,0.496455321520746,1.25083325933803,0.535770055770056,0.933887023711657,2.67044419476694,"sKizzo",1.15,"ok" "k_path_p-9-shuffled",1,573,28,601,1668,4191,11,10,21,1,1262,405,1066,1419,2.13609112709832,0.376498800959233,52.0909090909091,0.188249400479616,0.188249400479616,3.64392678868552,22.4285714285714,11.2142857142857,58.3460898502496,274.571428571429,137.285714285714,149.34554973822,0.477451682176092,0.4476258649487,0.473477406679764,0.843078460769615,0.0749224528752088,1,1.09445277361319,0.891698449640487,0.422199069476144,0.5,0.473477406679764,0.884276012081219,1,0.242805755395683,0.850719424460432,0.468398950927035,0.496455321520746,1.25083325933803,0.535770055770056,0.933887023711657,2.67044419476694,"sSolve",2.52,"ok" "k_ph_n-10-shuffled",1,1414,9,1423,11896,33608,3,2,5,1,10866,1029,9353,5500,2.44418291862811,0.380968392737054,471.333333333333,0.190484196368527,0.190484196368527,10.4687280393535,503.555555555556,251.777777777778,40.3436401967674,2013.33333333333,1006.66666666667,719.132956152758,0.556742442275649,0.375833134967865,0.565586738203329,0.878894767783657,0.0674244227564866,1,0.796162685051574,0.870657774282206,0.492432490647643,0.5,0.565586738203329,0.884488864608305,1,0.0864996637525219,0.462340282447882,0.571369908015769,0.363283490799803,10.6953072114045,0.417463908268288,0.847545465410691,18.7187093008568,"X2clsQ",3.27,"ok" "k_ph_n-10-shuffled",1,1414,9,1423,11896,33608,3,2,5,1,10866,1029,9353,5500,2.44418291862811,0.380968392737054,471.333333333333,0.190484196368527,0.190484196368527,10.4687280393535,503.555555555556,251.777777777778,40.3436401967674,2013.33333333333,1006.66666666667,719.132956152758,0.556742442275649,0.375833134967865,0.565586738203329,0.878894767783657,0.0674244227564866,1,0.796162685051574,0.870657774282206,0.492432490647643,0.5,0.565586738203329,0.884488864608305,1,0.0864996637525219,0.462340282447882,0.571369908015769,0.363283490799803,10.6953072114045,0.417463908268288,0.847545465410691,18.7187093008568,"quantor",6.09,"ok" "k_ph_n-10-shuffled",1,1414,9,1423,11896,33608,3,2,5,1,10866,1029,9353,5500,2.44418291862811,0.380968392737054,471.333333333333,0.190484196368527,0.190484196368527,10.4687280393535,503.555555555556,251.777777777778,40.3436401967674,2013.33333333333,1006.66666666667,719.132956152758,0.556742442275649,0.375833134967865,0.565586738203329,0.878894767783657,0.0674244227564866,1,0.796162685051574,0.870657774282206,0.492432490647643,0.5,0.565586738203329,0.884488864608305,1,0.0864996637525219,0.462340282447882,0.571369908015769,0.363283490799803,10.6953072114045,0.417463908268288,0.847545465410691,18.7187093008568,"QuBE",4.87,"ok" "k_ph_n-10-shuffled",1,1414,9,1423,11896,33608,3,2,5,1,10866,1029,9353,5500,2.44418291862811,0.380968392737054,471.333333333333,0.190484196368527,0.190484196368527,10.4687280393535,503.555555555556,251.777777777778,40.3436401967674,2013.33333333333,1006.66666666667,719.132956152758,0.556742442275649,0.375833134967865,0.565586738203329,0.878894767783657,0.0674244227564866,1,0.796162685051574,0.870657774282206,0.492432490647643,0.5,0.565586738203329,0.884488864608305,1,0.0864996637525219,0.462340282447882,0.571369908015769,0.363283490799803,10.6953072114045,0.417463908268288,0.847545465410691,18.7187093008568,"sKizzo",12.62,"ok" "k_ph_n-10-shuffled",1,1414,9,1423,11896,33608,3,2,5,1,10866,1029,9353,5500,2.44418291862811,0.380968392737054,471.333333333333,0.190484196368527,0.190484196368527,10.4687280393535,503.555555555556,251.777777777778,40.3436401967674,2013.33333333333,1006.66666666667,719.132956152758,0.556742442275649,0.375833134967865,0.565586738203329,0.878894767783657,0.0674244227564866,1,0.796162685051574,0.870657774282206,0.492432490647643,0.5,0.565586738203329,0.884488864608305,1,0.0864996637525219,0.462340282447882,0.571369908015769,0.363283490799803,10.6953072114045,0.417463908268288,0.847545465410691,18.7187093008568,"sSolve",254.84,"ok" "k_ph_n-13-shuffled",1,2896,10,2906,37425,107916,3,2,5,1,35264,2160,31565,12628,2.57319973279893,0.310327321309285,965.333333333333,0.155163660654643,0.155163660654643,15.3940123881624,1161.4,580.7,56.5161734342739,4644.8,2322.4,2147.8898480663,0.585464620630861,0.36072500833982,0.595771635064692,0.908089457273548,0.0538103710293191,1,0.708045140152894,0.895460678030938,0.53349007228663,0.5,0.595771635064692,0.911225125288995,1,0.0577154308617234,0.337421509686039,0.609690482527853,0.33627447785444,16.0388569907601,0.3766990083775,0.861558488330833,26.3065562779675,"X2clsQ",29.79,"ok" "k_ph_n-13-shuffled",1,2896,10,2906,37425,107916,3,2,5,1,35264,2160,31565,12628,2.57319973279893,0.310327321309285,965.333333333333,0.155163660654643,0.155163660654643,15.3940123881624,1161.4,580.7,56.5161734342739,4644.8,2322.4,2147.8898480663,0.585464620630861,0.36072500833982,0.595771635064692,0.908089457273548,0.0538103710293191,1,0.708045140152894,0.895460678030938,0.53349007228663,0.5,0.595771635064692,0.911225125288995,1,0.0577154308617234,0.337421509686039,0.609690482527853,0.33627447785444,16.0388569907601,0.3766990083775,0.861558488330833,26.3065562779675,"quantor",489,"ok" "k_ph_n-13-shuffled",1,2896,10,2906,37425,107916,3,2,5,1,35264,2160,31565,12628,2.57319973279893,0.310327321309285,965.333333333333,0.155163660654643,0.155163660654643,15.3940123881624,1161.4,580.7,56.5161734342739,4644.8,2322.4,2147.8898480663,0.585464620630861,0.36072500833982,0.595771635064692,0.908089457273548,0.0538103710293191,1,0.708045140152894,0.895460678030938,0.53349007228663,0.5,0.595771635064692,0.911225125288995,1,0.0577154308617234,0.337421509686039,0.609690482527853,0.33627447785444,16.0388569907601,0.3766990083775,0.861558488330833,26.3065562779675,"QuBE",75.67,"ok" "k_ph_n-13-shuffled",1,2896,10,2906,37425,107916,3,2,5,1,35264,2160,31565,12628,2.57319973279893,0.310327321309285,965.333333333333,0.155163660654643,0.155163660654643,15.3940123881624,1161.4,580.7,56.5161734342739,4644.8,2322.4,2147.8898480663,0.585464620630861,0.36072500833982,0.595771635064692,0.908089457273548,0.0538103710293191,1,0.708045140152894,0.895460678030938,0.53349007228663,0.5,0.595771635064692,0.911225125288995,1,0.0577154308617234,0.337421509686039,0.609690482527853,0.33627447785444,16.0388569907601,0.3766990083775,0.861558488330833,26.3065562779675,"sKizzo",129.58,"ok" "k_ph_n-13-shuffled",1,2896,10,2906,37425,107916,3,2,5,1,35264,2160,31565,12628,2.57319973279893,0.310327321309285,965.333333333333,0.155163660654643,0.155163660654643,15.3940123881624,1161.4,580.7,56.5161734342739,4644.8,2322.4,2147.8898480663,0.585464620630861,0.36072500833982,0.595771635064692,0.908089457273548,0.0538103710293191,1,0.708045140152894,0.895460678030938,0.53349007228663,0.5,0.595771635064692,0.911225125288995,1,0.0577154308617234,0.337421509686039,0.609690482527853,0.33627447785444,16.0388569907601,0.3766990083775,0.861558488330833,26.3065562779675,"sSolve",3600,"timeout" "k_ph_n-14-shuffled",1,3564,10,3574,52592,152385,3,2,5,1,49917,2674,45378,15813,2.61710906601765,0.280384849406754,1188,0.140192424703377,0.140192424703377,17.2935086737549,1474.6,737.3,62.4510352546167,5897.6,2948.8,2979.79152637486,0.594402336187945,0.35721363651278,0.604516161843664,0.918600543178255,0.0483840272992749,1,0.682362162997637,0.90576626623591,0.547550346792398,0.5,0.604516161843664,0.921177985779765,1,0.0508442348646182,0.300673106175844,0.621915998272197,0.329054237996749,17.8522917954755,0.364552693137914,0.870320448611966,28.7053104359313,"X2clsQ",75.64,"ok" "k_ph_n-14-shuffled",1,3564,10,3574,52592,152385,3,2,5,1,49917,2674,45378,15813,2.61710906601765,0.280384849406754,1188,0.140192424703377,0.140192424703377,17.2935086737549,1474.6,737.3,62.4510352546167,5897.6,2948.8,2979.79152637486,0.594402336187945,0.35721363651278,0.604516161843664,0.918600543178255,0.0483840272992749,1,0.682362162997637,0.90576626623591,0.547550346792398,0.5,0.604516161843664,0.921177985779765,1,0.0508442348646182,0.300673106175844,0.621915998272197,0.329054237996749,17.8522917954755,0.364552693137914,0.870320448611966,28.7053104359313,"quantor",3600,"timeout" "k_ph_n-14-shuffled",1,3564,10,3574,52592,152385,3,2,5,1,49917,2674,45378,15813,2.61710906601765,0.280384849406754,1188,0.140192424703377,0.140192424703377,17.2935086737549,1474.6,737.3,62.4510352546167,5897.6,2948.8,2979.79152637486,0.594402336187945,0.35721363651278,0.604516161843664,0.918600543178255,0.0483840272992749,1,0.682362162997637,0.90576626623591,0.547550346792398,0.5,0.604516161843664,0.921177985779765,1,0.0508442348646182,0.300673106175844,0.621915998272197,0.329054237996749,17.8522917954755,0.364552693137914,0.870320448611966,28.7053104359313,"QuBE",112.15,"ok" "k_ph_n-14-shuffled",1,3564,10,3574,52592,152385,3,2,5,1,49917,2674,45378,15813,2.61710906601765,0.280384849406754,1188,0.140192424703377,0.140192424703377,17.2935086737549,1474.6,737.3,62.4510352546167,5897.6,2948.8,2979.79152637486,0.594402336187945,0.35721363651278,0.604516161843664,0.918600543178255,0.0483840272992749,1,0.682362162997637,0.90576626623591,0.547550346792398,0.5,0.604516161843664,0.921177985779765,1,0.0508442348646182,0.300673106175844,0.621915998272197,0.329054237996749,17.8522917954755,0.364552693137914,0.870320448611966,28.7053104359313,"sKizzo",374.17,"ok" "k_ph_n-14-shuffled",1,3564,10,3574,52592,152385,3,2,5,1,49917,2674,45378,15813,2.61710906601765,0.280384849406754,1188,0.140192424703377,0.140192424703377,17.2935086737549,1474.6,737.3,62.4510352546167,5897.6,2948.8,2979.79152637486,0.594402336187945,0.35721363651278,0.604516161843664,0.918600543178255,0.0483840272992749,1,0.682362162997637,0.90576626623591,0.547550346792398,0.5,0.604516161843664,0.921177985779765,1,0.0508442348646182,0.300673106175844,0.621915998272197,0.329054237996749,17.8522917954755,0.364552693137914,0.870320448611966,28.7053104359313,"sSolve",3600,"timeout" "k_ph_n-15-shuffled",1,4326,10,4336,72452,210783,3,2,5,1,69188,3263,63870,19487,2.65556506376636,0.253712802959201,1442,0.1268564014796,0.1268564014796,19.342942804428,1838.2,919.1,68.8062730627306,7352,3676,4039.02704576976,0.602097892145002,0.35429802213651,0.611852329249848,0.927579740292486,0.0436040857184877,1,0.660859493192133,0.914901842036356,0.559784823084921,0.5,0.611852329249848,0.929723937565469,1,0.0450367139623475,0.268964279799039,0.632577208368073,0.322896040334202,19.6296954030279,0.354223794840962,0.878813311771005,31.0313035995538,"X2clsQ",146.87,"ok" "k_ph_n-15-shuffled",1,4326,10,4336,72452,210783,3,2,5,1,69188,3263,63870,19487,2.65556506376636,0.253712802959201,1442,0.1268564014796,0.1268564014796,19.342942804428,1838.2,919.1,68.8062730627306,7352,3676,4039.02704576976,0.602097892145002,0.35429802213651,0.611852329249848,0.927579740292486,0.0436040857184877,1,0.660859493192133,0.914901842036356,0.559784823084921,0.5,0.611852329249848,0.929723937565469,1,0.0450367139623475,0.268964279799039,0.632577208368073,0.322896040334202,19.6296954030279,0.354223794840962,0.878813311771005,31.0313035995538,"quantor",3600,"timeout" "k_ph_n-15-shuffled",1,4326,10,4336,72452,210783,3,2,5,1,69188,3263,63870,19487,2.65556506376636,0.253712802959201,1442,0.1268564014796,0.1268564014796,19.342942804428,1838.2,919.1,68.8062730627306,7352,3676,4039.02704576976,0.602097892145002,0.35429802213651,0.611852329249848,0.927579740292486,0.0436040857184877,1,0.660859493192133,0.914901842036356,0.559784823084921,0.5,0.611852329249848,0.929723937565469,1,0.0450367139623475,0.268964279799039,0.632577208368073,0.322896040334202,19.6296954030279,0.354223794840962,0.878813311771005,31.0313035995538,"QuBE",304.5,"ok" "k_ph_n-15-shuffled",1,4326,10,4336,72452,210783,3,2,5,1,69188,3263,63870,19487,2.65556506376636,0.253712802959201,1442,0.1268564014796,0.1268564014796,19.342942804428,1838.2,919.1,68.8062730627306,7352,3676,4039.02704576976,0.602097892145002,0.35429802213651,0.611852329249848,0.927579740292486,0.0436040857184877,1,0.660859493192133,0.914901842036356,0.559784823084921,0.5,0.611852329249848,0.929723937565469,1,0.0450367139623475,0.268964279799039,0.632577208368073,0.322896040334202,19.6296954030279,0.354223794840962,0.878813311771005,31.0313035995538,"sKizzo",122.9,"ok" "k_ph_n-15-shuffled",1,4326,10,4336,72452,210783,3,2,5,1,69188,3263,63870,19487,2.65556506376636,0.253712802959201,1442,0.1268564014796,0.1268564014796,19.342942804428,1838.2,919.1,68.8062730627306,7352,3676,4039.02704576976,0.602097892145002,0.35429802213651,0.611852329249848,0.927579740292486,0.0436040857184877,1,0.660859493192133,0.914901842036356,0.559784823084921,0.5,0.611852329249848,0.929723937565469,1,0.0450367139623475,0.268964279799039,0.632577208368073,0.322896040334202,19.6296954030279,0.354223794840962,0.878813311771005,31.0313035995538,"sSolve",3600,"timeout" "k_ph_n-8-shuffled",1,784,8,792,4847,13415,3,2,5,1,4289,557,3593,2735,2.3538271095523,0.413864245925315,261.333333333333,0.206932122962657,0.206932122962657,7.89520202020202,250.75,125.375,31.4545454545455,1002,501,310.844387755102,0.533879985091316,0.391352963101006,0.539836970812516,0.859955319743089,0.074767051807678,1,0.873080145210835,0.859144119817141,0.463797759133471,0.5,0.539836970812516,0.868730374026181,1,0.114916443160718,0.564266556632969,0.542033568027649,0.388207182794069,7.29437285144401,0.450701795994049,0.847676064645511,13.4574190266237,"X2clsQ",0.57,"ok" "k_ph_n-8-shuffled",1,784,8,792,4847,13415,3,2,5,1,4289,557,3593,2735,2.3538271095523,0.413864245925315,261.333333333333,0.206932122962657,0.206932122962657,7.89520202020202,250.75,125.375,31.4545454545455,1002,501,310.844387755102,0.533879985091316,0.391352963101006,0.539836970812516,0.859955319743089,0.074767051807678,1,0.873080145210835,0.859144119817141,0.463797759133471,0.5,0.539836970812516,0.868730374026181,1,0.114916443160718,0.564266556632969,0.542033568027649,0.388207182794069,7.29437285144401,0.450701795994049,0.847676064645511,13.4574190266237,"quantor",0.48,"ok" "k_ph_n-8-shuffled",1,784,8,792,4847,13415,3,2,5,1,4289,557,3593,2735,2.3538271095523,0.413864245925315,261.333333333333,0.206932122962657,0.206932122962657,7.89520202020202,250.75,125.375,31.4545454545455,1002,501,310.844387755102,0.533879985091316,0.391352963101006,0.539836970812516,0.859955319743089,0.074767051807678,1,0.873080145210835,0.859144119817141,0.463797759133471,0.5,0.539836970812516,0.868730374026181,1,0.114916443160718,0.564266556632969,0.542033568027649,0.388207182794069,7.29437285144401,0.450701795994049,0.847676064645511,13.4574190266237,"QuBE",0.66,"ok" "k_ph_n-8-shuffled",1,784,8,792,4847,13415,3,2,5,1,4289,557,3593,2735,2.3538271095523,0.413864245925315,261.333333333333,0.206932122962657,0.206932122962657,7.89520202020202,250.75,125.375,31.4545454545455,1002,501,310.844387755102,0.533879985091316,0.391352963101006,0.539836970812516,0.859955319743089,0.074767051807678,1,0.873080145210835,0.859144119817141,0.463797759133471,0.5,0.539836970812516,0.868730374026181,1,0.114916443160718,0.564266556632969,0.542033568027649,0.388207182794069,7.29437285144401,0.450701795994049,0.847676064645511,13.4574190266237,"sKizzo",139.8,"ok" "k_ph_n-8-shuffled",1,784,8,792,4847,13415,3,2,5,1,4289,557,3593,2735,2.3538271095523,0.413864245925315,261.333333333333,0.206932122962657,0.206932122962657,7.89520202020202,250.75,125.375,31.4545454545455,1002,501,310.844387755102,0.533879985091316,0.391352963101006,0.539836970812516,0.859955319743089,0.074767051807678,1,0.873080145210835,0.859144119817141,0.463797759133471,0.5,0.539836970812516,0.868730374026181,1,0.114916443160718,0.564266556632969,0.542033568027649,0.388207182794069,7.29437285144401,0.450701795994049,0.847676064645511,13.4574190266237,"sSolve",1.14,"ok" "k_ph_n-9-shuffled",1,1078,8,1086,7828,21920,3,2,5,1,7052,775,6083,3891,2.42233009708738,0.37787429739397,359.333333333333,0.188937148696985,0.188937148696985,9.07826887661142,369.75,184.875,35.3977900552486,1478,739,495.628014842301,0.550228102189781,0.382299270072993,0.558063495411876,0.877373352126689,0.0674726277372263,1,0.817428073957383,0.871474445783622,0.486338075376136,0.5,0.558063495411876,0.883884471622991,1,0.0990035769034236,0.497061829330608,0.562791438254896,0.372715806684631,9.1276073061438,0.427452629365851,0.852489725262808,16.2184544499232,"X2clsQ",1.33,"ok" "k_ph_n-9-shuffled",1,1078,8,1086,7828,21920,3,2,5,1,7052,775,6083,3891,2.42233009708738,0.37787429739397,359.333333333333,0.188937148696985,0.188937148696985,9.07826887661142,369.75,184.875,35.3977900552486,1478,739,495.628014842301,0.550228102189781,0.382299270072993,0.558063495411876,0.877373352126689,0.0674726277372263,1,0.817428073957383,0.871474445783622,0.486338075376136,0.5,0.558063495411876,0.883884471622991,1,0.0990035769034236,0.497061829330608,0.562791438254896,0.372715806684631,9.1276073061438,0.427452629365851,0.852489725262808,16.2184544499232,"quantor",1.41,"ok" "k_ph_n-9-shuffled",1,1078,8,1086,7828,21920,3,2,5,1,7052,775,6083,3891,2.42233009708738,0.37787429739397,359.333333333333,0.188937148696985,0.188937148696985,9.07826887661142,369.75,184.875,35.3977900552486,1478,739,495.628014842301,0.550228102189781,0.382299270072993,0.558063495411876,0.877373352126689,0.0674726277372263,1,0.817428073957383,0.871474445783622,0.486338075376136,0.5,0.558063495411876,0.883884471622991,1,0.0990035769034236,0.497061829330608,0.562791438254896,0.372715806684631,9.1276073061438,0.427452629365851,0.852489725262808,16.2184544499232,"QuBE",1.85,"ok" "k_ph_n-9-shuffled",1,1078,8,1086,7828,21920,3,2,5,1,7052,775,6083,3891,2.42233009708738,0.37787429739397,359.333333333333,0.188937148696985,0.188937148696985,9.07826887661142,369.75,184.875,35.3977900552486,1478,739,495.628014842301,0.550228102189781,0.382299270072993,0.558063495411876,0.877373352126689,0.0674726277372263,1,0.817428073957383,0.871474445783622,0.486338075376136,0.5,0.558063495411876,0.883884471622991,1,0.0990035769034236,0.497061829330608,0.562791438254896,0.372715806684631,9.1276073061438,0.427452629365851,0.852489725262808,16.2184544499232,"sKizzo",8.89,"ok" "k_ph_n-9-shuffled",1,1078,8,1086,7828,21920,3,2,5,1,7052,775,6083,3891,2.42233009708738,0.37787429739397,359.333333333333,0.188937148696985,0.188937148696985,9.07826887661142,369.75,184.875,35.3977900552486,1478,739,495.628014842301,0.550228102189781,0.382299270072993,0.558063495411876,0.877373352126689,0.0674726277372263,1,0.817428073957383,0.871474445783622,0.486338075376136,0.5,0.558063495411876,0.883884471622991,1,0.0990035769034236,0.497061829330608,0.562791438254896,0.372715806684631,9.1276073061438,0.427452629365851,0.852489725262808,16.2184544499232,"sSolve",2.41,"ok" "k_ph_p-11-shuffled",1,2079,9,2088,21100,60220,3,2,5,1,19560,1539,17359,8366,2.52018957345972,0.333838862559242,693,0.166919431279621,0.166919431279621,12.3141762452107,782.666666666667,391.333333333333,46.2768199233716,3129.77777777778,1564.88888888889,1196.76382876383,0.573032215210893,0.368482231816672,0.582706484128178,0.89793671032804,0.0584855529724344,1,0.745102584907847,0.886851529960132,0.516774136966764,0.5,0.582706484128178,0.901823882234222,1,0.0729383886255924,0.396492890995261,0.592707806440735,0.349432324546133,13.7729219355926,0.394801790770644,0.857940147323957,23.2372878945197,"X2clsQ",3600,"timeout" "k_ph_p-11-shuffled",1,2079,9,2088,21100,60220,3,2,5,1,19560,1539,17359,8366,2.52018957345972,0.333838862559242,693,0.166919431279621,0.166919431279621,12.3141762452107,782.666666666667,391.333333333333,46.2768199233716,3129.77777777778,1564.88888888889,1196.76382876383,0.573032215210893,0.368482231816672,0.582706484128178,0.89793671032804,0.0584855529724344,1,0.745102584907847,0.886851529960132,0.516774136966764,0.5,0.582706484128178,0.901823882234222,1,0.0729383886255924,0.396492890995261,0.592707806440735,0.349432324546133,13.7729219355926,0.394801790770644,0.857940147323957,23.2372878945197,"quantor",3600,"timeout" "k_ph_p-11-shuffled",1,2079,9,2088,21100,60220,3,2,5,1,19560,1539,17359,8366,2.52018957345972,0.333838862559242,693,0.166919431279621,0.166919431279621,12.3141762452107,782.666666666667,391.333333333333,46.2768199233716,3129.77777777778,1564.88888888889,1196.76382876383,0.573032215210893,0.368482231816672,0.582706484128178,0.89793671032804,0.0584855529724344,1,0.745102584907847,0.886851529960132,0.516774136966764,0.5,0.582706484128178,0.901823882234222,1,0.0729383886255924,0.396492890995261,0.592707806440735,0.349432324546133,13.7729219355926,0.394801790770644,0.857940147323957,23.2372878945197,"QuBE",3600,"timeout" "k_ph_p-11-shuffled",1,2079,9,2088,21100,60220,3,2,5,1,19560,1539,17359,8366,2.52018957345972,0.333838862559242,693,0.166919431279621,0.166919431279621,12.3141762452107,782.666666666667,391.333333333333,46.2768199233716,3129.77777777778,1564.88888888889,1196.76382876383,0.573032215210893,0.368482231816672,0.582706484128178,0.89793671032804,0.0584855529724344,1,0.745102584907847,0.886851529960132,0.516774136966764,0.5,0.582706484128178,0.901823882234222,1,0.0729383886255924,0.396492890995261,0.592707806440735,0.349432324546133,13.7729219355926,0.394801790770644,0.857940147323957,23.2372878945197,"sKizzo",1036.7,"ok" "k_ph_p-11-shuffled",1,2079,9,2088,21100,60220,3,2,5,1,19560,1539,17359,8366,2.52018957345972,0.333838862559242,693,0.166919431279621,0.166919431279621,12.3141762452107,782.666666666667,391.333333333333,46.2768199233716,3129.77777777778,1564.88888888889,1196.76382876383,0.573032215210893,0.368482231816672,0.582706484128178,0.89793671032804,0.0584855529724344,1,0.745102584907847,0.886851529960132,0.516774136966764,0.5,0.582706484128178,0.901823882234222,1,0.0729383886255924,0.396492890995261,0.592707806440735,0.349432324546133,13.7729219355926,0.394801790770644,0.857940147323957,23.2372878945197,"sSolve",3600,"timeout" "k_ph_p-12-shuffled",1,2615,10,2625,30972,89014,3,2,5,1,29021,1950,25706,11295,2.54145679969004,0.332558439881183,871.666666666667,0.166279219940591,0.166279219940591,14.2822857142857,1030,515,53.0586666666667,4119.2,2059.6,1710.66768642447,0.578819062169996,0.36332487024513,0.589132809919455,0.900044640257749,0.0578560675848743,1,0.727655610115871,0.887669462783713,0.522955204889462,0.5,0.589132809919455,0.903486493566574,1,0.0629600929872143,0.364684230918249,0.600606206591995,0.341634563194349,15.5034324942792,0.385918304967906,0.855382754647236,25.812974165302,"X2clsQ",3600,"timeout" "k_ph_p-12-shuffled",1,2615,10,2625,30972,89014,3,2,5,1,29021,1950,25706,11295,2.54145679969004,0.332558439881183,871.666666666667,0.166279219940591,0.166279219940591,14.2822857142857,1030,515,53.0586666666667,4119.2,2059.6,1710.66768642447,0.578819062169996,0.36332487024513,0.589132809919455,0.900044640257749,0.0578560675848743,1,0.727655610115871,0.887669462783713,0.522955204889462,0.5,0.589132809919455,0.903486493566574,1,0.0629600929872143,0.364684230918249,0.600606206591995,0.341634563194349,15.5034324942792,0.385918304967906,0.855382754647236,25.812974165302,"quantor",3600,"timeout" "k_ph_p-12-shuffled",1,2615,10,2625,30972,89014,3,2,5,1,29021,1950,25706,11295,2.54145679969004,0.332558439881183,871.666666666667,0.166279219940591,0.166279219940591,14.2822857142857,1030,515,53.0586666666667,4119.2,2059.6,1710.66768642447,0.578819062169996,0.36332487024513,0.589132809919455,0.900044640257749,0.0578560675848743,1,0.727655610115871,0.887669462783713,0.522955204889462,0.5,0.589132809919455,0.903486493566574,1,0.0629600929872143,0.364684230918249,0.600606206591995,0.341634563194349,15.5034324942792,0.385918304967906,0.855382754647236,25.812974165302,"QuBE",3600,"timeout" "k_ph_p-12-shuffled",1,2615,10,2625,30972,89014,3,2,5,1,29021,1950,25706,11295,2.54145679969004,0.332558439881183,871.666666666667,0.166279219940591,0.166279219940591,14.2822857142857,1030,515,53.0586666666667,4119.2,2059.6,1710.66768642447,0.578819062169996,0.36332487024513,0.589132809919455,0.900044640257749,0.0578560675848743,1,0.727655610115871,0.887669462783713,0.522955204889462,0.5,0.589132809919455,0.903486493566574,1,0.0629600929872143,0.364684230918249,0.600606206591995,0.341634563194349,15.5034324942792,0.385918304967906,0.855382754647236,25.812974165302,"sKizzo",3600,"memout" "k_ph_p-12-shuffled",1,2615,10,2625,30972,89014,3,2,5,1,29021,1950,25706,11295,2.54145679969004,0.332558439881183,871.666666666667,0.166279219940591,0.166279219940591,14.2822857142857,1030,515,53.0586666666667,4119.2,2059.6,1710.66768642447,0.578819062169996,0.36332487024513,0.589132809919455,0.900044640257749,0.0578560675848743,1,0.727655610115871,0.887669462783713,0.522955204889462,0.5,0.589132809919455,0.903486493566574,1,0.0629600929872143,0.364684230918249,0.600606206591995,0.341634563194349,15.5034324942792,0.385918304967906,0.855382754647236,25.812974165302,"sSolve",3600,"timeout" "k_ph_p-8-shuffled",1,915,8,923,5864,16274,3,2,5,1,5205,658,4398,3237,2.37346521145975,0.401773533424284,305,0.200886766712142,0.200886766712142,8.15059588299025,294.5,147.25,32.3196099674973,1177,588.5,347.908196721311,0.537728892712302,0.389885707263119,0.544115533841069,0.865386812935664,0.0723854000245791,1,0.859673180207976,0.862706613720904,0.469412069672971,0.5,0.544115533841069,0.872953036436741,1,0.112210095497954,0.552012278308322,0.54671022640936,0.385088203081731,8.25384440054703,0.445490655034224,0.849540901907705,15.0972928652825,"X2clsQ",15.19,"ok" "k_ph_p-8-shuffled",1,915,8,923,5864,16274,3,2,5,1,5205,658,4398,3237,2.37346521145975,0.401773533424284,305,0.200886766712142,0.200886766712142,8.15059588299025,294.5,147.25,32.3196099674973,1177,588.5,347.908196721311,0.537728892712302,0.389885707263119,0.544115533841069,0.865386812935664,0.0723854000245791,1,0.859673180207976,0.862706613720904,0.469412069672971,0.5,0.544115533841069,0.872953036436741,1,0.112210095497954,0.552012278308322,0.54671022640936,0.385088203081731,8.25384440054703,0.445490655034224,0.849540901907705,15.0972928652825,"quantor",1.66,"ok" "k_ph_p-8-shuffled",1,915,8,923,5864,16274,3,2,5,1,5205,658,4398,3237,2.37346521145975,0.401773533424284,305,0.200886766712142,0.200886766712142,8.15059588299025,294.5,147.25,32.3196099674973,1177,588.5,347.908196721311,0.537728892712302,0.389885707263119,0.544115533841069,0.865386812935664,0.0723854000245791,1,0.859673180207976,0.862706613720904,0.469412069672971,0.5,0.544115533841069,0.872953036436741,1,0.112210095497954,0.552012278308322,0.54671022640936,0.385088203081731,8.25384440054703,0.445490655034224,0.849540901907705,15.0972928652825,"QuBE",910.79,"ok" "k_ph_p-8-shuffled",1,915,8,923,5864,16274,3,2,5,1,5205,658,4398,3237,2.37346521145975,0.401773533424284,305,0.200886766712142,0.200886766712142,8.15059588299025,294.5,147.25,32.3196099674973,1177,588.5,347.908196721311,0.537728892712302,0.389885707263119,0.544115533841069,0.865386812935664,0.0723854000245791,1,0.859673180207976,0.862706613720904,0.469412069672971,0.5,0.544115533841069,0.872953036436741,1,0.112210095497954,0.552012278308322,0.54671022640936,0.385088203081731,8.25384440054703,0.445490655034224,0.849540901907705,15.0972928652825,"sKizzo",9.91,"ok" "k_ph_p-8-shuffled",1,915,8,923,5864,16274,3,2,5,1,5205,658,4398,3237,2.37346521145975,0.401773533424284,305,0.200886766712142,0.200886766712142,8.15059588299025,294.5,147.25,32.3196099674973,1177,588.5,347.908196721311,0.537728892712302,0.389885707263119,0.544115533841069,0.865386812935664,0.0723854000245791,1,0.859673180207976,0.862706613720904,0.469412069672971,0.5,0.544115533841069,0.872953036436741,1,0.112210095497954,0.552012278308322,0.54671022640936,0.385088203081731,8.25384440054703,0.445490655034224,0.849540901907705,15.0972928652825,"sSolve",193.14,"ok" "k_ph_p-9-shuffled",1,1235,8,1243,9192,25778,3,2,5,1,8293,898,7232,4499,2.43842471714534,0.365970409051349,411.666666666667,0.182985204525674,0.182985204525674,9.27031375703942,420.5,210.25,36.005631536605,1681,840.5,539.653441295547,0.552990922492048,0.381759640003103,0.560944052824128,0.882006313574184,0.0652494375048491,1,0.80834794808839,0.875133520941656,0.490900943999261,0.5,0.560944052824128,0.887719714795718,1,0.0976936466492602,0.489447345517842,0.566235050107581,0.370983685047883,10.1256227102677,0.423838181210298,0.855264320318857,17.8823665337282,"X2clsQ",520.6,"ok" "k_ph_p-9-shuffled",1,1235,8,1243,9192,25778,3,2,5,1,8293,898,7232,4499,2.43842471714534,0.365970409051349,411.666666666667,0.182985204525674,0.182985204525674,9.27031375703942,420.5,210.25,36.005631536605,1681,840.5,539.653441295547,0.552990922492048,0.381759640003103,0.560944052824128,0.882006313574184,0.0652494375048491,1,0.80834794808839,0.875133520941656,0.490900943999261,0.5,0.560944052824128,0.887719714795718,1,0.0976936466492602,0.489447345517842,0.566235050107581,0.370983685047883,10.1256227102677,0.423838181210298,0.855264320318857,17.8823665337282,"quantor",73.49,"ok" "k_ph_p-9-shuffled",1,1235,8,1243,9192,25778,3,2,5,1,8293,898,7232,4499,2.43842471714534,0.365970409051349,411.666666666667,0.182985204525674,0.182985204525674,9.27031375703942,420.5,210.25,36.005631536605,1681,840.5,539.653441295547,0.552990922492048,0.381759640003103,0.560944052824128,0.882006313574184,0.0652494375048491,1,0.80834794808839,0.875133520941656,0.490900943999261,0.5,0.560944052824128,0.887719714795718,1,0.0976936466492602,0.489447345517842,0.566235050107581,0.370983685047883,10.1256227102677,0.423838181210298,0.855264320318857,17.8823665337282,"QuBE",3600,"timeout" "k_ph_p-9-shuffled",1,1235,8,1243,9192,25778,3,2,5,1,8293,898,7232,4499,2.43842471714534,0.365970409051349,411.666666666667,0.182985204525674,0.182985204525674,9.27031375703942,420.5,210.25,36.005631536605,1681,840.5,539.653441295547,0.552990922492048,0.381759640003103,0.560944052824128,0.882006313574184,0.0652494375048491,1,0.80834794808839,0.875133520941656,0.490900943999261,0.5,0.560944052824128,0.887719714795718,1,0.0976936466492602,0.489447345517842,0.566235050107581,0.370983685047883,10.1256227102677,0.423838181210298,0.855264320318857,17.8823665337282,"sKizzo",116.37,"ok" "k_ph_p-9-shuffled",1,1235,8,1243,9192,25778,3,2,5,1,8293,898,7232,4499,2.43842471714534,0.365970409051349,411.666666666667,0.182985204525674,0.182985204525674,9.27031375703942,420.5,210.25,36.005631536605,1681,840.5,539.653441295547,0.552990922492048,0.381759640003103,0.560944052824128,0.882006313574184,0.0652494375048491,1,0.80834794808839,0.875133520941656,0.490900943999261,0.5,0.560944052824128,0.887719714795718,1,0.0976936466492602,0.489447345517842,0.566235050107581,0.370983685047883,10.1256227102677,0.423838181210298,0.855264320318857,17.8823665337282,"sSolve",1776.04,"ok" "k_poly_n-12-shuffled",1,904,74,978,2207,5312,39,38,77,1,1553,653,1521,1699,2.14680561848663,0.260081558676937,23.1794871794872,0.130040779338468,0.130040779338468,2.77300613496933,7.75675675675676,3.87837837837838,160.868098159509,305.189189189189,152.594594594595,402.090707964602,0.489457831325301,0.456513554216868,0.488180666948079,0.889615384615385,0.0540286144578313,1,1.04307692307692,0.964955785531507,0.471072758956179,0.5,0.488180666948079,0.962437882913547,1,0.295876755777073,0.769823289533303,0.486410169358735,0.515752047434451,0.487175722789371,0.514671469050002,1.00421000702153,1.00157388450913,"X2clsQ",3600,"timeout" "k_poly_n-12-shuffled",1,904,74,978,2207,5312,39,38,77,1,1553,653,1521,1699,2.14680561848663,0.260081558676937,23.1794871794872,0.130040779338468,0.130040779338468,2.77300613496933,7.75675675675676,3.87837837837838,160.868098159509,305.189189189189,152.594594594595,402.090707964602,0.489457831325301,0.456513554216868,0.488180666948079,0.889615384615385,0.0540286144578313,1,1.04307692307692,0.964955785531507,0.471072758956179,0.5,0.488180666948079,0.962437882913547,1,0.295876755777073,0.769823289533303,0.486410169358735,0.515752047434451,0.487175722789371,0.514671469050002,1.00421000702153,1.00157388450913,"quantor",0.01,"ok" "k_poly_n-12-shuffled",1,904,74,978,2207,5312,39,38,77,1,1553,653,1521,1699,2.14680561848663,0.260081558676937,23.1794871794872,0.130040779338468,0.130040779338468,2.77300613496933,7.75675675675676,3.87837837837838,160.868098159509,305.189189189189,152.594594594595,402.090707964602,0.489457831325301,0.456513554216868,0.488180666948079,0.889615384615385,0.0540286144578313,1,1.04307692307692,0.964955785531507,0.471072758956179,0.5,0.488180666948079,0.962437882913547,1,0.295876755777073,0.769823289533303,0.486410169358735,0.515752047434451,0.487175722789371,0.514671469050002,1.00421000702153,1.00157388450913,"QuBE",3600,"timeout" "k_poly_n-12-shuffled",1,904,74,978,2207,5312,39,38,77,1,1553,653,1521,1699,2.14680561848663,0.260081558676937,23.1794871794872,0.130040779338468,0.130040779338468,2.77300613496933,7.75675675675676,3.87837837837838,160.868098159509,305.189189189189,152.594594594595,402.090707964602,0.489457831325301,0.456513554216868,0.488180666948079,0.889615384615385,0.0540286144578313,1,1.04307692307692,0.964955785531507,0.471072758956179,0.5,0.488180666948079,0.962437882913547,1,0.295876755777073,0.769823289533303,0.486410169358735,0.515752047434451,0.487175722789371,0.514671469050002,1.00421000702153,1.00157388450913,"sKizzo",0.55,"ok" "k_poly_n-12-shuffled",1,904,74,978,2207,5312,39,38,77,1,1553,653,1521,1699,2.14680561848663,0.260081558676937,23.1794871794872,0.130040779338468,0.130040779338468,2.77300613496933,7.75675675675676,3.87837837837838,160.868098159509,305.189189189189,152.594594594595,402.090707964602,0.489457831325301,0.456513554216868,0.488180666948079,0.889615384615385,0.0540286144578313,1,1.04307692307692,0.964955785531507,0.471072758956179,0.5,0.488180666948079,0.962437882913547,1,0.295876755777073,0.769823289533303,0.486410169358735,0.515752047434451,0.487175722789371,0.514671469050002,1.00421000702153,1.00157388450913,"sSolve",2.1,"ok" "k_poly_n-13-shuffled",1,1004,82,1086,2451,5900,43,42,85,1,1725,725,1689,1887,2.1468788249694,0.260301917584659,23.3488372093023,0.13015095879233,0.13015095879233,2.77348066298343,7.78048780487805,3.89024390243902,177.470534069982,337.268292682927,168.634146341463,443.54780876494,0.489491525423729,0.456440677966102,0.488217407829723,0.889542936288089,0.0540677966101695,1,1.04293628808864,0.964705922074414,0.470986224593153,0.5,0.488217407829723,0.962194849411219,1,0.295797633618931,0.769889840881273,0.48639595369561,0.515692655009399,0.488031325086952,0.514686421173763,1.00406657369629,1.00336222244226,"X2clsQ",3600,"timeout" "k_poly_n-13-shuffled",1,1004,82,1086,2451,5900,43,42,85,1,1725,725,1689,1887,2.1468788249694,0.260301917584659,23.3488372093023,0.13015095879233,0.13015095879233,2.77348066298343,7.78048780487805,3.89024390243902,177.470534069982,337.268292682927,168.634146341463,443.54780876494,0.489491525423729,0.456440677966102,0.488217407829723,0.889542936288089,0.0540677966101695,1,1.04293628808864,0.964705922074414,0.470986224593153,0.5,0.488217407829723,0.962194849411219,1,0.295797633618931,0.769889840881273,0.48639595369561,0.515692655009399,0.488031325086952,0.514686421173763,1.00406657369629,1.00336222244226,"quantor",0.01,"ok" "k_poly_n-13-shuffled",1,1004,82,1086,2451,5900,43,42,85,1,1725,725,1689,1887,2.1468788249694,0.260301917584659,23.3488372093023,0.13015095879233,0.13015095879233,2.77348066298343,7.78048780487805,3.89024390243902,177.470534069982,337.268292682927,168.634146341463,443.54780876494,0.489491525423729,0.456440677966102,0.488217407829723,0.889542936288089,0.0540677966101695,1,1.04293628808864,0.964705922074414,0.470986224593153,0.5,0.488217407829723,0.962194849411219,1,0.295797633618931,0.769889840881273,0.48639595369561,0.515692655009399,0.488031325086952,0.514686421173763,1.00406657369629,1.00336222244226,"QuBE",3600,"timeout" "k_poly_n-13-shuffled",1,1004,82,1086,2451,5900,43,42,85,1,1725,725,1689,1887,2.1468788249694,0.260301917584659,23.3488372093023,0.13015095879233,0.13015095879233,2.77348066298343,7.78048780487805,3.89024390243902,177.470534069982,337.268292682927,168.634146341463,443.54780876494,0.489491525423729,0.456440677966102,0.488217407829723,0.889542936288089,0.0540677966101695,1,1.04293628808864,0.964705922074414,0.470986224593153,0.5,0.488217407829723,0.962194849411219,1,0.295797633618931,0.769889840881273,0.48639595369561,0.515692655009399,0.488031325086952,0.514686421173763,1.00406657369629,1.00336222244226,"sKizzo",0.65,"ok" "k_poly_n-13-shuffled",1,1004,82,1086,2451,5900,43,42,85,1,1725,725,1689,1887,2.1468788249694,0.260301917584659,23.3488372093023,0.13015095879233,0.13015095879233,2.77348066298343,7.78048780487805,3.89024390243902,177.470534069982,337.268292682927,168.634146341463,443.54780876494,0.489491525423729,0.456440677966102,0.488217407829723,0.889542936288089,0.0540677966101695,1,1.04293628808864,0.964705922074414,0.470986224593153,0.5,0.488217407829723,0.962194849411219,1,0.295797633618931,0.769889840881273,0.48639595369561,0.515692655009399,0.488031325086952,0.514686421173763,1.00406657369629,1.00336222244226,"sSolve",2.19,"ok" "k_poly_n-20-shuffled",1,1504,122,1626,3671,8840,63,62,125,1,2585,1085,2529,2827,2.14709888313811,0.260964314900572,23.8730158730159,0.130482157450286,0.130482157450286,2.77490774907749,7.85245901639344,3.92622950819672,260.463099630996,497.508196721311,248.754098360656,650.79920212766,0.489592760180995,0.456221719457014,0.488327835574727,0.889325323475046,0.0541855203619909,1,1.04251386321627,0.96395524453644,0.470726178155387,0.5,0.488327835574727,0.961464744661187,1,0.295559792971942,0.770089893761918,0.486349567503845,0.515523499447206,0.490559508771723,0.514735147024168,1.00364657913739,1.00865620440352,"X2clsQ",3600,"timeout" "k_poly_n-20-shuffled",1,1504,122,1626,3671,8840,63,62,125,1,2585,1085,2529,2827,2.14709888313811,0.260964314900572,23.8730158730159,0.130482157450286,0.130482157450286,2.77490774907749,7.85245901639344,3.92622950819672,260.463099630996,497.508196721311,248.754098360656,650.79920212766,0.489592760180995,0.456221719457014,0.488327835574727,0.889325323475046,0.0541855203619909,1,1.04251386321627,0.96395524453644,0.470726178155387,0.5,0.488327835574727,0.961464744661187,1,0.295559792971942,0.770089893761918,0.486349567503845,0.515523499447206,0.490559508771723,0.514735147024168,1.00364657913739,1.00865620440352,"quantor",0.02,"ok" "k_poly_n-20-shuffled",1,1504,122,1626,3671,8840,63,62,125,1,2585,1085,2529,2827,2.14709888313811,0.260964314900572,23.8730158730159,0.130482157450286,0.130482157450286,2.77490774907749,7.85245901639344,3.92622950819672,260.463099630996,497.508196721311,248.754098360656,650.79920212766,0.489592760180995,0.456221719457014,0.488327835574727,0.889325323475046,0.0541855203619909,1,1.04251386321627,0.96395524453644,0.470726178155387,0.5,0.488327835574727,0.961464744661187,1,0.295559792971942,0.770089893761918,0.486349567503845,0.515523499447206,0.490559508771723,0.514735147024168,1.00364657913739,1.00865620440352,"QuBE",3600,"memout" "k_poly_n-20-shuffled",1,1504,122,1626,3671,8840,63,62,125,1,2585,1085,2529,2827,2.14709888313811,0.260964314900572,23.8730158730159,0.130482157450286,0.130482157450286,2.77490774907749,7.85245901639344,3.92622950819672,260.463099630996,497.508196721311,248.754098360656,650.79920212766,0.489592760180995,0.456221719457014,0.488327835574727,0.889325323475046,0.0541855203619909,1,1.04251386321627,0.96395524453644,0.470726178155387,0.5,0.488327835574727,0.961464744661187,1,0.295559792971942,0.770089893761918,0.486349567503845,0.515523499447206,0.490559508771723,0.514735147024168,1.00364657913739,1.00865620440352,"sKizzo",1.87,"ok" "k_poly_n-20-shuffled",1,1504,122,1626,3671,8840,63,62,125,1,2585,1085,2529,2827,2.14709888313811,0.260964314900572,23.8730158730159,0.130482157450286,0.130482157450286,2.77490774907749,7.85245901639344,3.92622950819672,260.463099630996,497.508196721311,248.754098360656,650.79920212766,0.489592760180995,0.456221719457014,0.488327835574727,0.889325323475046,0.0541855203619909,1,1.04251386321627,0.96395524453644,0.470726178155387,0.5,0.488327835574727,0.961464744661187,1,0.295559792971942,0.770089893761918,0.486349567503845,0.515523499447206,0.490559508771723,0.514735147024168,1.00364657913739,1.00865620440352,"sSolve",5.19,"ok" "k_poly_n-21-shuffled",1,1604,130,1734,3915,9428,67,66,133,1,2757,1157,2697,3015,2.14712643678161,0.261047254150702,23.9402985074627,0.130523627075351,0.130523627075351,2.77508650519031,7.86153846153846,3.93076923076923,277.059400230681,529.538461538462,264.769230769231,692.245635910224,0.48960543063216,0.456194314806958,0.488341660718534,0.889298093587522,0.0542002545608825,1,1.04246100519931,0.963861295001783,0.470693625503488,0.5,0.488341660718534,0.96137337548676,1,0.295530012771392,0.770114942528736,0.486343360818393,0.515503341420211,0.490871174637597,0.514741660280499,1.00359520757202,1.00930991185237,"X2clsQ",3600,"timeout" "k_poly_n-21-shuffled",1,1604,130,1734,3915,9428,67,66,133,1,2757,1157,2697,3015,2.14712643678161,0.261047254150702,23.9402985074627,0.130523627075351,0.130523627075351,2.77508650519031,7.86153846153846,3.93076923076923,277.059400230681,529.538461538462,264.769230769231,692.245635910224,0.48960543063216,0.456194314806958,0.488341660718534,0.889298093587522,0.0542002545608825,1,1.04246100519931,0.963861295001783,0.470693625503488,0.5,0.488341660718534,0.96137337548676,1,0.295530012771392,0.770114942528736,0.486343360818393,0.515503341420211,0.490871174637597,0.514741660280499,1.00359520757202,1.00930991185237,"quantor",0.01,"ok" "k_poly_n-21-shuffled",1,1604,130,1734,3915,9428,67,66,133,1,2757,1157,2697,3015,2.14712643678161,0.261047254150702,23.9402985074627,0.130523627075351,0.130523627075351,2.77508650519031,7.86153846153846,3.93076923076923,277.059400230681,529.538461538462,264.769230769231,692.245635910224,0.48960543063216,0.456194314806958,0.488341660718534,0.889298093587522,0.0542002545608825,1,1.04246100519931,0.963861295001783,0.470693625503488,0.5,0.488341660718534,0.96137337548676,1,0.295530012771392,0.770114942528736,0.486343360818393,0.515503341420211,0.490871174637597,0.514741660280499,1.00359520757202,1.00930991185237,"QuBE",3600,"memout" "k_poly_n-21-shuffled",1,1604,130,1734,3915,9428,67,66,133,1,2757,1157,2697,3015,2.14712643678161,0.261047254150702,23.9402985074627,0.130523627075351,0.130523627075351,2.77508650519031,7.86153846153846,3.93076923076923,277.059400230681,529.538461538462,264.769230769231,692.245635910224,0.48960543063216,0.456194314806958,0.488341660718534,0.889298093587522,0.0542002545608825,1,1.04246100519931,0.963861295001783,0.470693625503488,0.5,0.488341660718534,0.96137337548676,1,0.295530012771392,0.770114942528736,0.486343360818393,0.515503341420211,0.490871174637597,0.514741660280499,1.00359520757202,1.00930991185237,"sKizzo",2.15,"ok" "k_poly_n-21-shuffled",1,1604,130,1734,3915,9428,67,66,133,1,2757,1157,2697,3015,2.14712643678161,0.261047254150702,23.9402985074627,0.130523627075351,0.130523627075351,2.77508650519031,7.86153846153846,3.93076923076923,277.059400230681,529.538461538462,264.769230769231,692.245635910224,0.48960543063216,0.456194314806958,0.488341660718534,0.889298093587522,0.0542002545608825,1,1.04246100519931,0.963861295001783,0.470693625503488,0.5,0.488341660718534,0.96137337548676,1,0.295530012771392,0.770114942528736,0.486343360818393,0.515503341420211,0.490871174637597,0.514741660280499,1.00359520757202,1.00930991185237,"sSolve",4.66,"ok" "k_poly_p-19-shuffled",1,1429,116,1545,3488,8399,60,59,119,1,2456,1031,2403,2686,2.14707568807339,0.260894495412844,23.8166666666667,0.130447247706422,0.130447247706422,2.7747572815534,7.8448275862069,3.92241379310345,248.015533980583,473.48275862069,236.741379310345,619.713785864241,0.489582093106322,0.456244791046553,0.488316197089064,0.889348249027237,0.0541731158471247,1,1.04255836575875,0.964034340120633,0.470753582830973,0.5,0.488316197089064,0.961541668822311,1,0.295584862385321,0.770068807339449,0.486354722262064,0.515540648451851,0.490296271270833,0.514729736573649,1.00369003823468,1.00810426799279,"X2clsQ",3600,"timeout" "k_poly_p-19-shuffled",1,1429,116,1545,3488,8399,60,59,119,1,2456,1031,2403,2686,2.14707568807339,0.260894495412844,23.8166666666667,0.130447247706422,0.130447247706422,2.7747572815534,7.8448275862069,3.92241379310345,248.015533980583,473.48275862069,236.741379310345,619.713785864241,0.489582093106322,0.456244791046553,0.488316197089064,0.889348249027237,0.0541731158471247,1,1.04255836575875,0.964034340120633,0.470753582830973,0.5,0.488316197089064,0.961541668822311,1,0.295584862385321,0.770068807339449,0.486354722262064,0.515540648451851,0.490296271270833,0.514729736573649,1.00369003823468,1.00810426799279,"quantor",0.02,"ok" "k_poly_p-19-shuffled",1,1429,116,1545,3488,8399,60,59,119,1,2456,1031,2403,2686,2.14707568807339,0.260894495412844,23.8166666666667,0.130447247706422,0.130447247706422,2.7747572815534,7.8448275862069,3.92241379310345,248.015533980583,473.48275862069,236.741379310345,619.713785864241,0.489582093106322,0.456244791046553,0.488316197089064,0.889348249027237,0.0541731158471247,1,1.04255836575875,0.964034340120633,0.470753582830973,0.5,0.488316197089064,0.961541668822311,1,0.295584862385321,0.770068807339449,0.486354722262064,0.515540648451851,0.490296271270833,0.514729736573649,1.00369003823468,1.00810426799279,"QuBE",3600,"memout" "k_poly_p-19-shuffled",1,1429,116,1545,3488,8399,60,59,119,1,2456,1031,2403,2686,2.14707568807339,0.260894495412844,23.8166666666667,0.130447247706422,0.130447247706422,2.7747572815534,7.8448275862069,3.92241379310345,248.015533980583,473.48275862069,236.741379310345,619.713785864241,0.489582093106322,0.456244791046553,0.488316197089064,0.889348249027237,0.0541731158471247,1,1.04255836575875,0.964034340120633,0.470753582830973,0.5,0.488316197089064,0.961541668822311,1,0.295584862385321,0.770068807339449,0.486354722262064,0.515540648451851,0.490296271270833,0.514729736573649,1.00369003823468,1.00810426799279,"sKizzo",1.53,"ok" "k_poly_p-19-shuffled",1,1429,116,1545,3488,8399,60,59,119,1,2456,1031,2403,2686,2.14707568807339,0.260894495412844,23.8166666666667,0.130447247706422,0.130447247706422,2.7747572815534,7.8448275862069,3.92241379310345,248.015533980583,473.48275862069,236.741379310345,619.713785864241,0.489582093106322,0.456244791046553,0.488316197089064,0.889348249027237,0.0541731158471247,1,1.04255836575875,0.964034340120633,0.470753582830973,0.5,0.488316197089064,0.961541668822311,1,0.295584862385321,0.770068807339449,0.486354722262064,0.515540648451851,0.490296271270833,0.514729736573649,1.00369003823468,1.00810426799279,"sSolve",4.91,"ok" "k_poly_p-21-shuffled",1,1579,128,1707,3854,9281,66,65,131,1,2714,1139,2655,2968,2.14711987545407,0.26102750389206,23.9242424242424,0.13051375194603,0.13051375194603,2.77504393673111,7.859375,3.9296875,272.910369068541,521.53125,260.765625,681.884103863205,0.489602413533024,0.456200840426678,0.48833836858006,0.889304577464789,0.0541967460402974,1,1.0424735915493,0.963883666256127,0.470701377080485,0.5,0.48833836858006,0.961395132192777,1,0.295537104307213,0.770108977685522,0.486344847052805,0.5155081205207,0.490797060770916,0.514740100762803,1.00360741552552,1.00915443793656,"X2clsQ",3600,"timeout" "k_poly_p-21-shuffled",1,1579,128,1707,3854,9281,66,65,131,1,2714,1139,2655,2968,2.14711987545407,0.26102750389206,23.9242424242424,0.13051375194603,0.13051375194603,2.77504393673111,7.859375,3.9296875,272.910369068541,521.53125,260.765625,681.884103863205,0.489602413533024,0.456200840426678,0.48833836858006,0.889304577464789,0.0541967460402974,1,1.0424735915493,0.963883666256127,0.470701377080485,0.5,0.48833836858006,0.961395132192777,1,0.295537104307213,0.770108977685522,0.486344847052805,0.5155081205207,0.490797060770916,0.514740100762803,1.00360741552552,1.00915443793656,"quantor",0.01,"ok" "k_poly_p-21-shuffled",1,1579,128,1707,3854,9281,66,65,131,1,2714,1139,2655,2968,2.14711987545407,0.26102750389206,23.9242424242424,0.13051375194603,0.13051375194603,2.77504393673111,7.859375,3.9296875,272.910369068541,521.53125,260.765625,681.884103863205,0.489602413533024,0.456200840426678,0.48833836858006,0.889304577464789,0.0541967460402974,1,1.0424735915493,0.963883666256127,0.470701377080485,0.5,0.48833836858006,0.961395132192777,1,0.295537104307213,0.770108977685522,0.486344847052805,0.5155081205207,0.490797060770916,0.514740100762803,1.00360741552552,1.00915443793656,"QuBE",3600,"memout" "k_poly_p-21-shuffled",1,1579,128,1707,3854,9281,66,65,131,1,2714,1139,2655,2968,2.14711987545407,0.26102750389206,23.9242424242424,0.13051375194603,0.13051375194603,2.77504393673111,7.859375,3.9296875,272.910369068541,521.53125,260.765625,681.884103863205,0.489602413533024,0.456200840426678,0.48833836858006,0.889304577464789,0.0541967460402974,1,1.0424735915493,0.963883666256127,0.470701377080485,0.5,0.48833836858006,0.961395132192777,1,0.295537104307213,0.770108977685522,0.486344847052805,0.5155081205207,0.490797060770916,0.514740100762803,1.00360741552552,1.00915443793656,"sKizzo",1.88,"ok" "k_poly_p-21-shuffled",1,1579,128,1707,3854,9281,66,65,131,1,2714,1139,2655,2968,2.14711987545407,0.26102750389206,23.9242424242424,0.13051375194603,0.13051375194603,2.77504393673111,7.859375,3.9296875,272.910369068541,521.53125,260.765625,681.884103863205,0.489602413533024,0.456200840426678,0.48833836858006,0.889304577464789,0.0541967460402974,1,1.0424735915493,0.963883666256127,0.470701377080485,0.5,0.48833836858006,0.961395132192777,1,0.295537104307213,0.770108977685522,0.486344847052805,0.5155081205207,0.490797060770916,0.514740100762803,1.00360741552552,1.00915443793656,"sSolve",3.87,"ok" "k_t4p_n-12-shuffled",1,1663,81,1744,5479,13913,30,29,59,2,4217,1260,3826,3697,2.21591531301332,0.323416681876255,55.4333333333333,0.161708340938127,0.161708340938127,3.94552752293578,21.8765432098765,10.9382716049383,158.842316513761,636.592592592593,318.296296296296,604.88093806374,0.505426579458061,0.430891971537411,0.506218598138539,0.874004550625711,0.0636814490045281,1,0.978526734926052,0.915140773224417,0.463261279321083,0.5,0.506218598138539,0.916574826392808,1,0.229968972440226,0.674758167548823,0.506186461978349,0.469668665720037,0.989528632312817,0.4931873369466,0.951105284803764,1.954869809132,"X2clsQ",3600,"timeout" "k_t4p_n-12-shuffled",1,1663,81,1744,5479,13913,30,29,59,2,4217,1260,3826,3697,2.21591531301332,0.323416681876255,55.4333333333333,0.161708340938127,0.161708340938127,3.94552752293578,21.8765432098765,10.9382716049383,158.842316513761,636.592592592593,318.296296296296,604.88093806374,0.505426579458061,0.430891971537411,0.506218598138539,0.874004550625711,0.0636814490045281,1,0.978526734926052,0.915140773224417,0.463261279321083,0.5,0.506218598138539,0.916574826392808,1,0.229968972440226,0.674758167548823,0.506186461978349,0.469668665720037,0.989528632312817,0.4931873369466,0.951105284803764,1.954869809132,"quantor",3600,"memout" "k_t4p_n-12-shuffled",1,1663,81,1744,5479,13913,30,29,59,2,4217,1260,3826,3697,2.21591531301332,0.323416681876255,55.4333333333333,0.161708340938127,0.161708340938127,3.94552752293578,21.8765432098765,10.9382716049383,158.842316513761,636.592592592593,318.296296296296,604.88093806374,0.505426579458061,0.430891971537411,0.506218598138539,0.874004550625711,0.0636814490045281,1,0.978526734926052,0.915140773224417,0.463261279321083,0.5,0.506218598138539,0.916574826392808,1,0.229968972440226,0.674758167548823,0.506186461978349,0.469668665720037,0.989528632312817,0.4931873369466,0.951105284803764,1.954869809132,"QuBE",3600,"memout" "k_t4p_n-12-shuffled",1,1663,81,1744,5479,13913,30,29,59,2,4217,1260,3826,3697,2.21591531301332,0.323416681876255,55.4333333333333,0.161708340938127,0.161708340938127,3.94552752293578,21.8765432098765,10.9382716049383,158.842316513761,636.592592592593,318.296296296296,604.88093806374,0.505426579458061,0.430891971537411,0.506218598138539,0.874004550625711,0.0636814490045281,1,0.978526734926052,0.915140773224417,0.463261279321083,0.5,0.506218598138539,0.916574826392808,1,0.229968972440226,0.674758167548823,0.506186461978349,0.469668665720037,0.989528632312817,0.4931873369466,0.951105284803764,1.954869809132,"sKizzo",0.78,"ok" "k_t4p_n-12-shuffled",1,1663,81,1744,5479,13913,30,29,59,2,4217,1260,3826,3697,2.21591531301332,0.323416681876255,55.4333333333333,0.161708340938127,0.161708340938127,3.94552752293578,21.8765432098765,10.9382716049383,158.842316513761,636.592592592593,318.296296296296,604.88093806374,0.505426579458061,0.430891971537411,0.506218598138539,0.874004550625711,0.0636814490045281,1,0.978526734926052,0.915140773224417,0.463261279321083,0.5,0.506218598138539,0.916574826392808,1,0.229968972440226,0.674758167548823,0.506186461978349,0.469668665720037,0.989528632312817,0.4931873369466,0.951105284803764,1.954869809132,"sSolve",116.33,"ok" "k_t4p_n-17-shuffled",1,2333,111,2444,7719,19613,40,39,79,2,5947,1770,5396,5197,2.21803342401866,0.322839746081099,58.325,0.161419873040549,0.161419873040549,3.9652209492635,22.4504504504505,11.2252252252252,214.255728314239,877.513513513514,438.756756756757,822.596228032576,0.505888951205833,0.43058175699791,0.506746101279131,0.874420479741987,0.0635292917962576,1,0.976718403547672,0.914474419931373,0.463406347019718,0.5,0.506746101279131,0.916023854474675,1,0.229304314030315,0.673273740121777,0.506796092729391,0.468616052381498,1.00999188435435,0.492517439949228,0.95014667457689,1.9928959572576,"X2clsQ",3600,"timeout" "k_t4p_n-17-shuffled",1,2333,111,2444,7719,19613,40,39,79,2,5947,1770,5396,5197,2.21803342401866,0.322839746081099,58.325,0.161419873040549,0.161419873040549,3.9652209492635,22.4504504504505,11.2252252252252,214.255728314239,877.513513513514,438.756756756757,822.596228032576,0.505888951205833,0.43058175699791,0.506746101279131,0.874420479741987,0.0635292917962576,1,0.976718403547672,0.914474419931373,0.463406347019718,0.5,0.506746101279131,0.916023854474675,1,0.229304314030315,0.673273740121777,0.506796092729391,0.468616052381498,1.00999188435435,0.492517439949228,0.95014667457689,1.9928959572576,"quantor",3600,"memout" "k_t4p_n-17-shuffled",1,2333,111,2444,7719,19613,40,39,79,2,5947,1770,5396,5197,2.21803342401866,0.322839746081099,58.325,0.161419873040549,0.161419873040549,3.9652209492635,22.4504504504505,11.2252252252252,214.255728314239,877.513513513514,438.756756756757,822.596228032576,0.505888951205833,0.43058175699791,0.506746101279131,0.874420479741987,0.0635292917962576,1,0.976718403547672,0.914474419931373,0.463406347019718,0.5,0.506746101279131,0.916023854474675,1,0.229304314030315,0.673273740121777,0.506796092729391,0.468616052381498,1.00999188435435,0.492517439949228,0.95014667457689,1.9928959572576,"QuBE",3600,"memout" "k_t4p_n-17-shuffled",1,2333,111,2444,7719,19613,40,39,79,2,5947,1770,5396,5197,2.21803342401866,0.322839746081099,58.325,0.161419873040549,0.161419873040549,3.9652209492635,22.4504504504505,11.2252252252252,214.255728314239,877.513513513514,438.756756756757,822.596228032576,0.505888951205833,0.43058175699791,0.506746101279131,0.874420479741987,0.0635292917962576,1,0.976718403547672,0.914474419931373,0.463406347019718,0.5,0.506746101279131,0.916023854474675,1,0.229304314030315,0.673273740121777,0.506796092729391,0.468616052381498,1.00999188435435,0.492517439949228,0.95014667457689,1.9928959572576,"sKizzo",1.42,"ok" "k_t4p_n-17-shuffled",1,2333,111,2444,7719,19613,40,39,79,2,5947,1770,5396,5197,2.21803342401866,0.322839746081099,58.325,0.161419873040549,0.161419873040549,3.9652209492635,22.4504504504505,11.2252252252252,214.255728314239,877.513513513514,438.756756756757,822.596228032576,0.505888951205833,0.43058175699791,0.506746101279131,0.874420479741987,0.0635292917962576,1,0.976718403547672,0.914474419931373,0.463406347019718,0.5,0.506746101279131,0.916023854474675,1,0.229304314030315,0.673273740121777,0.506796092729391,0.468616052381498,1.00999188435435,0.492517439949228,0.95014667457689,1.9928959572576,"sSolve",263.96,"ok" "k_t4p_n-21-shuffled",1,2869,135,3004,9511,24173,48,47,95,2,7331,2178,6652,6397,2.21900956786878,0.32257386184418,59.7708333333333,0.16128693092209,0.16128693092209,3.97436750998668,22.7259259259259,11.362962962963,258.543608521971,1069.95555555556,534.977777777778,996.432554897177,0.506101849170562,0.430438919455591,0.50698886519782,0.874611737779957,0.0634592313738468,1,0.975886872649992,0.914164147056789,0.463471043520855,0.5,0.50698886519782,0.91576635074625,1,0.228998002313111,0.672589633056461,0.507074970249901,0.468125582015911,1.0199592190048,0.492210978206111,0.949689209844425,2.01145644893918,"X2clsQ",3600,"timeout" "k_t4p_n-21-shuffled",1,2869,135,3004,9511,24173,48,47,95,2,7331,2178,6652,6397,2.21900956786878,0.32257386184418,59.7708333333333,0.16128693092209,0.16128693092209,3.97436750998668,22.7259259259259,11.362962962963,258.543608521971,1069.95555555556,534.977777777778,996.432554897177,0.506101849170562,0.430438919455591,0.50698886519782,0.874611737779957,0.0634592313738468,1,0.975886872649992,0.914164147056789,0.463471043520855,0.5,0.50698886519782,0.91576635074625,1,0.228998002313111,0.672589633056461,0.507074970249901,0.468125582015911,1.0199592190048,0.492210978206111,0.949689209844425,2.01145644893918,"quantor",3600,"memout" "k_t4p_n-21-shuffled",1,2869,135,3004,9511,24173,48,47,95,2,7331,2178,6652,6397,2.21900956786878,0.32257386184418,59.7708333333333,0.16128693092209,0.16128693092209,3.97436750998668,22.7259259259259,11.362962962963,258.543608521971,1069.95555555556,534.977777777778,996.432554897177,0.506101849170562,0.430438919455591,0.50698886519782,0.874611737779957,0.0634592313738468,1,0.975886872649992,0.914164147056789,0.463471043520855,0.5,0.50698886519782,0.91576635074625,1,0.228998002313111,0.672589633056461,0.507074970249901,0.468125582015911,1.0199592190048,0.492210978206111,0.949689209844425,2.01145644893918,"QuBE",3600,"memout" "k_t4p_n-21-shuffled",1,2869,135,3004,9511,24173,48,47,95,2,7331,2178,6652,6397,2.21900956786878,0.32257386184418,59.7708333333333,0.16128693092209,0.16128693092209,3.97436750998668,22.7259259259259,11.362962962963,258.543608521971,1069.95555555556,534.977777777778,996.432554897177,0.506101849170562,0.430438919455591,0.50698886519782,0.874611737779957,0.0634592313738468,1,0.975886872649992,0.914164147056789,0.463471043520855,0.5,0.50698886519782,0.91576635074625,1,0.228998002313111,0.672589633056461,0.507074970249901,0.468125582015911,1.0199592190048,0.492210978206111,0.949689209844425,2.01145644893918,"sKizzo",2.75,"ok" "k_t4p_n-21-shuffled",1,2869,135,3004,9511,24173,48,47,95,2,7331,2178,6652,6397,2.21900956786878,0.32257386184418,59.7708333333333,0.16128693092209,0.16128693092209,3.97436750998668,22.7259259259259,11.362962962963,258.543608521971,1069.95555555556,534.977777777778,996.432554897177,0.506101849170562,0.430438919455591,0.50698886519782,0.874611737779957,0.0634592313738468,1,0.975886872649992,0.914164147056789,0.463471043520855,0.5,0.50698886519782,0.91576635074625,1,0.228998002313111,0.672589633056461,0.507074970249901,0.468125582015911,1.0199592190048,0.492210978206111,0.949689209844425,2.01145644893918,"sSolve",358.97,"ok" "k_t4p_n-4-shuffled",1,591,33,624,1895,4793,14,13,27,2,1449,444,1314,1297,2.20211081794195,0.327176781002639,42.2142857142857,0.163588390501319,0.163588390501319,3.82211538461538,18.7878787878788,9.39393939393939,69.5849358974359,247.636363636364,123.818181818182,251.825719120135,0.502399332359691,0.432923012726893,0.502755811167026,0.871262458471761,0.0646776549134154,1,0.990448504983389,0.919259368304666,0.4621629893849,0.5,0.502755811167026,0.919911631279829,1,0.234300791556728,0.6844327176781,0.502092721914525,0.476238955111122,0.885967244080698,0.497690896438287,0.956481208594358,1.76454906715721,"X2clsQ",3600,"timeout" "k_t4p_n-4-shuffled",1,591,33,624,1895,4793,14,13,27,2,1449,444,1314,1297,2.20211081794195,0.327176781002639,42.2142857142857,0.163588390501319,0.163588390501319,3.82211538461538,18.7878787878788,9.39393939393939,69.5849358974359,247.636363636364,123.818181818182,251.825719120135,0.502399332359691,0.432923012726893,0.502755811167026,0.871262458471761,0.0646776549134154,1,0.990448504983389,0.919259368304666,0.4621629893849,0.5,0.502755811167026,0.919911631279829,1,0.234300791556728,0.6844327176781,0.502092721914525,0.476238955111122,0.885967244080698,0.497690896438287,0.956481208594358,1.76454906715721,"quantor",0.03,"ok" "k_t4p_n-4-shuffled",1,591,33,624,1895,4793,14,13,27,2,1449,444,1314,1297,2.20211081794195,0.327176781002639,42.2142857142857,0.163588390501319,0.163588390501319,3.82211538461538,18.7878787878788,9.39393939393939,69.5849358974359,247.636363636364,123.818181818182,251.825719120135,0.502399332359691,0.432923012726893,0.502755811167026,0.871262458471761,0.0646776549134154,1,0.990448504983389,0.919259368304666,0.4621629893849,0.5,0.502755811167026,0.919911631279829,1,0.234300791556728,0.6844327176781,0.502092721914525,0.476238955111122,0.885967244080698,0.497690896438287,0.956481208594358,1.76454906715721,"QuBE",3600,"timeout" "k_t4p_n-4-shuffled",1,591,33,624,1895,4793,14,13,27,2,1449,444,1314,1297,2.20211081794195,0.327176781002639,42.2142857142857,0.163588390501319,0.163588390501319,3.82211538461538,18.7878787878788,9.39393939393939,69.5849358974359,247.636363636364,123.818181818182,251.825719120135,0.502399332359691,0.432923012726893,0.502755811167026,0.871262458471761,0.0646776549134154,1,0.990448504983389,0.919259368304666,0.4621629893849,0.5,0.502755811167026,0.919911631279829,1,0.234300791556728,0.6844327176781,0.502092721914525,0.476238955111122,0.885967244080698,0.497690896438287,0.956481208594358,1.76454906715721,"sKizzo",0.16,"ok" "k_t4p_n-4-shuffled",1,591,33,624,1895,4793,14,13,27,2,1449,444,1314,1297,2.20211081794195,0.327176781002639,42.2142857142857,0.163588390501319,0.163588390501319,3.82211538461538,18.7878787878788,9.39393939393939,69.5849358974359,247.636363636364,123.818181818182,251.825719120135,0.502399332359691,0.432923012726893,0.502755811167026,0.871262458471761,0.0646776549134154,1,0.990448504983389,0.919259368304666,0.4621629893849,0.5,0.502755811167026,0.919911631279829,1,0.234300791556728,0.6844327176781,0.502092721914525,0.476238955111122,0.885967244080698,0.497690896438287,0.956481208594358,1.76454906715721,"sSolve",11.64,"ok" "k_t4p_p-12-shuffled",1,931,48,979,3040,7710,19,18,37,2,2335,703,2118,2062,2.20986842105263,0.326315789473684,49,0.163157894736842,0.163157894736842,3.90296220633299,20.6666666666667,10.3333333333333,98.2012257405516,377.75,188.875,366.68313641246,0.504409857328145,0.431258106355383,0.505061030068473,0.872460786834662,0.0643320363164721,1,0.982514785291849,0.916259799025078,0.462767117905938,0.5,0.505061030068473,0.917442653395418,1,0.23125,0.678289473684211,0.504851618751352,0.471576637848265,0.952341987618587,0.49464863943646,0.952394586566271,1.88637998224906,"X2clsQ",3600,"timeout" "k_t4p_p-12-shuffled",1,931,48,979,3040,7710,19,18,37,2,2335,703,2118,2062,2.20986842105263,0.326315789473684,49,0.163157894736842,0.163157894736842,3.90296220633299,20.6666666666667,10.3333333333333,98.2012257405516,377.75,188.875,366.68313641246,0.504409857328145,0.431258106355383,0.505061030068473,0.872460786834662,0.0643320363164721,1,0.982514785291849,0.916259799025078,0.462767117905938,0.5,0.505061030068473,0.917442653395418,1,0.23125,0.678289473684211,0.504851618751352,0.471576637848265,0.952341987618587,0.49464863943646,0.952394586566271,1.88637998224906,"quantor",3600,"memout" "k_t4p_p-12-shuffled",1,931,48,979,3040,7710,19,18,37,2,2335,703,2118,2062,2.20986842105263,0.326315789473684,49,0.163157894736842,0.163157894736842,3.90296220633299,20.6666666666667,10.3333333333333,98.2012257405516,377.75,188.875,366.68313641246,0.504409857328145,0.431258106355383,0.505061030068473,0.872460786834662,0.0643320363164721,1,0.982514785291849,0.916259799025078,0.462767117905938,0.5,0.505061030068473,0.917442653395418,1,0.23125,0.678289473684211,0.504851618751352,0.471576637848265,0.952341987618587,0.49464863943646,0.952394586566271,1.88637998224906,"QuBE",3600,"timeout" "k_t4p_p-12-shuffled",1,931,48,979,3040,7710,19,18,37,2,2335,703,2118,2062,2.20986842105263,0.326315789473684,49,0.163157894736842,0.163157894736842,3.90296220633299,20.6666666666667,10.3333333333333,98.2012257405516,377.75,188.875,366.68313641246,0.504409857328145,0.431258106355383,0.505061030068473,0.872460786834662,0.0643320363164721,1,0.982514785291849,0.916259799025078,0.462767117905938,0.5,0.505061030068473,0.917442653395418,1,0.23125,0.678289473684211,0.504851618751352,0.471576637848265,0.952341987618587,0.49464863943646,0.952394586566271,1.88637998224906,"sKizzo",0.13,"ok" "k_t4p_p-12-shuffled",1,931,48,979,3040,7710,19,18,37,2,2335,703,2118,2062,2.20986842105263,0.326315789473684,49,0.163157894736842,0.163157894736842,3.90296220633299,20.6666666666667,10.3333333333333,98.2012257405516,377.75,188.875,366.68313641246,0.504409857328145,0.431258106355383,0.505061030068473,0.872460786834662,0.0643320363164721,1,0.982514785291849,0.916259799025078,0.462767117905938,0.5,0.505061030068473,0.917442653395418,1,0.23125,0.678289473684211,0.504851618751352,0.471576637848265,0.952341987618587,0.49464863943646,0.952394586566271,1.88637998224906,"sSolve",50.05,"ok" "k_t4p_p-20-shuffled",1,1467,72,1539,4832,12270,27,26,53,2,3719,1111,3374,3262,2.2148178807947,0.324503311258278,54.3333333333333,0.162251655629139,0.162251655629139,3.94346978557505,21.7777777777778,10.8888888888889,142.707602339181,571.833333333333,285.916666666667,542.24812542604,0.505378973105134,0.430725346373268,0.506167071575406,0.873568779229157,0.0638956805215974,1,0.978713110788583,0.915016424917875,0.463151184244079,0.5,0.506167071575407,0.916443320541017,1,0.229925496688742,0.675082781456954,0.506138776831851,0.469537463258595,0.989457831325301,0.49323490491696,0.950747783449133,1.95491409988138,"X2clsQ",3600,"timeout" "k_t4p_p-20-shuffled",1,1467,72,1539,4832,12270,27,26,53,2,3719,1111,3374,3262,2.2148178807947,0.324503311258278,54.3333333333333,0.162251655629139,0.162251655629139,3.94346978557505,21.7777777777778,10.8888888888889,142.707602339181,571.833333333333,285.916666666667,542.24812542604,0.505378973105134,0.430725346373268,0.506167071575406,0.873568779229157,0.0638956805215974,1,0.978713110788583,0.915016424917875,0.463151184244079,0.5,0.506167071575407,0.916443320541017,1,0.229925496688742,0.675082781456954,0.506138776831851,0.469537463258595,0.989457831325301,0.49323490491696,0.950747783449133,1.95491409988138,"quantor",3600,"memout" "k_t4p_p-20-shuffled",1,1467,72,1539,4832,12270,27,26,53,2,3719,1111,3374,3262,2.2148178807947,0.324503311258278,54.3333333333333,0.162251655629139,0.162251655629139,3.94346978557505,21.7777777777778,10.8888888888889,142.707602339181,571.833333333333,285.916666666667,542.24812542604,0.505378973105134,0.430725346373268,0.506167071575406,0.873568779229157,0.0638956805215974,1,0.978713110788583,0.915016424917875,0.463151184244079,0.5,0.506167071575407,0.916443320541017,1,0.229925496688742,0.675082781456954,0.506138776831851,0.469537463258595,0.989457831325301,0.49323490491696,0.950747783449133,1.95491409988138,"QuBE",3600,"memout" "k_t4p_p-20-shuffled",1,1467,72,1539,4832,12270,27,26,53,2,3719,1111,3374,3262,2.2148178807947,0.324503311258278,54.3333333333333,0.162251655629139,0.162251655629139,3.94346978557505,21.7777777777778,10.8888888888889,142.707602339181,571.833333333333,285.916666666667,542.24812542604,0.505378973105134,0.430725346373268,0.506167071575406,0.873568779229157,0.0638956805215974,1,0.978713110788583,0.915016424917875,0.463151184244079,0.5,0.506167071575407,0.916443320541017,1,0.229925496688742,0.675082781456954,0.506138776831851,0.469537463258595,0.989457831325301,0.49323490491696,0.950747783449133,1.95491409988138,"sKizzo",0.35,"ok" "k_t4p_p-20-shuffled",1,1467,72,1539,4832,12270,27,26,53,2,3719,1111,3374,3262,2.2148178807947,0.324503311258278,54.3333333333333,0.162251655629139,0.162251655629139,3.94346978557505,21.7777777777778,10.8888888888889,142.707602339181,571.833333333333,285.916666666667,542.24812542604,0.505378973105134,0.430725346373268,0.506167071575406,0.873568779229157,0.0638956805215974,1,0.978713110788583,0.915016424917875,0.463151184244079,0.5,0.506167071575407,0.916443320541017,1,0.229925496688742,0.675082781456954,0.506138776831851,0.469537463258595,0.989457831325301,0.49323490491696,0.950747783449133,1.95491409988138,"sSolve",127.06,"ok" "k_t4p_p-21-shuffled",1,1534,75,1609,5056,12840,28,27,55,2,3892,1162,3531,3412,2.21518987341772,0.324367088607595,54.7857142857143,0.162183544303797,0.162183544303797,3.94655065257924,21.8666666666667,10.9333333333333,148.257302672467,596,298,564.086049543677,0.505451713395639,0.430685358255452,0.50625,0.873651771956857,0.0638629283489097,1,0.978428351309707,0.914921184206785,0.463178849504685,0.5,0.50625,0.916366167587081,1,0.229825949367089,0.674841772151899,0.50623452752548,0.469382526360034,0.99247799700279,0.493129812780555,0.950618365451336,1.96051028335446,"X2clsQ",3600,"timeout" "k_t4p_p-21-shuffled",1,1534,75,1609,5056,12840,28,27,55,2,3892,1162,3531,3412,2.21518987341772,0.324367088607595,54.7857142857143,0.162183544303797,0.162183544303797,3.94655065257924,21.8666666666667,10.9333333333333,148.257302672467,596,298,564.086049543677,0.505451713395639,0.430685358255452,0.50625,0.873651771956857,0.0638629283489097,1,0.978428351309707,0.914921184206785,0.463178849504685,0.5,0.50625,0.916366167587081,1,0.229825949367089,0.674841772151899,0.50623452752548,0.469382526360034,0.99247799700279,0.493129812780555,0.950618365451336,1.96051028335446,"quantor",3600,"memout" "k_t4p_p-21-shuffled",1,1534,75,1609,5056,12840,28,27,55,2,3892,1162,3531,3412,2.21518987341772,0.324367088607595,54.7857142857143,0.162183544303797,0.162183544303797,3.94655065257924,21.8666666666667,10.9333333333333,148.257302672467,596,298,564.086049543677,0.505451713395639,0.430685358255452,0.50625,0.873651771956857,0.0638629283489097,1,0.978428351309707,0.914921184206785,0.463178849504685,0.5,0.50625,0.916366167587081,1,0.229825949367089,0.674841772151899,0.50623452752548,0.469382526360034,0.99247799700279,0.493129812780555,0.950618365451336,1.96051028335446,"QuBE",3600,"memout" "k_t4p_p-21-shuffled",1,1534,75,1609,5056,12840,28,27,55,2,3892,1162,3531,3412,2.21518987341772,0.324367088607595,54.7857142857143,0.162183544303797,0.162183544303797,3.94655065257924,21.8666666666667,10.9333333333333,148.257302672467,596,298,564.086049543677,0.505451713395639,0.430685358255452,0.50625,0.873651771956857,0.0638629283489097,1,0.978428351309707,0.914921184206785,0.463178849504685,0.5,0.50625,0.916366167587081,1,0.229825949367089,0.674841772151899,0.50623452752548,0.469382526360034,0.99247799700279,0.493129812780555,0.950618365451336,1.96051028335446,"sKizzo",0.39,"ok" "k_t4p_p-21-shuffled",1,1534,75,1609,5056,12840,28,27,55,2,3892,1162,3531,3412,2.21518987341772,0.324367088607595,54.7857142857143,0.162183544303797,0.162183544303797,3.94655065257924,21.8666666666667,10.9333333333333,148.257302672467,596,298,564.086049543677,0.505451713395639,0.430685358255452,0.50625,0.873651771956857,0.0638629283489097,1,0.978428351309707,0.914921184206785,0.463178849504685,0.5,0.50625,0.916366167587081,1,0.229825949367089,0.674841772151899,0.50623452752548,0.469382526360034,0.99247799700279,0.493129812780555,0.950618365451336,1.96051028335446,"sSolve",135.83,"ok" "k_t4p_p-4-shuffled",1,395,24,419,1248,3150,11,10,21,2,951,295,862,862,2.19070512820513,0.333333333333333,35.9090909090909,0.166666666666667,0.166666666666667,3.75417661097852,17.3333333333333,8.66666666666667,52.9522673031026,179.5,89.75,185.169620253165,0.500634920634921,0.433333333333333,0.500731528895391,0.868103994927077,0.066031746031746,1,0.997463538363982,0.920671890697207,0.461009443439823,0.5,0.500731528895391,0.920849554112519,1,0.236378205128205,0.690705128205128,0.499684300726108,0.479190793683959,0.847996437108195,0.500349667815575,0.957776848456703,1.69706439821292,"X2clsQ",1005.92,"ok" "k_t4p_p-4-shuffled",1,395,24,419,1248,3150,11,10,21,2,951,295,862,862,2.19070512820513,0.333333333333333,35.9090909090909,0.166666666666667,0.166666666666667,3.75417661097852,17.3333333333333,8.66666666666667,52.9522673031026,179.5,89.75,185.169620253165,0.500634920634921,0.433333333333333,0.500731528895391,0.868103994927077,0.066031746031746,1,0.997463538363982,0.920671890697207,0.461009443439823,0.5,0.500731528895391,0.920849554112519,1,0.236378205128205,0.690705128205128,0.499684300726108,0.479190793683959,0.847996437108195,0.500349667815575,0.957776848456703,1.69706439821292,"quantor",0.03,"ok" "k_t4p_p-4-shuffled",1,395,24,419,1248,3150,11,10,21,2,951,295,862,862,2.19070512820513,0.333333333333333,35.9090909090909,0.166666666666667,0.166666666666667,3.75417661097852,17.3333333333333,8.66666666666667,52.9522673031026,179.5,89.75,185.169620253165,0.500634920634921,0.433333333333333,0.500731528895391,0.868103994927077,0.066031746031746,1,0.997463538363982,0.920671890697207,0.461009443439823,0.5,0.500731528895391,0.920849554112519,1,0.236378205128205,0.690705128205128,0.499684300726108,0.479190793683959,0.847996437108195,0.500349667815575,0.957776848456703,1.69706439821292,"QuBE",3600,"timeout" "k_t4p_p-4-shuffled",1,395,24,419,1248,3150,11,10,21,2,951,295,862,862,2.19070512820513,0.333333333333333,35.9090909090909,0.166666666666667,0.166666666666667,3.75417661097852,17.3333333333333,8.66666666666667,52.9522673031026,179.5,89.75,185.169620253165,0.500634920634921,0.433333333333333,0.500731528895391,0.868103994927077,0.066031746031746,1,0.997463538363982,0.920671890697207,0.461009443439823,0.5,0.500731528895391,0.920849554112519,1,0.236378205128205,0.690705128205128,0.499684300726108,0.479190793683959,0.847996437108195,0.500349667815575,0.957776848456703,1.69706439821292,"sKizzo",0.02,"ok" "k_t4p_p-4-shuffled",1,395,24,419,1248,3150,11,10,21,2,951,295,862,862,2.19070512820513,0.333333333333333,35.9090909090909,0.166666666666667,0.166666666666667,3.75417661097852,17.3333333333333,8.66666666666667,52.9522673031026,179.5,89.75,185.169620253165,0.500634920634921,0.433333333333333,0.500731528895391,0.868103994927077,0.066031746031746,1,0.997463538363982,0.920671890697207,0.461009443439823,0.5,0.500731528895391,0.920849554112519,1,0.236378205128205,0.690705128205128,0.499684300726108,0.479190793683959,0.847996437108195,0.500349667815575,0.957776848456703,1.69706439821292,"sSolve",4.82,"ok" "k_t4p_p-8-shuffled",1,663,36,699,2144,5430,15,14,29,2,1643,499,1490,1462,2.20429104477612,0.328358208955224,44.2,0.164179104477612,0.164179104477612,3.85836909871245,19.5555555555556,9.77777777777778,75.7811158798283,279.666666666667,139.833333333333,277.571644042232,0.503314917127072,0.431860036832413,0.503808717731697,0.871203805342115,0.0648250460405157,1,0.986827661909989,0.917608726448505,0.462299275851437,0.5,0.503808717731697,0.918508989342592,1,0.232742537313433,0.681902985074627,0.50337514766271,0.473832424173082,0.916385404361441,0.496273060438537,0.954105341170628,1.82048201747034,"X2clsQ",3600,"timeout" "k_t4p_p-8-shuffled",1,663,36,699,2144,5430,15,14,29,2,1643,499,1490,1462,2.20429104477612,0.328358208955224,44.2,0.164179104477612,0.164179104477612,3.85836909871245,19.5555555555556,9.77777777777778,75.7811158798283,279.666666666667,139.833333333333,277.571644042232,0.503314917127072,0.431860036832413,0.503808717731697,0.871203805342115,0.0648250460405157,1,0.986827661909989,0.917608726448505,0.462299275851437,0.5,0.503808717731697,0.918508989342592,1,0.232742537313433,0.681902985074627,0.50337514766271,0.473832424173082,0.916385404361441,0.496273060438537,0.954105341170628,1.82048201747034,"quantor",3600,"memout" "k_t4p_p-8-shuffled",1,663,36,699,2144,5430,15,14,29,2,1643,499,1490,1462,2.20429104477612,0.328358208955224,44.2,0.164179104477612,0.164179104477612,3.85836909871245,19.5555555555556,9.77777777777778,75.7811158798283,279.666666666667,139.833333333333,277.571644042232,0.503314917127072,0.431860036832413,0.503808717731697,0.871203805342115,0.0648250460405157,1,0.986827661909989,0.917608726448505,0.462299275851437,0.5,0.503808717731697,0.918508989342592,1,0.232742537313433,0.681902985074627,0.50337514766271,0.473832424173082,0.916385404361441,0.496273060438537,0.954105341170628,1.82048201747034,"QuBE",3600,"timeout" "k_t4p_p-8-shuffled",1,663,36,699,2144,5430,15,14,29,2,1643,499,1490,1462,2.20429104477612,0.328358208955224,44.2,0.164179104477612,0.164179104477612,3.85836909871245,19.5555555555556,9.77777777777778,75.7811158798283,279.666666666667,139.833333333333,277.571644042232,0.503314917127072,0.431860036832413,0.503808717731697,0.871203805342115,0.0648250460405157,1,0.986827661909989,0.917608726448505,0.462299275851437,0.5,0.503808717731697,0.918508989342592,1,0.232742537313433,0.681902985074627,0.50337514766271,0.473832424173082,0.916385404361441,0.496273060438537,0.954105341170628,1.82048201747034,"sKizzo",0.07,"ok" "k_t4p_p-8-shuffled",1,663,36,699,2144,5430,15,14,29,2,1643,499,1490,1462,2.20429104477612,0.328358208955224,44.2,0.164179104477612,0.164179104477612,3.85836909871245,19.5555555555556,9.77777777777778,75.7811158798283,279.666666666667,139.833333333333,277.571644042232,0.503314917127072,0.431860036832413,0.503808717731697,0.871203805342115,0.0648250460405157,1,0.986827661909989,0.917608726448505,0.462299275851437,0.5,0.503808717731697,0.918508989342592,1,0.232742537313433,0.681902985074627,0.50337514766271,0.473832424173082,0.916385404361441,0.496273060438537,0.954105341170628,1.82048201747034,"sSolve",24.87,"ok" "k3_1_2-shuffled",1,266,3,269,358,962,2,1,3,1,110,247,212,243,2.28491620111732,0.402234636871508,133,0.201117318435754,0.201117318435754,1.85501858736059,48,24,4.62825278810409,96,48,12.890977443609,0.481288981288981,0.443866943866944,0.47799511002445,0.844492440604752,0.0748440748440748,1,1.07775377969762,0.859901833586044,0.411028871555187,0.5,0.47799511002445,0.85401679143864,1,0.689944134078212,0.67877094972067,0.477329974811083,0.467429594136475,5.42065491183879,0.525787965616046,0.894311078902074,11.3562005277045,"X2clsQ",0.07,"ok" "k3_1_2-shuffled",1,266,3,269,358,962,2,1,3,1,110,247,212,243,2.28491620111732,0.402234636871508,133,0.201117318435754,0.201117318435754,1.85501858736059,48,24,4.62825278810409,96,48,12.890977443609,0.481288981288981,0.443866943866944,0.47799511002445,0.844492440604752,0.0748440748440748,1,1.07775377969762,0.859901833586044,0.411028871555187,0.5,0.47799511002445,0.85401679143864,1,0.689944134078212,0.67877094972067,0.477329974811083,0.467429594136475,5.42065491183879,0.525787965616046,0.894311078902074,11.3562005277045,"quantor",0,"ok" "k3_1_2-shuffled",1,266,3,269,358,962,2,1,3,1,110,247,212,243,2.28491620111732,0.402234636871508,133,0.201117318435754,0.201117318435754,1.85501858736059,48,24,4.62825278810409,96,48,12.890977443609,0.481288981288981,0.443866943866944,0.47799511002445,0.844492440604752,0.0748440748440748,1,1.07775377969762,0.859901833586044,0.411028871555187,0.5,0.47799511002445,0.85401679143864,1,0.689944134078212,0.67877094972067,0.477329974811083,0.467429594136475,5.42065491183879,0.525787965616046,0.894311078902074,11.3562005277045,"QuBE",0.42,"ok" "k3_1_2-shuffled",1,266,3,269,358,962,2,1,3,1,110,247,212,243,2.28491620111732,0.402234636871508,133,0.201117318435754,0.201117318435754,1.85501858736059,48,24,4.62825278810409,96,48,12.890977443609,0.481288981288981,0.443866943866944,0.47799511002445,0.844492440604752,0.0748440748440748,1,1.07775377969762,0.859901833586044,0.411028871555187,0.5,0.47799511002445,0.85401679143864,1,0.689944134078212,0.67877094972067,0.477329974811083,0.467429594136475,5.42065491183879,0.525787965616046,0.894311078902074,11.3562005277045,"sKizzo",0.01,"ok" "k3_1_2-shuffled",1,266,3,269,358,962,2,1,3,1,110,247,212,243,2.28491620111732,0.402234636871508,133,0.201117318435754,0.201117318435754,1.85501858736059,48,24,4.62825278810409,96,48,12.890977443609,0.481288981288981,0.443866943866944,0.47799511002445,0.844492440604752,0.0748440748440748,1,1.07775377969762,0.859901833586044,0.411028871555187,0.5,0.47799511002445,0.85401679143864,1,0.689944134078212,0.67877094972067,0.477329974811083,0.467429594136475,5.42065491183879,0.525787965616046,0.894311078902074,11.3562005277045,"sSolve",3600,"timeout" "k4_2_2-shuffled",1,1038,4,1042,1421,3773,2,1,3,1,488,932,850,1004,2.30612244897959,0.349049964813512,519,0.174524982406756,0.174524982406756,1.89731285988484,124,62,4.82437619961612,248,124,19.7080924855491,0.476013782136231,0.458256029684601,0.472383277387855,0.861915367483296,0.0657301881791678,1,1.10077951002227,0.871886597143174,0.411864648269037,0.5,0.472383277387855,0.865236813986122,1,0.655876143560873,0.706544686840253,0.471454105772264,0.478231574068278,13.5851119756072,0.531869937786125,0.904806146998884,28.8153434433541,"X2clsQ",3600,"timeout" "k4_2_2-shuffled",1,1038,4,1042,1421,3773,2,1,3,1,488,932,850,1004,2.30612244897959,0.349049964813512,519,0.174524982406756,0.174524982406756,1.89731285988484,124,62,4.82437619961612,248,124,19.7080924855491,0.476013782136231,0.458256029684601,0.472383277387855,0.861915367483296,0.0657301881791678,1,1.10077951002227,0.871886597143174,0.411864648269037,0.5,0.472383277387855,0.865236813986122,1,0.655876143560873,0.706544686840253,0.471454105772264,0.478231574068278,13.5851119756072,0.531869937786125,0.904806146998884,28.8153434433541,"quantor",0.08,"ok" "k4_2_2-shuffled",1,1038,4,1042,1421,3773,2,1,3,1,488,932,850,1004,2.30612244897959,0.349049964813512,519,0.174524982406756,0.174524982406756,1.89731285988484,124,62,4.82437619961612,248,124,19.7080924855491,0.476013782136231,0.458256029684601,0.472383277387855,0.861915367483296,0.0657301881791678,1,1.10077951002227,0.871886597143174,0.411864648269037,0.5,0.472383277387855,0.865236813986122,1,0.655876143560873,0.706544686840253,0.471454105772264,0.478231574068278,13.5851119756072,0.531869937786125,0.904806146998884,28.8153434433541,"QuBE",3600,"timeout" "k4_2_2-shuffled",1,1038,4,1042,1421,3773,2,1,3,1,488,932,850,1004,2.30612244897959,0.349049964813512,519,0.174524982406756,0.174524982406756,1.89731285988484,124,62,4.82437619961612,248,124,19.7080924855491,0.476013782136231,0.458256029684601,0.472383277387855,0.861915367483296,0.0657301881791678,1,1.10077951002227,0.871886597143174,0.411864648269037,0.5,0.472383277387855,0.865236813986122,1,0.655876143560873,0.706544686840253,0.471454105772264,0.478231574068278,13.5851119756072,0.531869937786125,0.904806146998884,28.8153434433541,"sKizzo",0.14,"ok" "k4_2_2-shuffled",1,1038,4,1042,1421,3773,2,1,3,1,488,932,850,1004,2.30612244897959,0.349049964813512,519,0.174524982406756,0.174524982406756,1.89731285988484,124,62,4.82437619961612,248,124,19.7080924855491,0.476013782136231,0.458256029684601,0.472383277387855,0.861915367483296,0.0657301881791678,1,1.10077951002227,0.871886597143174,0.411864648269037,0.5,0.472383277387855,0.865236813986122,1,0.655876143560873,0.706544686840253,0.471454105772264,0.478231574068278,13.5851119756072,0.531869937786125,0.904806146998884,28.8153434433541,"sSolve",3600,"timeout" "k5_2_2",1,1984,5,1989,2727,7055,2,1,3,1,1124,1602,1682,1991,2.1954528786212,0.391639163916392,992,0.195819581958196,0.195819581958196,1.87581699346405,213.6,106.8,4.8562091503268,427.2,213.6,20.6219758064516,0.47115520907158,0.453153791637137,0.466009687656589,0.839350180505415,0.0756909992912828,1,1.12244283995187,0.850756655654878,0.396460843373494,0.5,0.466009687656589,0.84146547833935,1,0.587458745874587,0.73010634396773,0.466265126816599,0.475915936654979,23.4762888876609,0.538249483115093,0.891671053488473,50.3496563166627,"X2clsQ",3600,"timeout" "k5_2_2",1,1984,5,1989,2727,7055,2,1,3,1,1124,1602,1682,1991,2.1954528786212,0.391639163916392,992,0.195819581958196,0.195819581958196,1.87581699346405,213.6,106.8,4.8562091503268,427.2,213.6,20.6219758064516,0.47115520907158,0.453153791637137,0.466009687656589,0.839350180505415,0.0756909992912828,1,1.12244283995187,0.850756655654878,0.396460843373494,0.5,0.466009687656589,0.84146547833935,1,0.587458745874587,0.73010634396773,0.466265126816599,0.475915936654979,23.4762888876609,0.538249483115093,0.891671053488473,50.3496563166627,"quantor",3600,"timeout" "k5_2_2",1,1984,5,1989,2727,7055,2,1,3,1,1124,1602,1682,1991,2.1954528786212,0.391639163916392,992,0.195819581958196,0.195819581958196,1.87581699346405,213.6,106.8,4.8562091503268,427.2,213.6,20.6219758064516,0.47115520907158,0.453153791637137,0.466009687656589,0.839350180505415,0.0756909992912828,1,1.12244283995187,0.850756655654878,0.396460843373494,0.5,0.466009687656589,0.84146547833935,1,0.587458745874587,0.73010634396773,0.466265126816599,0.475915936654979,23.4762888876609,0.538249483115093,0.891671053488473,50.3496563166627,"QuBE",3600,"timeout" "k5_2_2",1,1984,5,1989,2727,7055,2,1,3,1,1124,1602,1682,1991,2.1954528786212,0.391639163916392,992,0.195819581958196,0.195819581958196,1.87581699346405,213.6,106.8,4.8562091503268,427.2,213.6,20.6219758064516,0.47115520907158,0.453153791637137,0.466009687656589,0.839350180505415,0.0756909992912828,1,1.12244283995187,0.850756655654878,0.396460843373494,0.5,0.466009687656589,0.84146547833935,1,0.587458745874587,0.73010634396773,0.466265126816599,0.475915936654979,23.4762888876609,0.538249483115093,0.891671053488473,50.3496563166627,"sKizzo",3600,"memout" "k5_2_2",1,1984,5,1989,2727,7055,2,1,3,1,1124,1602,1682,1991,2.1954528786212,0.391639163916392,992,0.195819581958196,0.195819581958196,1.87581699346405,213.6,106.8,4.8562091503268,427.2,213.6,20.6219758064516,0.47115520907158,0.453153791637137,0.466009687656589,0.839350180505415,0.0756909992912828,1,1.12244283995187,0.850756655654878,0.396460843373494,0.5,0.466009687656589,0.84146547833935,1,0.587458745874587,0.73010634396773,0.466265126816599,0.475915936654979,23.4762888876609,0.538249483115093,0.891671053488473,50.3496563166627,"sSolve",3600,"timeout" "k5_3_2-shuffled",1,2884,5,2889,3982,10490,2,1,3,1,1454,2527,2392,2866,2.31592164741336,0.318432948267202,1442,0.159216474133601,0.159216474133601,1.91450328833506,253.6,126.8,4.92177223952925,507.2,253.6,31.2964632454924,0.472735938989514,0.466825548141087,0.468987204510952,0.872151643476507,0.0604385128693994,1,1.115345835854,0.880647112566092,0.413012227483013,0.5,0.468987204510952,0.873663695562978,1,0.634605725765947,0.7197388247112,0.467891624878377,0.485497088167572,27.4174986902178,0.535475068221285,0.912402643646801,58.597968487563,"X2clsQ",3600,"timeout" "k5_3_2-shuffled",1,2884,5,2889,3982,10490,2,1,3,1,1454,2527,2392,2866,2.31592164741336,0.318432948267202,1442,0.159216474133601,0.159216474133601,1.91450328833506,253.6,126.8,4.92177223952925,507.2,253.6,31.2964632454924,0.472735938989514,0.466825548141087,0.468987204510952,0.872151643476507,0.0604385128693994,1,1.115345835854,0.880647112566092,0.413012227483013,0.5,0.468987204510952,0.873663695562978,1,0.634605725765947,0.7197388247112,0.467891624878377,0.485497088167572,27.4174986902178,0.535475068221285,0.912402643646801,58.597968487563,"quantor",619.69,"ok" "k5_3_2-shuffled",1,2884,5,2889,3982,10490,2,1,3,1,1454,2527,2392,2866,2.31592164741336,0.318432948267202,1442,0.159216474133601,0.159216474133601,1.91450328833506,253.6,126.8,4.92177223952925,507.2,253.6,31.2964632454924,0.472735938989514,0.466825548141087,0.468987204510952,0.872151643476507,0.0604385128693994,1,1.115345835854,0.880647112566092,0.413012227483013,0.5,0.468987204510952,0.873663695562978,1,0.634605725765947,0.7197388247112,0.467891624878377,0.485497088167572,27.4174986902178,0.535475068221285,0.912402643646801,58.597968487563,"QuBE",3600,"timeout" "k5_3_2-shuffled",1,2884,5,2889,3982,10490,2,1,3,1,1454,2527,2392,2866,2.31592164741336,0.318432948267202,1442,0.159216474133601,0.159216474133601,1.91450328833506,253.6,126.8,4.92177223952925,507.2,253.6,31.2964632454924,0.472735938989514,0.466825548141087,0.468987204510952,0.872151643476507,0.0604385128693994,1,1.115345835854,0.880647112566092,0.413012227483013,0.5,0.468987204510952,0.873663695562978,1,0.634605725765947,0.7197388247112,0.467891624878377,0.485497088167572,27.4174986902178,0.535475068221285,0.912402643646801,58.597968487563,"sKizzo",21.46,"ok" "k5_3_2-shuffled",1,2884,5,2889,3982,10490,2,1,3,1,1454,2527,2392,2866,2.31592164741336,0.318432948267202,1442,0.159216474133601,0.159216474133601,1.91450328833506,253.6,126.8,4.92177223952925,507.2,253.6,31.2964632454924,0.472735938989514,0.466825548141087,0.468987204510952,0.872151643476507,0.0604385128693994,1,1.115345835854,0.880647112566092,0.413012227483013,0.5,0.468987204510952,0.873663695562978,1,0.634605725765947,0.7197388247112,0.467891624878377,0.485497088167572,27.4174986902178,0.535475068221285,0.912402643646801,58.597968487563,"sSolve",3600,"timeout" "ken.flash^10.C-f4-shuffled",1,37630,226,37856,112036,261416,2,1,3,1,74690,37345,81071,56607,2.2931379199543,0.0401835124424292,18815,0.0200917562212146,0.0200917562212146,2.95953085376162,19.9203539823009,9.96017699115044,8.67489961961116,39.8407079646018,19.9203539823009,97.1625298963593,0.571426385531107,0.419962817884139,0.572678016768257,0.98493104833311,0.0086107965847538,1,0.750006694336591,0.988680822986317,0.566195772924612,0.5,0.572678016768257,0.990846392923152,1,0.333330358099182,0.505257238744689,0.572859138478667,0.423815354344744,0.980852521056757,0.426277470249611,0.992214495319545,1.71220541870309,"X2clsQ",2.39,"ok" "ken.flash^10.C-f4-shuffled",1,37630,226,37856,112036,261416,2,1,3,1,74690,37345,81071,56607,2.2931379199543,0.0401835124424292,18815,0.0200917562212146,0.0200917562212146,2.95953085376162,19.9203539823009,9.96017699115044,8.67489961961116,39.8407079646018,19.9203539823009,97.1625298963593,0.571426385531107,0.419962817884139,0.572678016768257,0.98493104833311,0.0086107965847538,1,0.750006694336591,0.988680822986317,0.566195772924612,0.5,0.572678016768257,0.990846392923152,1,0.333330358099182,0.505257238744689,0.572859138478667,0.423815354344744,0.980852521056757,0.426277470249611,0.992214495319545,1.71220541870309,"quantor",0.66,"ok" "ken.flash^10.C-f4-shuffled",1,37630,226,37856,112036,261416,2,1,3,1,74690,37345,81071,56607,2.2931379199543,0.0401835124424292,18815,0.0200917562212146,0.0200917562212146,2.95953085376162,19.9203539823009,9.96017699115044,8.67489961961116,39.8407079646018,19.9203539823009,97.1625298963593,0.571426385531107,0.419962817884139,0.572678016768257,0.98493104833311,0.0086107965847538,1,0.750006694336591,0.988680822986317,0.566195772924612,0.5,0.572678016768257,0.990846392923152,1,0.333330358099182,0.505257238744689,0.572859138478667,0.423815354344744,0.980852521056757,0.426277470249611,0.992214495319545,1.71220541870309,"QuBE",0.9,"ok" "ken.flash^10.C-f4-shuffled",1,37630,226,37856,112036,261416,2,1,3,1,74690,37345,81071,56607,2.2931379199543,0.0401835124424292,18815,0.0200917562212146,0.0200917562212146,2.95953085376162,19.9203539823009,9.96017699115044,8.67489961961116,39.8407079646018,19.9203539823009,97.1625298963593,0.571426385531107,0.419962817884139,0.572678016768257,0.98493104833311,0.0086107965847538,1,0.750006694336591,0.988680822986317,0.566195772924612,0.5,0.572678016768257,0.990846392923152,1,0.333330358099182,0.505257238744689,0.572859138478667,0.423815354344744,0.980852521056757,0.426277470249611,0.992214495319545,1.71220541870309,"sKizzo",0.38,"ok" "ken.flash^10.C-f4-shuffled",1,37630,226,37856,112036,261416,2,1,3,1,74690,37345,81071,56607,2.2931379199543,0.0401835124424292,18815,0.0200917562212146,0.0200917562212146,2.95953085376162,19.9203539823009,9.96017699115044,8.67489961961116,39.8407079646018,19.9203539823009,97.1625298963593,0.571426385531107,0.419962817884139,0.572678016768257,0.98493104833311,0.0086107965847538,1,0.750006694336591,0.988680822986317,0.566195772924612,0.5,0.572678016768257,0.990846392923152,1,0.333330358099182,0.505257238744689,0.572859138478667,0.423815354344744,0.980852521056757,0.426277470249611,0.992214495319545,1.71220541870309,"sSolve",1.12,"ok" "ken.flash^14.C-d4-shuffled",1,10271,199,10470,30061,70141,2,1,3,1,20040,10020,22296,15038,2.24606633179202,0.0872226472838562,5135.5,0.0436113236419281,0.0436113236419281,2.87115568290353,13.1758793969849,6.58793969849246,8.18261700095511,26.3517587939699,13.1758793969849,62.9607633141856,0.571420424573359,0.409888652856389,0.574193930597313,0.967290419161677,0.0186909225702514,1,0.7500249500998,0.981268823033681,0.563438602470309,0.5,0.574193930597313,0.986031612172403,1,0.33332224476897,0.50024949269818,0.574619788382381,0.42035088552852,0.6849591476032,0.423385349490459,0.988176868712409,1.19202150961671,"X2clsQ",3600,"timeout" "ken.flash^14.C-d4-shuffled",1,10271,199,10470,30061,70141,2,1,3,1,20040,10020,22296,15038,2.24606633179202,0.0872226472838562,5135.5,0.0436113236419281,0.0436113236419281,2.87115568290353,13.1758793969849,6.58793969849246,8.18261700095511,26.3517587939699,13.1758793969849,62.9607633141856,0.571420424573359,0.409888652856389,0.574193930597313,0.967290419161677,0.0186909225702514,1,0.7500249500998,0.981268823033681,0.563438602470309,0.5,0.574193930597313,0.986031612172403,1,0.33332224476897,0.50024949269818,0.574619788382381,0.42035088552852,0.6849591476032,0.423385349490459,0.988176868712409,1.19202150961671,"quantor",3600,"memout" "ken.flash^14.C-d4-shuffled",1,10271,199,10470,30061,70141,2,1,3,1,20040,10020,22296,15038,2.24606633179202,0.0872226472838562,5135.5,0.0436113236419281,0.0436113236419281,2.87115568290353,13.1758793969849,6.58793969849246,8.18261700095511,26.3517587939699,13.1758793969849,62.9607633141856,0.571420424573359,0.409888652856389,0.574193930597313,0.967290419161677,0.0186909225702514,1,0.7500249500998,0.981268823033681,0.563438602470309,0.5,0.574193930597313,0.986031612172403,1,0.33332224476897,0.50024949269818,0.574619788382381,0.42035088552852,0.6849591476032,0.423385349490459,0.988176868712409,1.19202150961671,"QuBE",3600,"timeout" "ken.flash^14.C-d4-shuffled",1,10271,199,10470,30061,70141,2,1,3,1,20040,10020,22296,15038,2.24606633179202,0.0872226472838562,5135.5,0.0436113236419281,0.0436113236419281,2.87115568290353,13.1758793969849,6.58793969849246,8.18261700095511,26.3517587939699,13.1758793969849,62.9607633141856,0.571420424573359,0.409888652856389,0.574193930597313,0.967290419161677,0.0186909225702514,1,0.7500249500998,0.981268823033681,0.563438602470309,0.5,0.574193930597313,0.986031612172403,1,0.33332224476897,0.50024949269818,0.574619788382381,0.42035088552852,0.6849591476032,0.423385349490459,0.988176868712409,1.19202150961671,"sKizzo",7.83,"ok" "ken.flash^14.C-d4-shuffled",1,10271,199,10470,30061,70141,2,1,3,1,20040,10020,22296,15038,2.24606633179202,0.0872226472838562,5135.5,0.0436113236419281,0.0436113236419281,2.87115568290353,13.1758793969849,6.58793969849246,8.18261700095511,26.3517587939699,13.1758793969849,62.9607633141856,0.571420424573359,0.409888652856389,0.574193930597313,0.967290419161677,0.0186909225702514,1,0.7500249500998,0.981268823033681,0.563438602470309,0.5,0.574193930597313,0.986031612172403,1,0.33332224476897,0.50024949269818,0.574619788382381,0.42035088552852,0.6849591476032,0.423385349490459,0.988176868712409,1.19202150961671,"sSolve",3600,"timeout" "ken.oop^1.C-d2",1,2152,79,2231,6073,14169,2,1,3,1,4048,2024,4371,3177,2.17141445743455,0.161699324880619,1076,0.0808496624403096,0.0808496624403096,2.72209771402958,12.4303797468354,6.21518987341772,7.18153294486777,24.8607594936709,12.4303797468354,31.7634758364312,0.571388241936622,0.393958642106006,0.576704330021991,0.939352766798419,0.0346531159573717,1,0.750123517786561,0.964859570909948,0.556438692406927,0.5,0.576704330021991,0.973836441787766,1,0.333278445578791,0.523135188539437,0.579618502873036,0.409102378692515,0.727630394227426,0.416055769177571,0.973169327119449,1.25536088068398,"X2clsQ",3600,"timeout" "ken.oop^1.C-d2",1,2152,79,2231,6073,14169,2,1,3,1,4048,2024,4371,3177,2.17141445743455,0.161699324880619,1076,0.0808496624403096,0.0808496624403096,2.72209771402958,12.4303797468354,6.21518987341772,7.18153294486777,24.8607594936709,12.4303797468354,31.7634758364312,0.571388241936622,0.393958642106006,0.576704330021991,0.939352766798419,0.0346531159573717,1,0.750123517786561,0.964859570909948,0.556438692406927,0.5,0.576704330021991,0.973836441787766,1,0.333278445578791,0.523135188539437,0.579618502873036,0.409102378692515,0.727630394227426,0.416055769177571,0.973169327119449,1.25536088068398,"quantor",3600,"memout" "ken.oop^1.C-d2",1,2152,79,2231,6073,14169,2,1,3,1,4048,2024,4371,3177,2.17141445743455,0.161699324880619,1076,0.0808496624403096,0.0808496624403096,2.72209771402958,12.4303797468354,6.21518987341772,7.18153294486777,24.8607594936709,12.4303797468354,31.7634758364312,0.571388241936622,0.393958642106006,0.576704330021991,0.939352766798419,0.0346531159573717,1,0.750123517786561,0.964859570909948,0.556438692406927,0.5,0.576704330021991,0.973836441787766,1,0.333278445578791,0.523135188539437,0.579618502873036,0.409102378692515,0.727630394227426,0.416055769177571,0.973169327119449,1.25536088068398,"QuBE",3600,"timeout" "ken.oop^1.C-d2",1,2152,79,2231,6073,14169,2,1,3,1,4048,2024,4371,3177,2.17141445743455,0.161699324880619,1076,0.0808496624403096,0.0808496624403096,2.72209771402958,12.4303797468354,6.21518987341772,7.18153294486777,24.8607594936709,12.4303797468354,31.7634758364312,0.571388241936622,0.393958642106006,0.576704330021991,0.939352766798419,0.0346531159573717,1,0.750123517786561,0.964859570909948,0.556438692406927,0.5,0.576704330021991,0.973836441787766,1,0.333278445578791,0.523135188539437,0.579618502873036,0.409102378692515,0.727630394227426,0.416055769177571,0.973169327119449,1.25536088068398,"sKizzo",3600,"memout" "ken.oop^1.C-d2",1,2152,79,2231,6073,14169,2,1,3,1,4048,2024,4371,3177,2.17141445743455,0.161699324880619,1076,0.0808496624403096,0.0808496624403096,2.72209771402958,12.4303797468354,6.21518987341772,7.18153294486777,24.8607594936709,12.4303797468354,31.7634758364312,0.571388241936622,0.393958642106006,0.576704330021991,0.939352766798419,0.0346531159573717,1,0.750123517786561,0.964859570909948,0.556438692406927,0.5,0.576704330021991,0.973836441787766,1,0.333278445578791,0.523135188539437,0.579618502873036,0.409102378692515,0.727630394227426,0.416055769177571,0.973169327119449,1.25536088068398,"sSolve",3600,"timeout" "lognBWLARGEB1-shuffled",1,1870,1,1871,178750,362756,2,1,3,140,177570,1040,177966,6768,2.02427972027972,0.00512447552447552,935,0.00290909090909091,0.00221538461538462,6.44254409406734,916,520,18.6114377338322,1832,1040,2495.24278074866,0.966771052718632,0.0317954768494525,0.968124032721645,0.998870836208519,0.00109164286738193,1.31313131313131,0.0343710614709925,0.998008295731024,0.966195815952775,0.567685589519651,0.968124032721645,0.999404991735903,1.31313131313131,0.00581818181818182,0.0378629370629371,0.96777113451678,0.031283032449736,1.37148251670585,0.0313194168888625,0.970652611585828,1.41715584169665,"X2clsQ",0.78,"ok" "lognBWLARGEB1-shuffled",1,1870,1,1871,178750,362756,2,1,3,140,177570,1040,177966,6768,2.02427972027972,0.00512447552447552,935,0.00290909090909091,0.00221538461538462,6.44254409406734,916,520,18.6114377338322,1832,1040,2495.24278074866,0.966771052718632,0.0317954768494525,0.968124032721645,0.998870836208519,0.00109164286738193,1.31313131313131,0.0343710614709925,0.998008295731024,0.966195815952775,0.567685589519651,0.968124032721645,0.999404991735903,1.31313131313131,0.00581818181818182,0.0378629370629371,0.96777113451678,0.031283032449736,1.37148251670585,0.0313194168888625,0.970652611585828,1.41715584169665,"quantor",75.6,"ok" "lognBWLARGEB1-shuffled",1,1870,1,1871,178750,362756,2,1,3,140,177570,1040,177966,6768,2.02427972027972,0.00512447552447552,935,0.00290909090909091,0.00221538461538462,6.44254409406734,916,520,18.6114377338322,1832,1040,2495.24278074866,0.966771052718632,0.0317954768494525,0.968124032721645,0.998870836208519,0.00109164286738193,1.31313131313131,0.0343710614709925,0.998008295731024,0.966195815952775,0.567685589519651,0.968124032721645,0.999404991735903,1.31313131313131,0.00581818181818182,0.0378629370629371,0.96777113451678,0.031283032449736,1.37148251670585,0.0313194168888625,0.970652611585828,1.41715584169665,"QuBE",20.02,"ok" "lognBWLARGEB1-shuffled",1,1870,1,1871,178750,362756,2,1,3,140,177570,1040,177966,6768,2.02427972027972,0.00512447552447552,935,0.00290909090909091,0.00221538461538462,6.44254409406734,916,520,18.6114377338322,1832,1040,2495.24278074866,0.966771052718632,0.0317954768494525,0.968124032721645,0.998870836208519,0.00109164286738193,1.31313131313131,0.0343710614709925,0.998008295731024,0.966195815952775,0.567685589519651,0.968124032721645,0.999404991735903,1.31313131313131,0.00581818181818182,0.0378629370629371,0.96777113451678,0.031283032449736,1.37148251670585,0.0313194168888625,0.970652611585828,1.41715584169665,"sKizzo",1.9,"ok" "lognBWLARGEB1-shuffled",1,1870,1,1871,178750,362756,2,1,3,140,177570,1040,177966,6768,2.02427972027972,0.00512447552447552,935,0.00290909090909091,0.00221538461538462,6.44254409406734,916,520,18.6114377338322,1832,1040,2495.24278074866,0.966771052718632,0.0317954768494525,0.968124032721645,0.998870836208519,0.00109164286738193,1.31313131313131,0.0343710614709925,0.998008295731024,0.966195815952775,0.567685589519651,0.968124032721645,0.999404991735903,1.31313131313131,0.00581818181818182,0.0378629370629371,0.96777113451678,0.031283032449736,1.37148251670585,0.0313194168888625,0.970652611585828,1.41715584169665,"sSolve",15.36,"ok" "lut4_2_f2-shuffled",1,63,7,70,446,2805,2,1,3,0,2,444,14,42,4.06053811659193,2.22869955156951,31.5,1.11434977578475,1.11434977578475,21.1428571428571,142,71,36.2142857142857,284,142,706.460317460317,0.472370766488414,0.350445632798574,0.457205963556046,0.624905660377359,0.177183600713012,1,1.11698113207547,0.717369776193306,0.327985739750446,0.5,0.457205963556046,0.69433962264151,1,0.995515695067265,0.0941704035874439,0.482547458665034,0.349504434011476,2.02898550724638,0.529371350051529,0.675432829278983,4.20473773265651,"X2clsQ",1988.74,"ok" "lut4_2_f2-shuffled",1,63,7,70,446,2805,2,1,3,0,2,444,14,42,4.06053811659193,2.22869955156951,31.5,1.11434977578475,1.11434977578475,21.1428571428571,142,71,36.2142857142857,284,142,706.460317460317,0.472370766488414,0.350445632798574,0.457205963556046,0.624905660377359,0.177183600713012,1,1.11698113207547,0.717369776193306,0.327985739750446,0.5,0.457205963556046,0.69433962264151,1,0.995515695067265,0.0941704035874439,0.482547458665034,0.349504434011476,2.02898550724638,0.529371350051529,0.675432829278983,4.20473773265651,"quantor",2.05,"ok" "lut4_2_f2-shuffled",1,63,7,70,446,2805,2,1,3,0,2,444,14,42,4.06053811659193,2.22869955156951,31.5,1.11434977578475,1.11434977578475,21.1428571428571,142,71,36.2142857142857,284,142,706.460317460317,0.472370766488414,0.350445632798574,0.457205963556046,0.624905660377359,0.177183600713012,1,1.11698113207547,0.717369776193306,0.327985739750446,0.5,0.457205963556046,0.69433962264151,1,0.995515695067265,0.0941704035874439,0.482547458665034,0.349504434011476,2.02898550724638,0.529371350051529,0.675432829278983,4.20473773265651,"QuBE",3600,"timeout" "lut4_2_f2-shuffled",1,63,7,70,446,2805,2,1,3,0,2,444,14,42,4.06053811659193,2.22869955156951,31.5,1.11434977578475,1.11434977578475,21.1428571428571,142,71,36.2142857142857,284,142,706.460317460317,0.472370766488414,0.350445632798574,0.457205963556046,0.624905660377359,0.177183600713012,1,1.11698113207547,0.717369776193306,0.327985739750446,0.5,0.457205963556046,0.69433962264151,1,0.995515695067265,0.0941704035874439,0.482547458665034,0.349504434011476,2.02898550724638,0.529371350051529,0.675432829278983,4.20473773265651,"sKizzo",1.91,"ok" "lut4_2_f2-shuffled",1,63,7,70,446,2805,2,1,3,0,2,444,14,42,4.06053811659193,2.22869955156951,31.5,1.11434977578475,1.11434977578475,21.1428571428571,142,71,36.2142857142857,284,142,706.460317460317,0.472370766488414,0.350445632798574,0.457205963556046,0.624905660377359,0.177183600713012,1,1.11698113207547,0.717369776193306,0.327985739750446,0.5,0.457205963556046,0.69433962264151,1,0.995515695067265,0.0941704035874439,0.482547458665034,0.349504434011476,2.02898550724638,0.529371350051529,0.675432829278983,4.20473773265651,"sSolve",42.39,"ok" "lut4_AND_f1-shuffled",1,67,8,75,675,4679,2,1,3,0,2,673,56,51,3.70814814814815,3.2237037037037,33.5,1.61185185185185,1.61185185185185,30.2266666666667,272,136,52.28,544,272,1212.4776119403,0.515494763838427,0.251976918144903,0.528965241709948,0.548922056384743,0.23252831801667,1,0.939883913764511,0.598817198470141,0.316753484128832,0.5,0.528965241709948,0.614464988490384,1,0.997037037037037,0.0755555555555556,0.526277636824937,0.235998333811191,2.4646611090975,0.444585987261147,0.498178579177563,4.6831955922865,"X2clsQ",3600,"timeout" "lut4_AND_f1-shuffled",1,67,8,75,675,4679,2,1,3,0,2,673,56,51,3.70814814814815,3.2237037037037,33.5,1.61185185185185,1.61185185185185,30.2266666666667,272,136,52.28,544,272,1212.4776119403,0.515494763838427,0.251976918144903,0.528965241709948,0.548922056384743,0.23252831801667,1,0.939883913764511,0.598817198470141,0.316753484128832,0.5,0.528965241709948,0.614464988490384,1,0.997037037037037,0.0755555555555556,0.526277636824937,0.235998333811191,2.4646611090975,0.444585987261147,0.498178579177563,4.6831955922865,"quantor",0.29,"ok" "lut4_AND_f1-shuffled",1,67,8,75,675,4679,2,1,3,0,2,673,56,51,3.70814814814815,3.2237037037037,33.5,1.61185185185185,1.61185185185185,30.2266666666667,272,136,52.28,544,272,1212.4776119403,0.515494763838427,0.251976918144903,0.528965241709948,0.548922056384743,0.23252831801667,1,0.939883913764511,0.598817198470141,0.316753484128832,0.5,0.528965241709948,0.614464988490384,1,0.997037037037037,0.0755555555555556,0.526277636824937,0.235998333811191,2.4646611090975,0.444585987261147,0.498178579177563,4.6831955922865,"QuBE",3600,"timeout" "lut4_AND_f1-shuffled",1,67,8,75,675,4679,2,1,3,0,2,673,56,51,3.70814814814815,3.2237037037037,33.5,1.61185185185185,1.61185185185185,30.2266666666667,272,136,52.28,544,272,1212.4776119403,0.515494763838427,0.251976918144903,0.528965241709948,0.548922056384743,0.23252831801667,1,0.939883913764511,0.598817198470141,0.316753484128832,0.5,0.528965241709948,0.614464988490384,1,0.997037037037037,0.0755555555555556,0.526277636824937,0.235998333811191,2.4646611090975,0.444585987261147,0.498178579177563,4.6831955922865,"sKizzo",0.08,"ok" "lut4_AND_f1-shuffled",1,67,8,75,675,4679,2,1,3,0,2,673,56,51,3.70814814814815,3.2237037037037,33.5,1.61185185185185,1.61185185185185,30.2266666666667,272,136,52.28,544,272,1212.4776119403,0.515494763838427,0.251976918144903,0.528965241709948,0.548922056384743,0.23252831801667,1,0.939883913764511,0.598817198470141,0.316753484128832,0.5,0.528965241709948,0.614464988490384,1,0.997037037037037,0.0755555555555556,0.526277636824937,0.235998333811191,2.4646611090975,0.444585987261147,0.498178579177563,4.6831955922865,"sSolve",10.55,"ok" "lut4_XOR_fOR-shuffled",1,38,5,43,168,796,2,1,3,0,10,158,44,31,3.48809523809524,1.25,19,0.625,0.625,9.6046511627907,42,21,18.6511627906977,84,42,219.578947368421,0.481155778894472,0.386934673366834,0.474402730375427,0.725848563968668,0.131909547738693,1,1.07832898172324,0.833046813012431,0.395199682623645,0.5,0.474402730375427,0.821354953964546,1,0.94047619047619,0.18452380952381,0.470277410832233,0.442466801084614,1.19286657859974,0.541133455210238,0.835280220501378,2.53651685393258,"X2clsQ",0.11,"ok" "lut4_XOR_fOR-shuffled",1,38,5,43,168,796,2,1,3,0,10,158,44,31,3.48809523809524,1.25,19,0.625,0.625,9.6046511627907,42,21,18.6511627906977,84,42,219.578947368421,0.481155778894472,0.386934673366834,0.474402730375427,0.725848563968668,0.131909547738693,1,1.07832898172324,0.833046813012431,0.395199682623645,0.5,0.474402730375427,0.821354953964546,1,0.94047619047619,0.18452380952381,0.470277410832233,0.442466801084614,1.19286657859974,0.541133455210238,0.835280220501378,2.53651685393258,"quantor",0.01,"ok" "lut4_XOR_fOR-shuffled",1,38,5,43,168,796,2,1,3,0,10,158,44,31,3.48809523809524,1.25,19,0.625,0.625,9.6046511627907,42,21,18.6511627906977,84,42,219.578947368421,0.481155778894472,0.386934673366834,0.474402730375427,0.725848563968668,0.131909547738693,1,1.07832898172324,0.833046813012431,0.395199682623645,0.5,0.474402730375427,0.821354953964546,1,0.94047619047619,0.18452380952381,0.470277410832233,0.442466801084614,1.19286657859974,0.541133455210238,0.835280220501378,2.53651685393258,"QuBE",0.13,"ok" "lut4_XOR_fOR-shuffled",1,38,5,43,168,796,2,1,3,0,10,158,44,31,3.48809523809524,1.25,19,0.625,0.625,9.6046511627907,42,21,18.6511627906977,84,42,219.578947368421,0.481155778894472,0.386934673366834,0.474402730375427,0.725848563968668,0.131909547738693,1,1.07832898172324,0.833046813012431,0.395199682623645,0.5,0.474402730375427,0.821354953964546,1,0.94047619047619,0.18452380952381,0.470277410832233,0.442466801084614,1.19286657859974,0.541133455210238,0.835280220501378,2.53651685393258,"sKizzo",0.01,"ok" "lut4_XOR_fOR-shuffled",1,38,5,43,168,796,2,1,3,0,10,158,44,31,3.48809523809524,1.25,19,0.625,0.625,9.6046511627907,42,21,18.6511627906977,84,42,219.578947368421,0.481155778894472,0.386934673366834,0.474402730375427,0.725848563968668,0.131909547738693,1,1.07832898172324,0.833046813012431,0.395199682623645,0.5,0.474402730375427,0.821354953964546,1,0.94047619047619,0.18452380952381,0.470277410832233,0.442466801084614,1.19286657859974,0.541133455210238,0.835280220501378,2.53651685393258,"sSolve",1.15,"ok" "mutex-128-s",1,11058,512,11570,14995,36855,1,1,2,1,8128,6866,10798,11056,1.98299433144381,0.474824941647216,11058,0.237545848616205,0.23727909303101,1.41728608470181,13.90625,6.95703125,2.5267070008643,13.90625,6.95703125,7.8612769035992,0.555067155067155,0.348283814950482,0.568320161425929,0.826074204428802,0.0965404965404965,1.00112422709387,0.801583809942807,0.844166863639945,0.479757048214274,0.500280898876404,0.568320161425929,0.864322530768786,1.00112422709387,0.457885961987329,0.73731243747916,0.560985132902838,0.403373592056258,1.20742545333383,0.431679838574071,0.918815334713903,2.15233057440572,"X2clsQ",3600,"timeout" "mutex-128-s",1,11058,512,11570,14995,36855,1,1,2,1,8128,6866,10798,11056,1.98299433144381,0.474824941647216,11058,0.237545848616205,0.23727909303101,1.41728608470181,13.90625,6.95703125,2.5267070008643,13.90625,6.95703125,7.8612769035992,0.555067155067155,0.348283814950482,0.568320161425929,0.826074204428802,0.0965404965404965,1.00112422709387,0.801583809942807,0.844166863639945,0.479757048214274,0.500280898876404,0.568320161425929,0.864322530768786,1.00112422709387,0.457885961987329,0.73731243747916,0.560985132902838,0.403373592056258,1.20742545333383,0.431679838574071,0.918815334713903,2.15233057440572,"quantor",3600,"memout" "mutex-128-s",1,11058,512,11570,14995,36855,1,1,2,1,8128,6866,10798,11056,1.98299433144381,0.474824941647216,11058,0.237545848616205,0.23727909303101,1.41728608470181,13.90625,6.95703125,2.5267070008643,13.90625,6.95703125,7.8612769035992,0.555067155067155,0.348283814950482,0.568320161425929,0.826074204428802,0.0965404965404965,1.00112422709387,0.801583809942807,0.844166863639945,0.479757048214274,0.500280898876404,0.568320161425929,0.864322530768786,1.00112422709387,0.457885961987329,0.73731243747916,0.560985132902838,0.403373592056258,1.20742545333383,0.431679838574071,0.918815334713903,2.15233057440572,"QuBE",3600,"memout" "mutex-128-s",1,11058,512,11570,14995,36855,1,1,2,1,8128,6866,10798,11056,1.98299433144381,0.474824941647216,11058,0.237545848616205,0.23727909303101,1.41728608470181,13.90625,6.95703125,2.5267070008643,13.90625,6.95703125,7.8612769035992,0.555067155067155,0.348283814950482,0.568320161425929,0.826074204428802,0.0965404965404965,1.00112422709387,0.801583809942807,0.844166863639945,0.479757048214274,0.500280898876404,0.568320161425929,0.864322530768786,1.00112422709387,0.457885961987329,0.73731243747916,0.560985132902838,0.403373592056258,1.20742545333383,0.431679838574071,0.918815334713903,2.15233057440572,"sKizzo",0.11,"ok" "mutex-128-s",1,11058,512,11570,14995,36855,1,1,2,1,8128,6866,10798,11056,1.98299433144381,0.474824941647216,11058,0.237545848616205,0.23727909303101,1.41728608470181,13.90625,6.95703125,2.5267070008643,13.90625,6.95703125,7.8612769035992,0.555067155067155,0.348283814950482,0.568320161425929,0.826074204428802,0.0965404965404965,1.00112422709387,0.801583809942807,0.844166863639945,0.479757048214274,0.500280898876404,0.568320161425929,0.864322530768786,1.00112422709387,0.457885961987329,0.73731243747916,0.560985132902838,0.403373592056258,1.20742545333383,0.431679838574071,0.918815334713903,2.15233057440572,"sSolve",1.37,"ok" "mutex-16-s",1,1314,64,1378,1779,4375,1,1,2,1,960,818,1278,1312,1.98257448004497,0.476672287802136,1314,0.239460370994941,0.237211916807195,1.41509433962264,13.25,6.65625,2.52104499274311,13.25,6.65625,7.68493150684932,0.554285714285714,0.348342857142857,0.567904734902183,0.825979381443299,0.0964571428571429,1.00947867298578,0.804123711340206,0.845437008045227,0.48012767993042,0.502358490566038,0.567904734902183,0.866209731833231,1.00947867298578,0.459808881394042,0.737492973580663,0.560364464692483,0.4045123539616,1.1498592128575,0.432095265097817,0.920108411342708,2.05198453026197,"X2clsQ",3600,"timeout" "mutex-16-s",1,1314,64,1378,1779,4375,1,1,2,1,960,818,1278,1312,1.98257448004497,0.476672287802136,1314,0.239460370994941,0.237211916807195,1.41509433962264,13.25,6.65625,2.52104499274311,13.25,6.65625,7.68493150684932,0.554285714285714,0.348342857142857,0.567904734902183,0.825979381443299,0.0964571428571429,1.00947867298578,0.804123711340206,0.845437008045227,0.48012767993042,0.502358490566038,0.567904734902183,0.866209731833231,1.00947867298578,0.459808881394042,0.737492973580663,0.560364464692483,0.4045123539616,1.1498592128575,0.432095265097817,0.920108411342708,2.05198453026197,"quantor",3600,"memout" "mutex-16-s",1,1314,64,1378,1779,4375,1,1,2,1,960,818,1278,1312,1.98257448004497,0.476672287802136,1314,0.239460370994941,0.237211916807195,1.41509433962264,13.25,6.65625,2.52104499274311,13.25,6.65625,7.68493150684932,0.554285714285714,0.348342857142857,0.567904734902183,0.825979381443299,0.0964571428571429,1.00947867298578,0.804123711340206,0.845437008045227,0.48012767993042,0.502358490566038,0.567904734902183,0.866209731833231,1.00947867298578,0.459808881394042,0.737492973580663,0.560364464692483,0.4045123539616,1.1498592128575,0.432095265097817,0.920108411342708,2.05198453026197,"QuBE",3600,"timeout" "mutex-16-s",1,1314,64,1378,1779,4375,1,1,2,1,960,818,1278,1312,1.98257448004497,0.476672287802136,1314,0.239460370994941,0.237211916807195,1.41509433962264,13.25,6.65625,2.52104499274311,13.25,6.65625,7.68493150684932,0.554285714285714,0.348342857142857,0.567904734902183,0.825979381443299,0.0964571428571429,1.00947867298578,0.804123711340206,0.845437008045227,0.48012767993042,0.502358490566038,0.567904734902183,0.866209731833231,1.00947867298578,0.459808881394042,0.737492973580663,0.560364464692483,0.4045123539616,1.1498592128575,0.432095265097817,0.920108411342708,2.05198453026197,"sKizzo",0.01,"ok" "mutex-16-s",1,1314,64,1378,1779,4375,1,1,2,1,960,818,1278,1312,1.98257448004497,0.476672287802136,1314,0.239460370994941,0.237211916807195,1.41509433962264,13.25,6.65625,2.52104499274311,13.25,6.65625,7.68493150684932,0.554285714285714,0.348342857142857,0.567904734902183,0.825979381443299,0.0964571428571429,1.00947867298578,0.804123711340206,0.845437008045227,0.48012767993042,0.502358490566038,0.567904734902183,0.866209731833231,1.00947867298578,0.459808881394042,0.737492973580663,0.560364464692483,0.4045123539616,1.1498592128575,0.432095265097817,0.920108411342708,2.05198453026197,"sSolve",1.22,"ok" "mutex-2-s",1,96,8,104,127,315,1,1,2,1,64,62,88,94,1.97637795275591,0.503937007874016,96,0.267716535433071,0.236220472440945,1.38461538461538,8,4.25,2.44230769230769,8,4.25,5.14583333333333,0.542857142857143,0.349206349206349,0.561752988047809,0.824561403508772,0.0952380952380952,1.13333333333333,0.842105263157895,0.863227513227513,0.484920634920635,0.53125,0.561752988047809,0.89327485380117,1.13333333333333,0.488188976377953,0.740157480314961,0.551236749116608,0.421083627797409,0.68904593639576,0.438247011952191,0.938320209973753,1.25,"X2clsQ",0,"ok" "mutex-2-s",1,96,8,104,127,315,1,1,2,1,64,62,88,94,1.97637795275591,0.503937007874016,96,0.267716535433071,0.236220472440945,1.38461538461538,8,4.25,2.44230769230769,8,4.25,5.14583333333333,0.542857142857143,0.349206349206349,0.561752988047809,0.824561403508772,0.0952380952380952,1.13333333333333,0.842105263157895,0.863227513227513,0.484920634920635,0.53125,0.561752988047809,0.89327485380117,1.13333333333333,0.488188976377953,0.740157480314961,0.551236749116608,0.421083627797409,0.68904593639576,0.438247011952191,0.938320209973753,1.25,"quantor",0,"ok" "mutex-2-s",1,96,8,104,127,315,1,1,2,1,64,62,88,94,1.97637795275591,0.503937007874016,96,0.267716535433071,0.236220472440945,1.38461538461538,8,4.25,2.44230769230769,8,4.25,5.14583333333333,0.542857142857143,0.349206349206349,0.561752988047809,0.824561403508772,0.0952380952380952,1.13333333333333,0.842105263157895,0.863227513227513,0.484920634920635,0.53125,0.561752988047809,0.89327485380117,1.13333333333333,0.488188976377953,0.740157480314961,0.551236749116608,0.421083627797409,0.68904593639576,0.438247011952191,0.938320209973753,1.25,"QuBE",0.06,"ok" "mutex-2-s",1,96,8,104,127,315,1,1,2,1,64,62,88,94,1.97637795275591,0.503937007874016,96,0.267716535433071,0.236220472440945,1.38461538461538,8,4.25,2.44230769230769,8,4.25,5.14583333333333,0.542857142857143,0.349206349206349,0.561752988047809,0.824561403508772,0.0952380952380952,1.13333333333333,0.842105263157895,0.863227513227513,0.484920634920635,0.53125,0.561752988047809,0.89327485380117,1.13333333333333,0.488188976377953,0.740157480314961,0.551236749116608,0.421083627797409,0.68904593639576,0.438247011952191,0.938320209973753,1.25,"sKizzo",0,"ok" "mutex-2-s",1,96,8,104,127,315,1,1,2,1,64,62,88,94,1.97637795275591,0.503937007874016,96,0.267716535433071,0.236220472440945,1.38461538461538,8,4.25,2.44230769230769,8,4.25,5.14583333333333,0.542857142857143,0.349206349206349,0.561752988047809,0.824561403508772,0.0952380952380952,1.13333333333333,0.842105263157895,0.863227513227513,0.484920634920635,0.53125,0.561752988047809,0.89327485380117,1.13333333333333,0.488188976377953,0.740157480314961,0.551236749116608,0.421083627797409,0.68904593639576,0.438247011952191,0.938320209973753,1.25,"sSolve",0.73,"ok" "mutex-32-s",1,2706,128,2834,3667,9015,1,1,2,1,1984,1682,2638,2704,1.98281974365967,0.475593127897464,2706,0.238341968911917,0.237251158985547,1.41637261820748,13.625,6.828125,2.52434721242061,13.625,6.828125,7.78787878787879,0.554742096505824,0.348308374930671,0.568147435015816,0.826034793041392,0.0965058236272879,1.00459770114943,0.802639472105579,0.844696057609495,0.479911898498806,0.501146788990826,0.568147435015816,0.865108131367075,1.00459770114943,0.458685574038724,0.737387510226343,0.56072700478939,0.40384737742636,1.18275474333784,0.431852564984184,0.919353982214943,2.1093236695138,"X2clsQ",3600,"timeout" "mutex-32-s",1,2706,128,2834,3667,9015,1,1,2,1,1984,1682,2638,2704,1.98281974365967,0.475593127897464,2706,0.238341968911917,0.237251158985547,1.41637261820748,13.625,6.828125,2.52434721242061,13.625,6.828125,7.78787878787879,0.554742096505824,0.348308374930671,0.568147435015816,0.826034793041392,0.0965058236272879,1.00459770114943,0.802639472105579,0.844696057609495,0.479911898498806,0.501146788990826,0.568147435015816,0.865108131367075,1.00459770114943,0.458685574038724,0.737387510226343,0.56072700478939,0.40384737742636,1.18275474333784,0.431852564984184,0.919353982214943,2.1093236695138,"quantor",3600,"memout" "mutex-32-s",1,2706,128,2834,3667,9015,1,1,2,1,1984,1682,2638,2704,1.98281974365967,0.475593127897464,2706,0.238341968911917,0.237251158985547,1.41637261820748,13.625,6.828125,2.52434721242061,13.625,6.828125,7.78787878787879,0.554742096505824,0.348308374930671,0.568147435015816,0.826034793041392,0.0965058236272879,1.00459770114943,0.802639472105579,0.844696057609495,0.479911898498806,0.501146788990826,0.568147435015816,0.865108131367075,1.00459770114943,0.458685574038724,0.737387510226343,0.56072700478939,0.40384737742636,1.18275474333784,0.431852564984184,0.919353982214943,2.1093236695138,"QuBE",3600,"timeout" "mutex-32-s",1,2706,128,2834,3667,9015,1,1,2,1,1984,1682,2638,2704,1.98281974365967,0.475593127897464,2706,0.238341968911917,0.237251158985547,1.41637261820748,13.625,6.828125,2.52434721242061,13.625,6.828125,7.78787878787879,0.554742096505824,0.348308374930671,0.568147435015816,0.826034793041392,0.0965058236272879,1.00459770114943,0.802639472105579,0.844696057609495,0.479911898498806,0.501146788990826,0.568147435015816,0.865108131367075,1.00459770114943,0.458685574038724,0.737387510226343,0.56072700478939,0.40384737742636,1.18275474333784,0.431852564984184,0.919353982214943,2.1093236695138,"sKizzo",0.01,"ok" "mutex-32-s",1,2706,128,2834,3667,9015,1,1,2,1,1984,1682,2638,2704,1.98281974365967,0.475593127897464,2706,0.238341968911917,0.237251158985547,1.41637261820748,13.625,6.828125,2.52434721242061,13.625,6.828125,7.78787878787879,0.554742096505824,0.348308374930671,0.568147435015816,0.826034793041392,0.0965058236272879,1.00459770114943,0.802639472105579,0.844696057609495,0.479911898498806,0.501146788990826,0.568147435015816,0.865108131367075,1.00459770114943,0.458685574038724,0.737387510226343,0.56072700478939,0.40384737742636,1.18275474333784,0.431852564984184,0.919353982214943,2.1093236695138,"sSolve",0.76,"ok" "mutex-4-s",1,270,16,286,363,895,1,1,2,1,192,170,258,268,1.98071625344353,0.484848484848485,270,0.247933884297521,0.236914600550964,1.40559440559441,11,5.625,2.4965034965035,11,5.625,6.91111111111111,0.550837988826816,0.348603351955307,0.566063977746871,0.825557809330629,0.0960893854748603,1.04651162790698,0.815415821501014,0.850957997103249,0.481696668735775,0.511363636363636,0.566063977746871,0.874479753587259,1.04651162790698,0.46831955922865,0.738292011019284,0.557620817843866,0.40952774335674,0.952447335811648,0.433936022253129,0.925739184562714,1.70805555555556,"X2clsQ",0.03,"ok" "mutex-4-s",1,270,16,286,363,895,1,1,2,1,192,170,258,268,1.98071625344353,0.484848484848485,270,0.247933884297521,0.236914600550964,1.40559440559441,11,5.625,2.4965034965035,11,5.625,6.91111111111111,0.550837988826816,0.348603351955307,0.566063977746871,0.825557809330629,0.0960893854748603,1.04651162790698,0.815415821501014,0.850957997103249,0.481696668735775,0.511363636363636,0.566063977746871,0.874479753587259,1.04651162790698,0.46831955922865,0.738292011019284,0.557620817843866,0.40952774335674,0.952447335811648,0.433936022253129,0.925739184562714,1.70805555555556,"quantor",0,"ok" "mutex-4-s",1,270,16,286,363,895,1,1,2,1,192,170,258,268,1.98071625344353,0.484848484848485,270,0.247933884297521,0.236914600550964,1.40559440559441,11,5.625,2.4965034965035,11,5.625,6.91111111111111,0.550837988826816,0.348603351955307,0.566063977746871,0.825557809330629,0.0960893854748603,1.04651162790698,0.815415821501014,0.850957997103249,0.481696668735775,0.511363636363636,0.566063977746871,0.874479753587259,1.04651162790698,0.46831955922865,0.738292011019284,0.557620817843866,0.40952774335674,0.952447335811648,0.433936022253129,0.925739184562714,1.70805555555556,"QuBE",0.09,"ok" "mutex-4-s",1,270,16,286,363,895,1,1,2,1,192,170,258,268,1.98071625344353,0.484848484848485,270,0.247933884297521,0.236914600550964,1.40559440559441,11,5.625,2.4965034965035,11,5.625,6.91111111111111,0.550837988826816,0.348603351955307,0.566063977746871,0.825557809330629,0.0960893854748603,1.04651162790698,0.815415821501014,0.850957997103249,0.481696668735775,0.511363636363636,0.566063977746871,0.874479753587259,1.04651162790698,0.46831955922865,0.738292011019284,0.557620817843866,0.40952774335674,0.952447335811648,0.433936022253129,0.925739184562714,1.70805555555556,"sKizzo",0,"ok" "mutex-4-s",1,270,16,286,363,895,1,1,2,1,192,170,258,268,1.98071625344353,0.484848484848485,270,0.247933884297521,0.236914600550964,1.40559440559441,11,5.625,2.4965034965035,11,5.625,6.91111111111111,0.550837988826816,0.348603351955307,0.566063977746871,0.825557809330629,0.0960893854748603,1.04651162790698,0.815415821501014,0.850957997103249,0.481696668735775,0.511363636363636,0.566063977746871,0.874479753587259,1.04651162790698,0.46831955922865,0.738292011019284,0.557620817843866,0.40952774335674,0.952447335811648,0.433936022253129,0.925739184562714,1.70805555555556,"sSolve",0.76,"ok" "mutex-64-s",1,5490,256,5746,7443,18295,1,1,2,1,4032,3410,5358,5488,1.98293698777375,0.475077253795513,5490,0.237807335751713,0.2372699180438,1.41698572920292,13.8125,6.9140625,2.52593108249217,13.8125,6.9140625,7.83715846994536,0.554960371686253,0.34829188302815,0.568263432481875,0.826061262680981,0.0965291063132003,1.00226500566251,0.801930463902295,0.84434083983849,0.47980802383125,0.500565610859729,0.568263432481875,0.864580694966287,1.00226500566251,0.458148595996238,0.737337095257289,0.56090034489018,0.403529247222875,1.19920196874811,0.431736567518125,0.918992403038784,2.13799470738943,"X2clsQ",3600,"timeout" "mutex-64-s",1,5490,256,5746,7443,18295,1,1,2,1,4032,3410,5358,5488,1.98293698777375,0.475077253795513,5490,0.237807335751713,0.2372699180438,1.41698572920292,13.8125,6.9140625,2.52593108249217,13.8125,6.9140625,7.83715846994536,0.554960371686253,0.34829188302815,0.568263432481875,0.826061262680981,0.0965291063132003,1.00226500566251,0.801930463902295,0.84434083983849,0.47980802383125,0.500565610859729,0.568263432481875,0.864580694966287,1.00226500566251,0.458148595996238,0.737337095257289,0.56090034489018,0.403529247222875,1.19920196874811,0.431736567518125,0.918992403038784,2.13799470738943,"quantor",3600,"memout" "mutex-64-s",1,5490,256,5746,7443,18295,1,1,2,1,4032,3410,5358,5488,1.98293698777375,0.475077253795513,5490,0.237807335751713,0.2372699180438,1.41698572920292,13.8125,6.9140625,2.52593108249217,13.8125,6.9140625,7.83715846994536,0.554960371686253,0.34829188302815,0.568263432481875,0.826061262680981,0.0965291063132003,1.00226500566251,0.801930463902295,0.84434083983849,0.47980802383125,0.500565610859729,0.568263432481875,0.864580694966287,1.00226500566251,0.458148595996238,0.737337095257289,0.56090034489018,0.403529247222875,1.19920196874811,0.431736567518125,0.918992403038784,2.13799470738943,"QuBE",3600,"timeout" "mutex-64-s",1,5490,256,5746,7443,18295,1,1,2,1,4032,3410,5358,5488,1.98293698777375,0.475077253795513,5490,0.237807335751713,0.2372699180438,1.41698572920292,13.8125,6.9140625,2.52593108249217,13.8125,6.9140625,7.83715846994536,0.554960371686253,0.34829188302815,0.568263432481875,0.826061262680981,0.0965291063132003,1.00226500566251,0.801930463902295,0.84434083983849,0.47980802383125,0.500565610859729,0.568263432481875,0.864580694966287,1.00226500566251,0.458148595996238,0.737337095257289,0.56090034489018,0.403529247222875,1.19920196874811,0.431736567518125,0.918992403038784,2.13799470738943,"sKizzo",0.04,"ok" "mutex-64-s",1,5490,256,5746,7443,18295,1,1,2,1,4032,3410,5358,5488,1.98293698777375,0.475077253795513,5490,0.237807335751713,0.2372699180438,1.41698572920292,13.8125,6.9140625,2.52593108249217,13.8125,6.9140625,7.83715846994536,0.554960371686253,0.34829188302815,0.568263432481875,0.826061262680981,0.0965291063132003,1.00226500566251,0.801930463902295,0.84434083983849,0.47980802383125,0.500565610859729,0.568263432481875,0.864580694966287,1.00226500566251,0.458148595996238,0.737337095257289,0.56090034489018,0.403529247222875,1.19920196874811,0.431736567518125,0.918992403038784,2.13799470738943,"sSolve",0.76,"ok" "mutex-8-s",1,618,32,650,835,2055,1,1,2,1,448,386,598,616,1.98203592814371,0.479041916167665,618,0.241916167664671,0.237125748502994,1.41230769230769,12.5,6.3125,2.51384615384615,12.5,6.3125,7.45954692556634,0.553284671532847,0.348418491484185,0.567371601208459,0.825857519788918,0.0963503649635036,1.02020202020202,0.807387862796834,0.847053913810345,0.480594335388468,0.505,0.567371601208459,0.868620368710027,1.02020202020202,0.462275449101796,0.737724550898204,0.559568733153639,0.405970045098091,1.08406334231806,0.432628398791541,0.921755732750254,1.93731936416185,"X2clsQ",9.82,"ok" "mutex-8-s",1,618,32,650,835,2055,1,1,2,1,448,386,598,616,1.98203592814371,0.479041916167665,618,0.241916167664671,0.237125748502994,1.41230769230769,12.5,6.3125,2.51384615384615,12.5,6.3125,7.45954692556634,0.553284671532847,0.348418491484185,0.567371601208459,0.825857519788918,0.0963503649635036,1.02020202020202,0.807387862796834,0.847053913810345,0.480594335388468,0.505,0.567371601208459,0.868620368710027,1.02020202020202,0.462275449101796,0.737724550898204,0.559568733153639,0.405970045098091,1.08406334231806,0.432628398791541,0.921755732750254,1.93731936416185,"quantor",0.52,"ok" "mutex-8-s",1,618,32,650,835,2055,1,1,2,1,448,386,598,616,1.98203592814371,0.479041916167665,618,0.241916167664671,0.237125748502994,1.41230769230769,12.5,6.3125,2.51384615384615,12.5,6.3125,7.45954692556634,0.553284671532847,0.348418491484185,0.567371601208459,0.825857519788918,0.0963503649635036,1.02020202020202,0.807387862796834,0.847053913810345,0.480594335388468,0.505,0.567371601208459,0.868620368710027,1.02020202020202,0.462275449101796,0.737724550898204,0.559568733153639,0.405970045098091,1.08406334231806,0.432628398791541,0.921755732750254,1.93731936416185,"QuBE",12.21,"ok" "mutex-8-s",1,618,32,650,835,2055,1,1,2,1,448,386,598,616,1.98203592814371,0.479041916167665,618,0.241916167664671,0.237125748502994,1.41230769230769,12.5,6.3125,2.51384615384615,12.5,6.3125,7.45954692556634,0.553284671532847,0.348418491484185,0.567371601208459,0.825857519788918,0.0963503649635036,1.02020202020202,0.807387862796834,0.847053913810345,0.480594335388468,0.505,0.567371601208459,0.868620368710027,1.02020202020202,0.462275449101796,0.737724550898204,0.559568733153639,0.405970045098091,1.08406334231806,0.432628398791541,0.921755732750254,1.93731936416185,"sKizzo",0,"ok" "mutex-8-s",1,618,32,650,835,2055,1,1,2,1,448,386,598,616,1.98203592814371,0.479041916167665,618,0.241916167664671,0.237125748502994,1.41230769230769,12.5,6.3125,2.51384615384615,12.5,6.3125,7.45954692556634,0.553284671532847,0.348418491484185,0.567371601208459,0.825857519788918,0.0963503649635036,1.02020202020202,0.807387862796834,0.847053913810345,0.480594335388468,0.505,0.567371601208459,0.868620368710027,1.02020202020202,0.462275449101796,0.737724550898204,0.559568733153639,0.405970045098091,1.08406334231806,0.432628398791541,0.921755732750254,1.93731936416185,"sSolve",0.74,"ok" "ncf_16_32_8_d.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,15.4299913569576,42.8780991735537,20.4442148760331,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.657351515791292,0.313198769383993,0.49818151362689,0.309286998202516,0.914052691951273,0.757861664055342,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,15.4299913569576,42.8780991735537,20.4442148760331,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.657351515791292,0.313198769383993,0.49818151362689,0.309286998202516,0.914052691951273,0.757861664055342,"quantor",3600,"memout" "ncf_16_32_8_d.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,15.4299913569576,42.8780991735537,20.4442148760331,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.657351515791292,0.313198769383993,0.49818151362689,0.309286998202516,0.914052691951273,0.757861664055342,"QuBE",3600,"timeout" "ncf_16_32_8_d.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,15.4299913569576,42.8780991735537,20.4442148760331,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.657351515791292,0.313198769383993,0.49818151362689,0.309286998202516,0.914052691951273,0.757861664055342,"sKizzo",3600,"memout" "ncf_16_32_8_d.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,15.4299913569576,42.8780991735537,20.4442148760331,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.657351515791292,0.313198769383993,0.49818151362689,0.309286998202516,0.914052691951273,0.757861664055342,"sSolve",3600,"timeout" "ncf_16_32_8_d.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,15.4299913569576,42.8780991735537,19.5144628099174,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.657351515791292,0.31865942208538,0.518828196361473,0.31467944877172,0.929989294484326,0.789270556008271,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,15.4299913569576,42.8780991735537,19.5144628099174,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.657351515791292,0.31865942208538,0.518828196361473,0.31467944877172,0.929989294484326,0.789270556008271,"quantor",3600,"memout" "ncf_16_32_8_d.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,15.4299913569576,42.8780991735537,19.5144628099174,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.657351515791292,0.31865942208538,0.518828196361473,0.31467944877172,0.929989294484326,0.789270556008271,"QuBE",3600,"timeout" "ncf_16_32_8_d.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,15.4299913569576,42.8780991735537,19.5144628099174,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.657351515791292,0.31865942208538,0.518828196361473,0.31467944877172,0.929989294484326,0.789270556008271,"sKizzo",3600,"memout" "ncf_16_32_8_d.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,15.4299913569576,42.8780991735537,19.5144628099174,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.657351515791292,0.31865942208538,0.518828196361473,0.31467944877172,0.929989294484326,0.789270556008271,"sSolve",15.22,"ok" "ncf_16_32_8_d.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,15.4688850475367,43.0640495867769,19.7004132231405,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.65740838222486,0.317805458874294,0.517437808499512,0.313964610234338,0.927651005994213,0.787087330326324,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,15.4688850475367,43.0640495867769,19.7004132231405,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.65740838222486,0.317805458874294,0.517437808499512,0.313964610234338,0.927651005994213,0.787087330326324,"quantor",3600,"memout" "ncf_16_32_8_d.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,15.4688850475367,43.0640495867769,19.7004132231405,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.65740838222486,0.317805458874294,0.517437808499512,0.313964610234338,0.927651005994213,0.787087330326324,"QuBE",3600,"timeout" "ncf_16_32_8_d.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,15.4688850475367,43.0640495867769,19.7004132231405,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.65740838222486,0.317805458874294,0.517437808499512,0.313964610234338,0.927651005994213,0.787087330326324,"sKizzo",3600,"memout" "ncf_16_32_8_d.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,15.4688850475367,43.0640495867769,19.7004132231405,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.65740838222486,0.317805458874294,0.517437808499512,0.313964610234338,0.927651005994213,0.787087330326324,"sSolve",3600,"timeout" "ncf_16_32_8_d.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,15.5077787381158,43.25,18.2128099173554,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.657464944684669,0.326732694441354,0.553020225186752,0.322915424072528,0.953866441904962,0.841140245814914,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,15.5077787381158,43.25,18.2128099173554,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.657464944684669,0.326732694441354,0.553020225186752,0.322915424072528,0.953866441904962,0.841140245814914,"quantor",3600,"memout" "ncf_16_32_8_d.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,15.5077787381158,43.25,18.2128099173554,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.657464944684669,0.326732694441354,0.553020225186752,0.322915424072528,0.953866441904962,0.841140245814914,"QuBE",3600,"timeout" "ncf_16_32_8_d.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,15.5077787381158,43.25,18.2128099173554,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.657464944684669,0.326732694441354,0.553020225186752,0.322915424072528,0.953866441904962,0.841140245814914,"sKizzo",0.02,"ok" "ncf_16_32_8_d.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,15.5077787381158,43.25,18.2128099173554,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.657464944684669,0.326732694441354,0.553020225186752,0.322915424072528,0.953866441904962,0.841140245814914,"sSolve",10.01,"ok" "ncf_16_32_8_d.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,15.5077787381158,43.25,18.9566115702479,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.657464944684669,0.322387523772758,0.536591170799293,0.318621018728379,0.941181110575602,0.81615175856509,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,15.5077787381158,43.25,18.9566115702479,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.657464944684669,0.322387523772758,0.536591170799293,0.318621018728379,0.941181110575602,0.81615175856509,"quantor",0.01,"ok" "ncf_16_32_8_d.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,15.5077787381158,43.25,18.9566115702479,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.657464944684669,0.322387523772758,0.536591170799293,0.318621018728379,0.941181110575602,0.81615175856509,"QuBE",3600,"timeout" "ncf_16_32_8_d.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,15.5077787381158,43.25,18.9566115702479,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.657464944684669,0.322387523772758,0.536591170799293,0.318621018728379,0.941181110575602,0.81615175856509,"sKizzo",3600,"memout" "ncf_16_32_8_d.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,15.5077787381158,43.25,18.9566115702479,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.657464944684669,0.322387523772758,0.536591170799293,0.318621018728379,0.941181110575602,0.81615175856509,"sSolve",0.99,"ok" "ncf_16_32_8_d.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,15.3522039757995,42.5061983471074,21.1880165289256,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.657236861148365,0.308302844321267,0.475963381292251,0.304201276032262,0.899463242617567,0.724188507109321,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,15.3522039757995,42.5061983471074,21.1880165289256,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.657236861148365,0.308302844321267,0.475963381292251,0.304201276032262,0.899463242617567,0.724188507109321,"quantor",3600,"memout" "ncf_16_32_8_d.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,15.3522039757995,42.5061983471074,21.1880165289256,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.657236861148365,0.308302844321267,0.475963381292251,0.304201276032262,0.899463242617567,0.724188507109321,"QuBE",3600,"timeout" "ncf_16_32_8_d.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,15.3522039757995,42.5061983471074,21.1880165289256,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.657236861148365,0.308302844321267,0.475963381292251,0.304201276032262,0.899463242617567,0.724188507109321,"sKizzo",3600,"memout" "ncf_16_32_8_d.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,15.3522039757995,42.5061983471074,21.1880165289256,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.657236861148365,0.308302844321267,0.475963381292251,0.304201276032262,0.899463242617567,0.724188507109321,"sSolve",3600,"timeout" "ncf_16_32_8_d.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,15.3133102852204,42.3202479338843,20.0723140495868,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.657179067945009,0.314643035587509,0.498067190467232,0.310328185328185,0.917805787707964,0.757886571196921,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,15.3133102852204,42.3202479338843,20.0723140495868,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.657179067945009,0.314643035587509,0.498067190467232,0.310328185328185,0.917805787707964,0.757886571196921,"quantor",3600,"memout" "ncf_16_32_8_d.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,15.3133102852204,42.3202479338843,20.0723140495868,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.657179067945009,0.314643035587509,0.498067190467232,0.310328185328185,0.917805787707964,0.757886571196921,"QuBE",3600,"timeout" "ncf_16_32_8_d.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,15.3133102852204,42.3202479338843,20.0723140495868,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.657179067945009,0.314643035587509,0.498067190467232,0.310328185328185,0.917805787707964,0.757886571196921,"sKizzo",3600,"memout" "ncf_16_32_8_d.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,15.3133102852204,42.3202479338843,20.0723140495868,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.657179067945009,0.314643035587509,0.498067190467232,0.310328185328185,0.917805787707964,0.757886571196921,"sSolve",1313.09,"ok" "ncf_16_32_8_d.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,15.3522039757995,42.5061983471074,18.5847107438017,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.657236861148365,0.323675285312355,0.534086453634588,0.31936920669315,0.944311825351961,0.812624010012766,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,15.3522039757995,42.5061983471074,18.5847107438017,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.657236861148365,0.323675285312355,0.534086453634588,0.31936920669315,0.944311825351961,0.812624010012766,"quantor",3600,"memout" "ncf_16_32_8_d.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,15.3522039757995,42.5061983471074,18.5847107438017,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.657236861148365,0.323675285312355,0.534086453634588,0.31936920669315,0.944311825351961,0.812624010012766,"QuBE",3600,"timeout" "ncf_16_32_8_d.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,15.3522039757995,42.5061983471074,18.5847107438017,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.657236861148365,0.323675285312355,0.534086453634588,0.31936920669315,0.944311825351961,0.812624010012766,"sKizzo",3600,"memout" "ncf_16_32_8_d.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,15.3522039757995,42.5061983471074,18.5847107438017,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.657236861148365,0.323675285312355,0.534086453634588,0.31936920669315,0.944311825351961,0.812624010012766,"sSolve",1660.17,"ok" "ncf_16_32_8_d.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,15.3133102852204,42.3202479338843,18.0268595041322,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.657179067945009,0.326754101109734,0.543859029115315,0.322273166023166,0.953133459940891,0.82756596435117,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,15.3133102852204,42.3202479338843,18.0268595041322,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.657179067945009,0.326754101109734,0.543859029115315,0.322273166023166,0.953133459940891,0.82756596435117,"quantor",2.54,"ok" "ncf_16_32_8_d.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,15.3133102852204,42.3202479338843,18.0268595041322,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.657179067945009,0.326754101109734,0.543859029115315,0.322273166023166,0.953133459940891,0.82756596435117,"QuBE",3600,"timeout" "ncf_16_32_8_d.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,15.3133102852204,42.3202479338843,18.0268595041322,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.657179067945009,0.326754101109734,0.543859029115315,0.322273166023166,0.953133459940891,0.82756596435117,"sKizzo",1.01,"ok" "ncf_16_32_8_d.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,15.3133102852204,42.3202479338843,18.0268595041322,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.657179067945009,0.326754101109734,0.543859029115315,0.322273166023166,0.953133459940891,0.82756596435117,"sSolve",3600,"timeout" "ncf_16_32_8_d.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,15.5077787381158,43.25,20.6301652892562,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.657464944684669,0.312610889768418,0.499625798427509,0.308958606704044,0.912639115084541,0.759927662252986,"X2clsQ",3600,"timeout" "ncf_16_32_8_d.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,15.5077787381158,43.25,20.6301652892562,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.657464944684669,0.312610889768418,0.499625798427509,0.308958606704044,0.912639115084541,0.759927662252986,"quantor",3600,"memout" "ncf_16_32_8_d.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,15.5077787381158,43.25,20.6301652892562,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.657464944684669,0.312610889768418,0.499625798427509,0.308958606704044,0.912639115084541,0.759927662252986,"QuBE",3600,"timeout" "ncf_16_32_8_d.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,15.5077787381158,43.25,20.6301652892562,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.657464944684669,0.312610889768418,0.499625798427509,0.308958606704044,0.912639115084541,0.759927662252986,"sKizzo",3600,"memout" "ncf_16_32_8_d.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,15.5077787381158,43.25,20.6301652892562,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.657464944684669,0.312610889768418,0.499625798427509,0.308958606704044,0.912639115084541,0.759927662252986,"sSolve",3600,"timeout" "ncf_16_32_8_edau.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.5466724286949,33.9690082644628,16.2210743801653,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.663022694737263,0.326718636975505,0.411135327589717,0.309286998202516,0.969556797662583,0.620092390280303,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.5466724286949,33.9690082644628,16.2210743801653,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.663022694737263,0.326718636975505,0.411135327589717,0.309286998202516,0.969556797662583,0.620092390280303,"quantor",3600,"memout" "ncf_16_32_8_edau.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.5466724286949,33.9690082644628,16.2210743801653,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.663022694737263,0.326718636975505,0.411135327589717,0.309286998202516,0.969556797662583,0.620092390280303,"QuBE",3600,"timeout" "ncf_16_32_8_edau.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.5466724286949,33.9690082644628,16.2210743801653,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.663022694737263,0.326718636975505,0.411135327589717,0.309286998202516,0.969556797662583,0.620092390280303,"sKizzo",3600,"memout" "ncf_16_32_8_edau.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.5466724286949,33.9690082644628,16.2210743801653,46.3846994535519,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.663022694737263,0.326718636975505,0.411135327589717,0.309286998202516,0.969556797662583,0.620092390280303,"sSolve",3600,"timeout" "ncf_16_32_8_edau.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,14.6158167675022,33.9690082644628,15.6219008264463,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.661420948834229,0.332415009956481,0.425015332828486,0.31467944877172,0.981794380992958,0.642579182860152,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,14.6158167675022,33.9690082644628,15.6219008264463,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.661420948834229,0.332415009956481,0.425015332828486,0.31467944877172,0.981794380992958,0.642579182860152,"quantor",3600,"memout" "ncf_16_32_8_edau.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,14.6158167675022,33.9690082644628,15.6219008264463,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.661420948834229,0.332415009956481,0.425015332828486,0.31467944877172,0.981794380992958,0.642579182860152,"QuBE",3600,"timeout" "ncf_16_32_8_edau.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,14.6158167675022,33.9690082644628,15.6219008264463,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.661420948834229,0.332415009956481,0.425015332828486,0.31467944877172,0.981794380992958,0.642579182860152,"sKizzo",3600,"memout" "ncf_16_32_8_edau.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,14.6158167675022,33.9690082644628,15.6219008264463,46.4120218579235,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.661420948834229,0.332415009956481,0.425015332828486,0.31467944877172,0.981794380992958,0.642579182860152,"sSolve",2.64,"ok" "ncf_16_32_8_edau.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.6408815903198,34.0888429752066,15.7417355371901,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.661680264432439,0.33159176504222,0.423962757882246,0.313964610234338,0.980113573587262,0.640736592387115,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.6408815903198,34.0888429752066,15.7417355371901,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.661680264432439,0.33159176504222,0.423962757882246,0.313964610234338,0.980113573587262,0.640736592387115,"quantor",3600,"memout" "ncf_16_32_8_edau.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.6408815903198,34.0888429752066,15.7417355371901,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.661680264432439,0.33159176504222,0.423962757882246,0.313964610234338,0.980113573587262,0.640736592387115,"QuBE",3600,"timeout" "ncf_16_32_8_edau.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.6408815903198,34.0888429752066,15.7417355371901,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.661680264432439,0.33159176504222,0.423962757882246,0.313964610234338,0.980113573587262,0.640736592387115,"sKizzo",3600,"memout" "ncf_16_32_8_edau.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.6408815903198,34.0888429752066,15.7417355371901,46.4229508196721,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.661680264432439,0.33159176504222,0.423962757882246,0.313964610234338,0.980113573587262,0.640736592387115,"sSolve",3600,"timeout" "ncf_16_32_8_edau.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,14.7904062229905,34.2086776859504,14.7830578512397,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.659069401416518,0.34097539788777,0.447775950048519,0.322915424072528,1.00013140300247,0.679406370688925,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,14.7904062229905,34.2086776859504,14.7830578512397,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.659069401416518,0.34097539788777,0.447775950048519,0.322915424072528,1.00013140300247,0.679406370688925,"quantor",3600,"memout" "ncf_16_32_8_edau.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,14.7904062229905,34.2086776859504,14.7830578512397,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.659069401416518,0.34097539788777,0.447775950048519,0.322915424072528,1.00013140300247,0.679406370688925,"QuBE",3600,"timeout" "ncf_16_32_8_edau.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,14.7904062229905,34.2086776859504,14.7830578512397,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.659069401416518,0.34097539788777,0.447775950048519,0.322915424072528,1.00013140300247,0.679406370688925,"sKizzo",0.02,"ok" "ncf_16_32_8_edau.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,14.7904062229905,34.2086776859504,14.7830578512397,46.007650273224,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.659069401416518,0.34097539788777,0.447775950048519,0.322915424072528,1.00013140300247,0.679406370688925,"sSolve",1.86,"ok" "ncf_16_32_8_edau.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,14.7350907519447,34.2086776859504,15.2623966942149,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.660344466913046,0.336440815573784,0.436726809396396,0.318621018728379,0.990535359503929,0.66136210913978,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,14.7350907519447,34.2086776859504,15.2623966942149,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.660344466913046,0.336440815573784,0.436726809396396,0.318621018728379,0.990535359503929,0.66136210913978,"quantor",0.01,"ok" "ncf_16_32_8_edau.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,14.7350907519447,34.2086776859504,15.2623966942149,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.660344466913046,0.336440815573784,0.436726809396396,0.318621018728379,0.990535359503929,0.66136210913978,"QuBE",3600,"timeout" "ncf_16_32_8_edau.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,14.7350907519447,34.2086776859504,15.2623966942149,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.660344466913046,0.336440815573784,0.436726809396396,0.318621018728379,0.990535359503929,0.66136210913978,"sKizzo",3600,"memout" "ncf_16_32_8_edau.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,14.7350907519447,34.2086776859504,15.2623966942149,46.5377049180328,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.660344466913046,0.336440815573784,0.436726809396396,0.318621018728379,0.990535359503929,0.66136210913978,"sSolve",2.06,"ok" "ncf_16_32_8_edau.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.4135695764909,33.7293388429752,16.7004132231405,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.664439861160018,0.321479266502229,0.396447848325344,0.304201276032262,0.958037708571615,0.596664757037908,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.4135695764909,33.7293388429752,16.7004132231405,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.664439861160018,0.321479266502229,0.396447848325344,0.304201276032262,0.958037708571615,0.596664757037908,"quantor",3600,"memout" "ncf_16_32_8_edau.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.4135695764909,33.7293388429752,16.7004132231405,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.664439861160018,0.321479266502229,0.396447848325344,0.304201276032262,0.958037708571615,0.596664757037908,"QuBE",3600,"timeout" "ncf_16_32_8_edau.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.4135695764909,33.7293388429752,16.7004132231405,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.664439861160018,0.321479266502229,0.396447848325344,0.304201276032262,0.958037708571615,0.596664757037908,"sKizzo",3600,"memout" "ncf_16_32_8_edau.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.4135695764909,33.7293388429752,16.7004132231405,45.9748633879781,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.664439861160018,0.321479266502229,0.396447848325344,0.304201276032262,0.958037708571615,0.596664757037908,"sSolve",3600,"timeout" "ncf_16_32_8_edau.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,14.4576490924806,33.6095041322314,15.9814049586777,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.662571736915893,0.328022512909434,0.411423658684613,0.310328185328185,0.972125185695163,0.620949605547149,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,14.4576490924806,33.6095041322314,15.9814049586777,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.662571736915893,0.328022512909434,0.411423658684613,0.310328185328185,0.972125185695163,0.620949605547149,"quantor",3600,"memout" "ncf_16_32_8_edau.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,14.4576490924806,33.6095041322314,15.9814049586777,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.662571736915893,0.328022512909434,0.411423658684613,0.310328185328185,0.972125185695163,0.620949605547149,"QuBE",3600,"timeout" "ncf_16_32_8_edau.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,14.4576490924806,33.6095041322314,15.9814049586777,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.662571736915893,0.328022512909434,0.411423658684613,0.310328185328185,0.972125185695163,0.620949605547149,"sKizzo",3600,"memout" "ncf_16_32_8_edau.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,14.4576490924806,33.6095041322314,15.9814049586777,46.6360655737705,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.662571736915893,0.328022512909434,0.411423658684613,0.310328185328185,0.972125185695163,0.620949605547149,"sSolve",4.34,"ok" "ncf_16_32_8_edau.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,14.6071737251513,33.7293388429752,15.0227272727273,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.659932592182705,0.337508703613144,0.435505801836649,0.31936920669315,0.992475891116488,0.659924675634261,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,14.6071737251513,33.7293388429752,15.0227272727273,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.659932592182705,0.337508703613144,0.435505801836649,0.31936920669315,0.992475891116488,0.659924675634261,"quantor",3600,"memout" "ncf_16_32_8_edau.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,14.6071737251513,33.7293388429752,15.0227272727273,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.659932592182705,0.337508703613144,0.435505801836649,0.31936920669315,0.992475891116488,0.659924675634261,"QuBE",3600,"timeout" "ncf_16_32_8_edau.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,14.6071737251513,33.7293388429752,15.0227272727273,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.659932592182705,0.337508703613144,0.435505801836649,0.31936920669315,0.992475891116488,0.659924675634261,"sKizzo",3600,"memout" "ncf_16_32_8_edau.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,14.6071737251513,33.7293388429752,15.0227272727273,46.9803278688525,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.659932592182705,0.337508703613144,0.435505801836649,0.31936920669315,0.992475891116488,0.659924675634261,"sSolve",4.64,"ok" "ncf_16_32_8_edau.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,14.6097666378565,33.6095041322314,14.6632231404959,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.659021452994039,0.340648573865124,0.442188812721273,0.322273166023166,0.99903227594893,0.670977872893726,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,14.6097666378565,33.6095041322314,14.6632231404959,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.659021452994039,0.340648573865124,0.442188812721273,0.322273166023166,0.99903227594893,0.670977872893726,"quantor",75.84,"ok" "ncf_16_32_8_edau.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,14.6097666378565,33.6095041322314,14.6632231404959,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.659021452994039,0.340648573865124,0.442188812721273,0.322273166023166,0.99903227594893,0.670977872893726,"QuBE",3600,"timeout" "ncf_16_32_8_edau.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,14.6097666378565,33.6095041322314,14.6632231404959,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.659021452994039,0.340648573865124,0.442188812721273,0.322273166023166,0.99903227594893,0.670977872893726,"sKizzo",0.96,"ok" "ncf_16_32_8_edau.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,14.6097666378565,33.6095041322314,14.6632231404959,45.3191256830601,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.659021452994039,0.340648573865124,0.442188812721273,0.322273166023166,0.99903227594893,0.670977872893726,"sSolve",3600,"timeout" "ncf_16_32_8_edau.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.6106309420916,34.2086776859504,16.3409090909091,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.663213364280235,0.326238005367316,0.41186624292912,0.308958606704044,0.968678595782447,0.621016199479192,"X2clsQ",3600,"timeout" "ncf_16_32_8_edau.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.6106309420916,34.2086776859504,16.3409090909091,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.663213364280235,0.326238005367316,0.41186624292912,0.308958606704044,0.968678595782447,0.621016199479192,"quantor",3600,"memout" "ncf_16_32_8_edau.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.6106309420916,34.2086776859504,16.3409090909091,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.663213364280235,0.326238005367316,0.41186624292912,0.308958606704044,0.968678595782447,0.621016199479192,"QuBE",3600,"timeout" "ncf_16_32_8_edau.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.6106309420916,34.2086776859504,16.3409090909091,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.663213364280235,0.326238005367316,0.41186624292912,0.308958606704044,0.968678595782447,0.621016199479192,"sKizzo",3600,"memout" "ncf_16_32_8_edau.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.6106309420916,34.2086776859504,16.3409090909091,47.0513661202186,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.663213364280235,0.326238005367316,0.41186624292912,0.308958606704044,0.968678595782447,0.621016199479192,"sSolve",3600,"timeout" "ncf_16_32_8_euad.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.0557476231634,42.8780991735537,20.4442148760331,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.669135224764249,0.29109185056196,0.528081627869575,0.291811734364926,0.879790997257259,0.78920016212811,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.0557476231634,42.8780991735537,20.4442148760331,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.669135224764249,0.29109185056196,0.528081627869575,0.291811734364926,0.879790997257259,0.78920016212811,"quantor",3600,"memout" "ncf_16_32_8_euad.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.0557476231634,42.8780991735537,20.4442148760331,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.669135224764249,0.29109185056196,0.528081627869575,0.291811734364926,0.879790997257259,0.78920016212811,"QuBE",3600,"memout" "ncf_16_32_8_euad.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.0557476231634,42.8780991735537,20.4442148760331,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.669135224764249,0.29109185056196,0.528081627869575,0.291811734364926,0.879790997257259,0.78920016212811,"sKizzo",3600,"memout" "ncf_16_32_8_euad.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,14.0557476231634,42.8780991735537,20.4442148760331,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.669135224764249,0.29109185056196,0.528081627869575,0.291811734364926,0.879790997257259,0.78920016212811,"sSolve",3600,"timeout" "ncf_16_32_8_euad.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.9693171996543,42.8780991735537,19.5144628099174,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.67116975066885,0.294307624430298,0.549967493824751,0.295035460992908,0.895013840815826,0.8194163894852,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.9693171996543,42.8780991735537,19.5144628099174,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.67116975066885,0.294307624430298,0.549967493824751,0.295035460992908,0.895013840815826,0.8194163894852,"quantor",3600,"memout" "ncf_16_32_8_euad.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.9693171996543,42.8780991735537,19.5144628099174,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.67116975066885,0.294307624430298,0.549967493824751,0.295035460992908,0.895013840815826,0.8194163894852,"QuBE",3600,"timeout" "ncf_16_32_8_euad.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.9693171996543,42.8780991735537,19.5144628099174,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.67116975066885,0.294307624430298,0.549967493824751,0.295035460992908,0.895013840815826,0.8194163894852,"sKizzo",3600,"memout" "ncf_16_32_8_euad.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.9693171996543,42.8780991735537,19.5144628099174,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.67116975066885,0.294307624430298,0.549967493824751,0.295035460992908,0.895013840815826,0.8194163894852,"sSolve",14.13,"ok" "ncf_16_32_8_euad.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.0082108902334,43.0640495867769,19.7004132231405,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.671057304932872,0.293590842620699,0.548628056233873,0.294465894465894,0.892528841720549,0.817557684270726,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.0082108902334,43.0640495867769,19.7004132231405,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.671057304932872,0.293590842620699,0.548628056233873,0.294465894465894,0.892528841720549,0.817557684270726,"quantor",3600,"memout" "ncf_16_32_8_euad.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.0082108902334,43.0640495867769,19.7004132231405,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.671057304932872,0.293590842620699,0.548628056233873,0.294465894465894,0.892528841720549,0.817557684270726,"QuBE",3600,"memout" "ncf_16_32_8_euad.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.0082108902334,43.0640495867769,19.7004132231405,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.671057304932872,0.293590842620699,0.548628056233873,0.294465894465894,0.892528841720549,0.817557684270726,"sKizzo",3600,"memout" "ncf_16_32_8_euad.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,14.0082108902334,43.0640495867769,19.7004132231405,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.671057304932872,0.293590842620699,0.548628056233873,0.294465894465894,0.892528841720549,0.817557684270726,"sSolve",3600,"timeout" "ncf_16_32_8_euad.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.8915298184961,43.25,18.2128099173554,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.674589757346912,0.298637810127392,0.586498262365383,0.299678869621066,0.91772713634513,0.869414716096517,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.8915298184961,43.25,18.2128099173554,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.674589757346912,0.298637810127392,0.586498262365383,0.299678869621066,0.91772713634513,0.869414716096517,"quantor",3600,"memout" "ncf_16_32_8_euad.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.8915298184961,43.25,18.2128099173554,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.674589757346912,0.298637810127392,0.586498262365383,0.299678869621066,0.91772713634513,0.869414716096517,"QuBE",298.48,"ok" "ncf_16_32_8_euad.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.8915298184961,43.25,18.2128099173554,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.674589757346912,0.298637810127392,0.586498262365383,0.299678869621066,0.91772713634513,0.869414716096517,"sKizzo",3600,"memout" "ncf_16_32_8_euad.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.8915298184961,43.25,18.2128099173554,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.674589757346912,0.298637810127392,0.586498262365383,0.299678869621066,0.91772713634513,0.869414716096517,"sSolve",7.86,"ok" "ncf_16_32_8_euad.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.9606741573034,43.25,18.9566115702479,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.672970045453165,0.296077691737959,0.569074646714984,0.297109826589595,0.905353432067817,0.845616607395624,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.9606741573034,43.25,18.9566115702479,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.672970045453165,0.296077691737959,0.569074646714984,0.297109826589595,0.905353432067817,0.845616607395624,"quantor",3600,"memout" "ncf_16_32_8_euad.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.9606741573034,43.25,18.9566115702479,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.672970045453165,0.296077691737959,0.569074646714984,0.297109826589595,0.905353432067817,0.845616607395624,"QuBE",3600,"memout" "ncf_16_32_8_euad.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.9606741573034,43.25,18.9566115702479,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.672970045453165,0.296077691737959,0.569074646714984,0.297109826589595,0.905353432067817,0.845616607395624,"sKizzo",3600,"memout" "ncf_16_32_8_euad.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.9606741573034,43.25,18.9566115702479,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.672970045453165,0.296077691737959,0.569074646714984,0.297109826589595,0.905353432067817,0.845616607395624,"sSolve",1.05,"ok" "ncf_16_32_8_euad.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.0816767502161,42.5061983471074,21.1880165289256,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.66689837768214,0.28864232259793,0.50428092296569,0.289061488673139,0.866529320960481,0.756158569043697,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.0816767502161,42.5061983471074,21.1880165289256,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.66689837768214,0.28864232259793,0.50428092296569,0.289061488673139,0.866529320960481,0.756158569043697,"quantor",3600,"memout" "ncf_16_32_8_euad.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.0816767502161,42.5061983471074,21.1880165289256,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.66689837768214,0.28864232259793,0.50428092296569,0.289061488673139,0.866529320960481,0.756158569043697,"QuBE",3600,"memout" "ncf_16_32_8_euad.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.0816767502161,42.5061983471074,21.1880165289256,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.66689837768214,0.28864232259793,0.50428092296569,0.289061488673139,0.866529320960481,0.756158569043697,"sKizzo",3600,"memout" "ncf_16_32_8_euad.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,14.0816767502161,42.5061983471074,21.1880165289256,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.66689837768214,0.28864232259793,0.50428092296569,0.289061488673139,0.866529320960481,0.756158569043697,"sSolve",3600,"timeout" "ncf_16_32_8_euad.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.9563526361279,42.3202479338843,20.0723140495868,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.669050961745386,0.292591723374732,0.527568521241041,0.292866407263294,0.884099029016148,0.788532640121683,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.9563526361279,42.3202479338843,20.0723140495868,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.669050961745386,0.292591723374732,0.527568521241041,0.292866407263294,0.884099029016148,0.788532640121683,"quantor",3600,"memout" "ncf_16_32_8_euad.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.9563526361279,42.3202479338843,20.0723140495868,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.669050961745386,0.292591723374732,0.527568521241041,0.292866407263294,0.884099029016148,0.788532640121683,"QuBE",3600,"timeout" "ncf_16_32_8_euad.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.9563526361279,42.3202479338843,20.0723140495868,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.669050961745386,0.292591723374732,0.527568521241041,0.292866407263294,0.884099029016148,0.788532640121683,"sKizzo",3600,"memout" "ncf_16_32_8_euad.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.9563526361279,42.3202479338843,20.0723140495868,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.669050961745386,0.292591723374732,0.527568521241041,0.292866407263294,0.884099029016148,0.788532640121683,"sSolve",618.09,"ok" "ncf_16_32_8_euad.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.8396715643907,42.5061983471074,18.5847107438017,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.67262300277031,0.297690671268711,0.565862039745761,0.298122977346278,0.909320672459614,0.841276669717158,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.8396715643907,42.5061983471074,18.5847107438017,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.67262300277031,0.297690671268711,0.565862039745761,0.298122977346278,0.909320672459614,0.841276669717158,"quantor",3600,"memout" "ncf_16_32_8_euad.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.8396715643907,42.5061983471074,18.5847107438017,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.67262300277031,0.297690671268711,0.565862039745761,0.298122977346278,0.909320672459614,0.841276669717158,"QuBE",3600,"timeout" "ncf_16_32_8_euad.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.8396715643907,42.5061983471074,18.5847107438017,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.67262300277031,0.297690671268711,0.565862039745761,0.298122977346278,0.909320672459614,0.841276669717158,"sKizzo",3600,"memout" "ncf_16_32_8_euad.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.8396715643907,42.5061983471074,18.5847107438017,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.67262300277031,0.297690671268711,0.565862039745761,0.298122977346278,0.909320672459614,0.841276669717158,"sSolve",1011.5,"ok" "ncf_16_32_8_euad.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.766205704408,42.3202479338843,18.0268595041322,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.673559943842677,0.299718625405561,0.576072685062422,0.3,0.918142917060142,0.855265652787949,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.766205704408,42.3202479338843,18.0268595041322,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.673559943842677,0.299718625405561,0.576072685062422,0.3,0.918142917060142,0.855265652787949,"quantor",3600,"memout" "ncf_16_32_8_euad.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.766205704408,42.3202479338843,18.0268595041322,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.673559943842677,0.299718625405561,0.576072685062422,0.3,0.918142917060142,0.855265652787949,"QuBE",3600,"memout" "ncf_16_32_8_euad.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.766205704408,42.3202479338843,18.0268595041322,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.673559943842677,0.299718625405561,0.576072685062422,0.3,0.918142917060142,0.855265652787949,"sKizzo",3600,"memout" "ncf_16_32_8_euad.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.766205704408,42.3202479338843,18.0268595041322,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.673559943842677,0.299718625405561,0.576072685062422,0.3,0.918142917060142,0.855265652787949,"sSolve",3600,"timeout" "ncf_16_32_8_euad.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.1162489196197,43.25,20.6301652892562,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.669325693692234,0.290317425361734,0.529871511501586,0.291329479768786,0.877955800689062,0.791649740171529,"X2clsQ",3600,"timeout" "ncf_16_32_8_euad.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.1162489196197,43.25,20.6301652892562,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.669325693692234,0.290317425361734,0.529871511501586,0.291329479768786,0.877955800689062,0.791649740171529,"quantor",3600,"memout" "ncf_16_32_8_euad.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.1162489196197,43.25,20.6301652892562,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.669325693692234,0.290317425361734,0.529871511501586,0.291329479768786,0.877955800689062,0.791649740171529,"QuBE",3600,"timeout" "ncf_16_32_8_euad.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.1162489196197,43.25,20.6301652892562,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.669325693692234,0.290317425361734,0.529871511501586,0.291329479768786,0.877955800689062,0.791649740171529,"sKizzo",3600,"memout" "ncf_16_32_8_euad.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,14.1162489196197,43.25,20.6301652892562,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.669325693692234,0.290317425361734,0.529871511501586,0.291329479768786,0.877955800689062,0.791649740171529,"sSolve",3600,"timeout" "ncf_16_32_8_u.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,13.1724286949006,33.9690082644628,16.2210743801653,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.67570299283974,0.304446193633352,0.436943101023124,0.291811734364926,0.938788169213358,0.646649645855211,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,13.1724286949006,33.9690082644628,16.2210743801653,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.67570299283974,0.304446193633352,0.436943101023124,0.291811734364926,0.938788169213358,0.646649645855211,"quantor",3600,"memout" "ncf_16_32_8_u.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,13.1724286949006,33.9690082644628,16.2210743801653,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.67570299283974,0.304446193633352,0.436943101023124,0.291811734364926,0.938788169213358,0.646649645855211,"QuBE",3600,"memout" "ncf_16_32_8_u.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,13.1724286949006,33.9690082644628,16.2210743801653,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.67570299283974,0.304446193633352,0.436943101023124,0.291811734364926,0.938788169213358,0.646649645855211,"sKizzo",3600,"memout" "ncf_16_32_8_u.1",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4717,3395,1.92374457532548,0.722669973134945,366,0.350278983261004,0.372390989873941,2.08643042350908,7.22520661157025,3.50206611570248,13.1724286949006,33.9690082644628,16.2210743801653,42.5792349726776,0.622989223801343,0.244650944869592,0.663443978945107,0.774128854349461,0.140715289707949,0.940621531631521,0.605164201554274,0.919182606513852,0.609826165842685,0.484701172433514,0.663443978945107,0.978871130581777,0.940621531631521,0.137011779293242,0.701591237859062,0.67570299283974,0.304446193633352,0.436943101023124,0.291811734364926,0.938788169213358,0.646649645855211,"sSolve",3600,"timeout" "ncf_16_32_8_u.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.1551426101988,33.9690082644628,15.6219008264463,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.676128565500952,0.307809496700446,0.45169438150004,0.295035460992908,0.950406438828277,0.668059899473961,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.1551426101988,33.9690082644628,15.6219008264463,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.676128565500952,0.307809496700446,0.45169438150004,0.295035460992908,0.950406438828277,0.668059899473961,"quantor",3600,"memout" "ncf_16_32_8_u.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.1551426101988,33.9690082644628,15.6219008264463,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.676128565500952,0.307809496700446,0.45169438150004,0.295035460992908,0.950406438828277,0.668059899473961,"QuBE",3600,"timeout" "ncf_16_32_8_u.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.1551426101988,33.9690082644628,15.6219008264463,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.676128565500952,0.307809496700446,0.45169438150004,0.295035460992908,0.950406438828277,0.668059899473961,"sKizzo",3600,"memout" "ncf_16_32_8_u.10",1,1830,484,2314,4839,12806,5,5,10,0,4176,663,4690,3345,1.92374457532548,0.722669973134945,366,0.339946269890473,0.382723703244472,2.08426966292135,7.22520661157025,3.39876033057851,13.1551426101988,33.9690082644628,15.6219008264463,42.5650273224044,0.623379665781665,0.248164922692488,0.658609947362767,0.768007014906677,0.144619709511167,0.888228941684665,0.604158837529751,0.919182606513852,0.605382808092859,0.47040320274521,0.658609947362767,0.971130181690738,0.888228941684665,0.137011779293242,0.691258524488531,0.676128565500952,0.307809496700446,0.45169438150004,0.295035460992908,0.950406438828277,0.668059899473961,"sSolve",2.63,"ok" "ncf_16_32_8_u.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,13.1802074330164,34.0888429752066,15.7417355371901,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.67622798543509,0.307129825203788,0.450696999029398,0.294465894465894,0.948599049292488,0.666486759993254,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,13.1802074330164,34.0888429752066,15.7417355371901,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.67622798543509,0.307129825203788,0.450696999029398,0.294465894465894,0.948599049292488,0.666486759993254,"quantor",3600,"memout" "ncf_16_32_8_u.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,13.1802074330164,34.0888429752066,15.7417355371901,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.67622798543509,0.307129825203788,0.450696999029398,0.294465894465894,0.948599049292488,0.666486759993254,"QuBE",3600,"memout" "ncf_16_32_8_u.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,13.1802074330164,34.0888429752066,15.7417355371901,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.67622798543509,0.307129825203788,0.450696999029398,0.294465894465894,0.948599049292488,0.666486759993254,"sKizzo",3600,"memout" "ncf_16_32_8_u.2",1,1830,484,2314,4849,12835,5,5,10,0,4186,663,4709,3355,1.92369560734172,0.723241905547536,366,0.341307486079604,0.381934419467932,2.0885911840968,7.24586776859504,3.41942148760331,13.1802074330164,34.0888429752066,15.7417355371901,42.6010928961749,0.62345149980522,0.247604207245812,0.659305317324185,0.768557860534866,0.144292948967667,0.893628509719222,0.603974006498375,0.918977607762245,0.605886823299508,0.471913316224694,0.659305317324185,0.971826715452284,0.893628509719223,0.136729222520107,0.691895236131161,0.67622798543509,0.307129825203788,0.450696999029398,0.294465894465894,0.948599049292488,0.666486759993254,"sSolve",3600,"timeout" "ncf_16_32_8_u.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.1741573033708,34.2086776859504,14.7830578512397,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.677089622591545,0.312480417742479,0.47613931485505,0.299678869621066,0.967700140981276,0.703214609954643,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.1741573033708,34.2086776859504,14.7830578512397,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.677089622591545,0.312480417742479,0.47613931485505,0.299678869621066,0.967700140981276,0.703214609954643,"quantor",3600,"memout" "ncf_16_32_8_u.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.1741573033708,34.2086776859504,14.7830578512397,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.677089622591545,0.312480417742479,0.47613931485505,0.299678869621066,0.967700140981276,0.703214609954643,"QuBE",280.06,"ok" "ncf_16_32_8_u.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.1741573033708,34.2086776859504,14.7830578512397,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.677089622591545,0.312480417742479,0.47613931485505,0.299678869621066,0.967700140981276,0.703214609954643,"sKizzo",3600,"memout" "ncf_16_32_8_u.3",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4656,3275,1.92364684091377,0.723811483844412,366,0.324140769705701,0.399670714138712,2.08902333621435,7.26652892561984,3.25413223140496,13.1741573033708,34.2086776859504,14.7830578512397,42.3846994535519,0.62422263681592,0.253342661691542,0.651331978174815,0.758156911581569,0.150963930348259,0.811019567456231,0.601992528019925,0.918773533289835,0.598426582932333,0.44782485072505,0.651331978174815,0.958674914426093,0.811019567456231,0.136447828771352,0.674006997324552,0.677089622591545,0.312480417742479,0.47613931485505,0.299678869621066,0.967700140981276,0.703214609954643,"sSolve",2.18,"ok" "ncf_16_32_8_u.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.1879861711322,34.2086776859504,15.2623966942149,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.676750664675289,0.309801631478077,0.46439029113176,0.297109826589595,0.958398355767304,0.68620588847826,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.1879861711322,34.2086776859504,15.2623966942149,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.676750664675289,0.309801631478077,0.46439029113176,0.297109826589595,0.958398355767304,0.68620588847826,"quantor",3600,"memout" "ncf_16_32_8_u.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.1879861711322,34.2086776859504,15.2623966942149,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.676750664675289,0.309801631478077,0.46439029113176,0.297109826589595,0.958398355767304,0.68620588847826,"QuBE",3600,"memout" "ncf_16_32_8_u.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.1879861711322,34.2086776859504,15.2623966942149,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.676750664675289,0.309801631478077,0.46439029113176,0.297109826589595,0.958398355767304,0.68620588847826,"sKizzo",3600,"memout" "ncf_16_32_8_u.4",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4674,3315,1.92364684091377,0.723811483844412,366,0.332372916237909,0.391438567606503,2.09075194468453,7.26652892561984,3.33677685950413,13.1879861711322,34.2086776859504,15.2623966942149,42.6743169398907,0.623911691542289,0.250544154228856,0.655183481330908,0.763020184400698,0.14785447761194,0.849106203995794,0.602790929479193,0.918773533289835,0.601965242095533,0.459198180267273,0.655183481330908,0.964824429892467,0.849106203995794,0.136447828771352,0.682239143856761,0.676750664675289,0.309801631478077,0.46439029113176,0.297109826589595,0.958398355767304,0.68620588847826,"sSolve",2.06,"ok" "ncf_16_32_8_u.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,13.1430423509075,33.7293388429752,16.7004132231405,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.674987977558108,0.301745743238015,0.421105358100962,0.289061488673139,0.928414096718419,0.623870901559443,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,13.1430423509075,33.7293388429752,16.7004132231405,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.674987977558108,0.301745743238015,0.421105358100962,0.289061488673139,0.928414096718419,0.623870901559443,"quantor",3600,"memout" "ncf_16_32_8_u.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,13.1430423509075,33.7293388429752,16.7004132231405,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.674987977558108,0.301745743238015,0.421105358100962,0.289061488673139,0.928414096718419,0.623870901559443,"QuBE",3600,"memout" "ncf_16_32_8_u.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,13.1430423509075,33.7293388429752,16.7004132231405,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.674987977558108,0.301745743238015,0.421105358100962,0.289061488673139,0.928414096718419,0.623870901559443,"sKizzo",3600,"memout" "ncf_16_32_8_u.5",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4706,3435,1.92384312097946,0.721518987341772,366,0.36003320190911,0.361485785432662,2.08038029386344,7.18388429752066,3.58471074380165,13.1430423509075,33.7293388429752,16.7004132231405,42.272131147541,0.622372136805774,0.241528082836523,0.667889116600151,0.780438618603479,0.13664888609978,0.995981630309989,0.606755734812201,0.919595402086002,0.61418776072878,0.4989933851021,0.667889116600151,0.986849706802432,0.995981630309989,0.137580410873625,0.712803486200457,0.674987977558108,0.301745743238015,0.421105358100962,0.289061488673139,0.928414096718419,0.623870901559443,"sSolve",3600,"timeout" "ncf_16_32_8_u.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.1006914433881,33.6095041322314,15.9814049586777,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.675313547613182,0.305803743743255,0.436893350837055,0.292866407263294,0.941843250604536,0.646948891194622,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.1006914433881,33.6095041322314,15.9814049586777,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.675313547613182,0.305803743743255,0.436893350837055,0.292866407263294,0.941843250604536,0.646948891194622,"quantor",3600,"memout" "ncf_16_32_8_u.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.1006914433881,33.6095041322314,15.9814049586777,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.675313547613182,0.305803743743255,0.436893350837055,0.292866407263294,0.941843250604536,0.646948891194622,"QuBE",3600,"timeout" "ncf_16_32_8_u.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.1006914433881,33.6095041322314,15.9814049586777,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.675313547613182,0.305803743743255,0.436893350837055,0.292866407263294,0.941843250604536,0.646948891194622,"sKizzo",3600,"memout" "ncf_16_32_8_u.6",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4687,3375,1.92389270118528,0.720939904346018,366,0.348305260969016,0.372634643377001,2.07389801210026,7.16322314049587,3.46074380165289,13.1006914433881,33.6095041322314,15.9814049586777,42.5934426229508,0.622690463086721,0.245616793773095,0.662343277129269,0.773737373737374,0.140891579526692,0.934709821428571,0.605934343434343,0.919803211666037,0.60922547352891,0.48312662244015,0.662343277129269,0.978376110835127,0.934709821428571,0.137866500311915,0.701809107922645,0.675313547613182,0.305803743743255,0.436893350837055,0.292866407263294,0.941843250604536,0.646948891194622,"sSolve",4.57,"ok" "ncf_16_32_8_u.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.0946413137424,33.7293388429752,15.0227272727273,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.676184878439754,0.311204857446103,0.462592563970652,0.298122977346278,0.961057210505235,0.684121427024587,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.0946413137424,33.7293388429752,15.0227272727273,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.676184878439754,0.311204857446103,0.462592563970652,0.298122977346278,0.961057210505235,0.684121427024587,"quantor",3600,"memout" "ncf_16_32_8_u.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.0946413137424,33.7293388429752,15.0227272727273,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.676184878439754,0.311204857446103,0.462592563970652,0.298122977346278,0.961057210505235,0.684121427024587,"QuBE",3600,"timeout" "ncf_16_32_8_u.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.0946413137424,33.7293388429752,15.0227272727273,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.676184878439754,0.311204857446103,0.462592563970652,0.298122977346278,0.961057210505235,0.684121427024587,"sKizzo",3600,"memout" "ncf_16_32_8_u.7",1,1830,484,2314,4819,12748,5,5,10,0,4156,663,4661,3295,1.92384312097946,0.721518987341772,366,0.330981531438058,0.390537455903714,2.0743301642178,7.18388429752066,3.29545454545455,13.0946413137424,33.7293388429752,15.0227272727273,42.8426229508197,0.623470348289928,0.251411986193913,0.65429834969259,0.763210870659285,0.147631000941324,0.84750265674814,0.603925515853045,0.919595402086002,0.601689753969764,0.45872878918608,0.65429834969259,0.965065549019446,0.84750265674814,0.137580410873625,0.683751815729404,0.676184878439754,0.311204857446103,0.462592563970652,0.298122977346278,0.961057210505235,0.684121427024587,"sSolve",5.17,"ok" "ncf_16_32_8_u.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.0626620570441,33.6095041322314,14.6632231404959,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.676256064776634,0.313252462036381,0.469563059912775,0.3,0.967593298142416,0.69435689285518,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.0626620570441,33.6095041322314,14.6632231404959,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.676256064776634,0.313252462036381,0.469563059912775,0.3,0.967593298142416,0.69435689285518,"quantor",3600,"memout" "ncf_16_32_8_u.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.0626620570441,33.6095041322314,14.6632231404959,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.676256064776634,0.313252462036381,0.469563059912775,0.3,0.967593298142416,0.69435689285518,"QuBE",3600,"memout" "ncf_16_32_8_u.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.0626620570441,33.6095041322314,14.6632231404959,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.676256064776634,0.313252462036381,0.469563059912775,0.3,0.967593298142416,0.69435689285518,"sKizzo",3600,"memout" "ncf_16_32_8_u.8",1,1830,484,2314,4809,12719,5,5,10,0,4146,663,4642,3265,1.92389270118528,0.720939904346018,366,0.325431482636723,0.395508421709295,2.06914433880726,7.16322314049587,3.23347107438017,13.0626620570441,33.6095041322314,14.6632231404959,41.8743169398907,0.623555310952119,0.253400424561679,0.651642888024211,0.760181566006809,0.149540058180675,0.822818086225026,0.603706972639012,0.919803211666037,0.599383221264001,0.451398903951543,0.651642888024211,0.96123505122391,0.822818086225026,0.137866500311915,0.678935329590351,0.676256064776634,0.313252462036381,0.469563059912775,0.3,0.967593298142416,0.69435689285518,"sSolve",3600,"timeout" "ncf_16_32_8_u.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,13.2191011235955,34.2086776859504,16.3409090909091,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.675988009363713,0.303774362383173,0.437954987754357,0.291329479768786,0.937540495913832,0.64787389966664,"X2clsQ",3600,"timeout" "ncf_16_32_8_u.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,13.2191011235955,34.2086776859504,16.3409090909091,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.675988009363713,0.303774362383173,0.437954987754357,0.291329479768786,0.937540495913832,0.64787389966664,"quantor",3600,"memout" "ncf_16_32_8_u.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,13.2191011235955,34.2086776859504,16.3409090909091,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.675988009363713,0.303774362383173,0.437954987754357,0.291329479768786,0.937540495913832,0.64787389966664,"QuBE",3600,"timeout" "ncf_16_32_8_u.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,13.2191011235955,34.2086776859504,16.3409090909091,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.675988009363713,0.303774362383173,0.437954987754357,0.291329479768786,0.937540495913832,0.64787389966664,"sKizzo",3600,"memout" "ncf_16_32_8_u.9",1,1830,484,2314,4859,12864,5,5,10,0,4196,663,4737,3405,1.92364684091377,0.723811483844412,366,0.350895245935378,0.372916237909035,2.09464131374244,7.26652892561984,3.52272727272727,13.2191011235955,34.2086776859504,16.3409090909091,42.9530054644809,0.623212064676617,0.244247512437811,0.663849363432117,0.773980291879756,0.140858208955224,0.940949227373068,0.604590245727828,0.918773533289835,0.609927225212734,0.484788171737276,0.663849363432117,0.978683276180194,0.940949227373068,0.136447828771352,0.700761473554229,0.675988009363713,0.303774362383173,0.437954987754357,0.291329479768786,0.937540495913832,0.64787389966664,"sSolve",3600,"timeout" "ncf_8_16_4_d.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.59063444108761,22.0942028985507,10.0507246376812,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.641085515935626,0.342849021652988,0.503173405408372,0.336042513285402,0.955238745927836,0.784877201092307,"X2clsQ",0.04,"ok" "ncf_8_16_4_d.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.59063444108761,22.0942028985507,10.0507246376812,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.641085515935626,0.342849021652988,0.503173405408372,0.336042513285402,0.955238745927836,0.784877201092307,"quantor",0,"ok" "ncf_8_16_4_d.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.59063444108761,22.0942028985507,10.0507246376812,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.641085515935626,0.342849021652988,0.503173405408372,0.336042513285402,0.955238745927836,0.784877201092307,"QuBE",0.07,"ok" "ncf_8_16_4_d.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.59063444108761,22.0942028985507,10.0507246376812,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.641085515935626,0.342849021652988,0.503173405408372,0.336042513285402,0.955238745927836,0.784877201092307,"sKizzo",0,"ok" "ncf_8_16_4_d.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.59063444108761,22.0942028985507,10.0507246376812,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.641085515935626,0.342849021652988,0.503173405408372,0.336042513285402,0.955238745927836,0.784877201092307,"sSolve",3.58,"ok" "ncf_8_16_4_d.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"X2clsQ",12.48,"ok" "ncf_8_16_4_d.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"quantor",0,"ok" "ncf_8_16_4_d.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"QuBE",0.17,"ok" "ncf_8_16_4_d.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"sKizzo",0,"ok" "ncf_8_16_4_d.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"sSolve",0.84,"ok" "ncf_8_16_4_d.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.63595166163142,22.3115942028986,9.39855072463768,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.641365033561257,0.350294573836442,0.536254612797735,0.343647955216918,0.976744061837802,0.836114513165952,"X2clsQ",0.04,"ok" "ncf_8_16_4_d.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.63595166163142,22.3115942028986,9.39855072463768,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.641365033561257,0.350294573836442,0.536254612797735,0.343647955216918,0.976744061837802,0.836114513165952,"quantor",0,"ok" "ncf_8_16_4_d.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.63595166163142,22.3115942028986,9.39855072463768,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.641365033561257,0.350294573836442,0.536254612797735,0.343647955216918,0.976744061837802,0.836114513165952,"QuBE",0.03,"ok" "ncf_8_16_4_d.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.63595166163142,22.3115942028986,9.39855072463768,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.641365033561257,0.350294573836442,0.536254612797735,0.343647955216918,0.976744061837802,0.836114513165952,"sKizzo",0.03,"ok" "ncf_8_16_4_d.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.63595166163142,22.3115942028986,9.39855072463768,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.641365033561257,0.350294573836442,0.536254612797735,0.343647955216918,0.976744061837802,0.836114513165952,"sSolve",0.89,"ok" "ncf_8_16_4_d.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"X2clsQ",3600,"timeout" "ncf_8_16_4_d.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"quantor",3600,"memout" "ncf_8_16_4_d.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"QuBE",3600,"timeout" "ncf_8_16_4_d.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"sKizzo",3.16,"ok" "ncf_8_16_4_d.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"sSolve",546.71,"ok" "ncf_8_16_4_d.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"X2clsQ",632.45,"ok" "ncf_8_16_4_d.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"quantor",3600,"memout" "ncf_8_16_4_d.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"QuBE",361.2,"ok" "ncf_8_16_4_d.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"sKizzo",0,"ok" "ncf_8_16_4_d.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.59063444108761,22.0942028985507,10.4855072463768,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.641085515935626,0.33806508181597,0.485008300519983,0.331353547983745,0.941909833193959,0.756542284085364,"sSolve",1.2,"ok" "ncf_8_16_4_d.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.54531722054381,21.8768115942029,9.18115942028986,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.640802590640676,0.352158552172705,0.533654310694675,0.344854673998429,0.980403931088552,0.832790501301074,"X2clsQ",0.04,"ok" "ncf_8_16_4_d.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.54531722054381,21.8768115942029,9.18115942028986,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.640802590640676,0.352158552172705,0.533654310694675,0.344854673998429,0.980403931088552,0.832790501301074,"quantor",0,"ok" "ncf_8_16_4_d.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.54531722054381,21.8768115942029,9.18115942028986,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.640802590640676,0.352158552172705,0.533654310694675,0.344854673998429,0.980403931088552,0.832790501301074,"QuBE",0.07,"ok" "ncf_8_16_4_d.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.54531722054381,21.8768115942029,9.18115942028986,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.640802590640676,0.352158552172705,0.533654310694675,0.344854673998429,0.980403931088552,0.832790501301074,"sKizzo",0.02,"ok" "ncf_8_16_4_d.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.54531722054381,21.8768115942029,9.18115942028986,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.640802590640676,0.352158552172705,0.533654310694675,0.344854673998429,0.980403931088552,0.832790501301074,"sSolve",0.77,"ok" "ncf_8_16_4_d.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"X2clsQ",4.64,"ok" "ncf_8_16_4_d.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"quantor",0,"ok" "ncf_8_16_4_d.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"QuBE",0.57,"ok" "ncf_8_16_4_d.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"sKizzo",0.14,"ok" "ncf_8_16_4_d.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"sSolve",0.84,"ok" "ncf_8_16_4_d.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.59063444108761,22.0942028985507,11.3550724637681,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.641085515935626,0.328497202141933,0.448678090743205,0.321975617380431,0.915252007726206,0.699872450071479,"X2clsQ",253.97,"ok" "ncf_8_16_4_d.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.59063444108761,22.0942028985507,11.3550724637681,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.641085515935626,0.328497202141933,0.448678090743205,0.321975617380431,0.915252007726206,0.699872450071479,"quantor",3600,"memout" "ncf_8_16_4_d.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.59063444108761,22.0942028985507,11.3550724637681,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.641085515935626,0.328497202141933,0.448678090743205,0.321975617380431,0.915252007726206,0.699872450071479,"QuBE",2.88,"ok" "ncf_8_16_4_d.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.59063444108761,22.0942028985507,11.3550724637681,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.641085515935626,0.328497202141933,0.448678090743205,0.321975617380431,0.915252007726206,0.699872450071479,"sKizzo",3600,"memout" "ncf_8_16_4_d.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.59063444108761,22.0942028985507,11.3550724637681,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.641085515935626,0.328497202141933,0.448678090743205,0.321975617380431,0.915252007726206,0.699872450071479,"sSolve",2.44,"ok" "ncf_8_16_4_d.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"X2clsQ",7.76,"ok" "ncf_8_16_4_d.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"quantor",0,"ok" "ncf_8_16_4_d.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"QuBE",0.21,"ok" "ncf_8_16_4_d.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"sKizzo",0.05,"ok" "ncf_8_16_4_d.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.54531722054381,21.8768115942029,9.83333333333333,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.640802590640676,0.34493890076142,0.50624056185762,0.337784760408484,0.960304533868058,0.790010167330128,"sSolve",0.83,"ok" "ncf_8_16_4_d.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.63595166163142,22.3115942028986,10.4855072463768,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.641365033561257,0.33840674893249,0.491115335626209,0.331985694293267,0.943596638924755,0.765734503640199,"X2clsQ",968.34,"ok" "ncf_8_16_4_d.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.63595166163142,22.3115942028986,10.4855072463768,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.641365033561257,0.33840674893249,0.491115335626209,0.331985694293267,0.943596638924755,0.765734503640199,"quantor",3600,"memout" "ncf_8_16_4_d.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.63595166163142,22.3115942028986,10.4855072463768,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.641365033561257,0.33840674893249,0.491115335626209,0.331985694293267,0.943596638924755,0.765734503640199,"QuBE",294.63,"ok" "ncf_8_16_4_d.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.63595166163142,22.3115942028986,10.4855072463768,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.641365033561257,0.33840674893249,0.491115335626209,0.331985694293267,0.943596638924755,0.765734503640199,"sKizzo",2052.5,"ok" "ncf_8_16_4_d.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.63595166163142,22.3115942028986,10.4855072463768,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.641365033561257,0.33840674893249,0.491115335626209,0.331985694293267,0.943596638924755,0.765734503640199,"sSolve",71.66,"ok" "ncf_8_16_4_edau.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.26132930513595,18.5869565217391,8.47101449275362,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.643968491634659,0.353651633883966,0.435958181315566,0.336042513285402,0.993315550940136,0.676986819974566,"X2clsQ",0.03,"ok" "ncf_8_16_4_edau.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.26132930513595,18.5869565217391,8.47101449275362,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.643968491634659,0.353651633883966,0.435958181315566,0.336042513285402,0.993315550940136,0.676986819974566,"quantor",0,"ok" "ncf_8_16_4_edau.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.26132930513595,18.5869565217391,8.47101449275362,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.643968491634659,0.353651633883966,0.435958181315566,0.336042513285402,0.993315550940136,0.676986819974566,"QuBE",0.07,"ok" "ncf_8_16_4_edau.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.26132930513595,18.5869565217391,8.47101449275362,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.643968491634659,0.353651633883966,0.435958181315566,0.336042513285402,0.993315550940136,0.676986819974566,"sKizzo",0,"ok" "ncf_8_16_4_edau.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,8.26132930513595,18.5869565217391,8.47101449275362,21.4503816793893,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.643968491634659,0.353651633883966,0.435958181315566,0.336042513285402,0.993315550940136,0.676986819974566,"sSolve",2.82,"ok" "ncf_8_16_4_edau.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"X2clsQ",14.51,"ok" "ncf_8_16_4_edau.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"quantor",0,"ok" "ncf_8_16_4_edau.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"QuBE",2.65,"ok" "ncf_8_16_4_edau.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"sKizzo",0,"ok" "ncf_8_16_4_edau.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.5763358778626,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"sSolve",0.84,"ok" "ncf_8_16_4_edau.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.3429003021148,18.7463768115942,7.99275362318841,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.642501132759402,0.361450307562089,0.460799957972985,0.343647955216918,1.01105301494237,0.717197113713947,"X2clsQ",0.03,"ok" "ncf_8_16_4_edau.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.3429003021148,18.7463768115942,7.99275362318841,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.642501132759402,0.361450307562089,0.460799957972985,0.343647955216918,1.01105301494237,0.717197113713947,"quantor",0,"ok" "ncf_8_16_4_edau.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.3429003021148,18.7463768115942,7.99275362318841,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.642501132759402,0.361450307562089,0.460799957972985,0.343647955216918,1.01105301494237,0.717197113713947,"QuBE",0.06,"ok" "ncf_8_16_4_edau.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.3429003021148,18.7463768115942,7.99275362318841,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.642501132759402,0.361450307562089,0.460799957972985,0.343647955216918,1.01105301494237,0.717197113713947,"sKizzo",0.05,"ok" "ncf_8_16_4_edau.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,8.3429003021148,18.7463768115942,7.99275362318841,22.263358778626,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.642501132759402,0.361450307562089,0.460799957972985,0.343647955216918,1.01105301494237,0.717197113713947,"sSolve",0.82,"ok" "ncf_8_16_4_edau.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"X2clsQ",2043.02,"ok" "ncf_8_16_4_edau.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"quantor",3600,"memout" "ncf_8_16_4_edau.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"QuBE",3600,"timeout" "ncf_8_16_4_edau.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"sKizzo",3.63,"ok" "ncf_8_16_4_edau.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.2404580152672,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"sSolve",57.7,"ok" "ncf_8_16_4_edau.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"X2clsQ",581.45,"ok" "ncf_8_16_4_edau.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"quantor",3600,"memout" "ncf_8_16_4_edau.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"QuBE",817.26,"ok" "ncf_8_16_4_edau.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"sKizzo",0,"ok" "ncf_8_16_4_edau.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,8.23716012084592,18.5869565217391,8.78985507246377,22.1717557251908,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.645010090488901,0.348716959922795,0.422217379039144,0.331353547983745,0.982329217196781,0.654590347135677,"sSolve",1.35,"ok" "ncf_8_16_4_edau.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.26435045317221,18.4275362318841,7.83333333333333,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.641786158580502,0.363133964392584,0.459200047066102,0.344854673998429,1.01373515594369,0.715503195147988,"X2clsQ",0.03,"ok" "ncf_8_16_4_edau.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.26435045317221,18.4275362318841,7.83333333333333,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.641786158580502,0.363133964392584,0.459200047066102,0.344854673998429,1.01373515594369,0.715503195147988,"quantor",0,"ok" "ncf_8_16_4_edau.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.26435045317221,18.4275362318841,7.83333333333333,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.641786158580502,0.363133964392584,0.459200047066102,0.344854673998429,1.01373515594369,0.715503195147988,"QuBE",0.07,"ok" "ncf_8_16_4_edau.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.26435045317221,18.4275362318841,7.83333333333333,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.641786158580502,0.363133964392584,0.459200047066102,0.344854673998429,1.01373515594369,0.715503195147988,"sKizzo",0.02,"ok" "ncf_8_16_4_edau.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,8.26435045317221,18.4275362318841,7.83333333333333,21.324427480916,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.641786158580502,0.363133964392584,0.459200047066102,0.344854673998429,1.01373515594369,0.715503195147988,"sSolve",0.78,"ok" "ncf_8_16_4_edau.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"X2clsQ",3.37,"ok" "ncf_8_16_4_edau.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"quantor",0,"ok" "ncf_8_16_4_edau.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"QuBE",0.32,"ok" "ncf_8_16_4_edau.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"sKizzo",0.13,"ok" "ncf_8_16_4_edau.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.118320610687,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"sSolve",0.82,"ok" "ncf_8_16_4_edau.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.18882175226586,18.5869565217391,9.42753623188406,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.647093288197383,0.338847612000451,0.3947357744863,0.321975617380431,0.960161993716829,0.610013705420931,"X2clsQ",81.9,"ok" "ncf_8_16_4_edau.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.18882175226586,18.5869565217391,9.42753623188406,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.647093288197383,0.338847612000451,0.3947357744863,0.321975617380431,0.960161993716829,0.610013705420931,"quantor",3600,"memout" "ncf_8_16_4_edau.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.18882175226586,18.5869565217391,9.42753623188406,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.647093288197383,0.338847612000451,0.3947357744863,0.321975617380431,0.960161993716829,0.610013705420931,"QuBE",1.8,"ok" "ncf_8_16_4_edau.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.18882175226586,18.5869565217391,9.42753623188406,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.647093288197383,0.338847612000451,0.3947357744863,0.321975617380431,0.960161993716829,0.610013705420931,"sKizzo",3600,"memout" "ncf_8_16_4_edau.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,8.18882175226586,18.5869565217391,9.42753623188406,22,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.647093288197383,0.338847612000451,0.3947357744863,0.321975617380431,0.960161993716829,0.610013705420931,"sSolve",1.6,"ok" "ncf_8_16_4_edau.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"X2clsQ",3.59,"ok" "ncf_8_16_4_edau.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"quantor",0,"ok" "ncf_8_16_4_edau.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"QuBE",0.19,"ok" "ncf_8_16_4_edau.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"sKizzo",0.04,"ok" "ncf_8_16_4_edau.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,8.22809667673716,18.4275362318841,8.31159420289855,21.1297709923664,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.643357559091207,0.355689304530322,0.438470085981039,0.337784760408484,0.997327473488453,0.681534054873642,"sSolve",0.8,"ok" "ncf_8_16_4_edau.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.28247734138973,18.7463768115942,8.78985507246377,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.645090297106609,0.34918389440953,0.426643626856389,0.331985694293267,0.983866858422912,0.661370398485285,"X2clsQ",2170.97,"ok" "ncf_8_16_4_edau.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.28247734138973,18.7463768115942,8.78985507246377,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.645090297106609,0.34918389440953,0.426643626856389,0.331985694293267,0.983866858422912,0.661370398485285,"quantor",3600,"memout" "ncf_8_16_4_edau.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.28247734138973,18.7463768115942,8.78985507246377,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.645090297106609,0.34918389440953,0.426643626856389,0.331985694293267,0.983866858422912,0.661370398485285,"QuBE",1649.97,"ok" "ncf_8_16_4_edau.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.28247734138973,18.7463768115942,8.78985507246377,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.645090297106609,0.34918389440953,0.426643626856389,0.331985694293267,0.983866858422912,0.661370398485285,"sKizzo",3600,"memout" "ncf_8_16_4_edau.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,8.28247734138973,18.7463768115942,8.78985507246377,22.0687022900763,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.645090297106609,0.34918389440953,0.426643626856389,0.331985694293267,0.983866858422912,0.661370398485285,"sSolve",92.49,"ok" "ncf_8_16_4_euad.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.83232628398792,22.0942028985507,10.0507246376812,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.653571189951226,0.320587735766779,0.532690760252265,0.318677630474912,0.925407259637681,0.815046269545661,"X2clsQ",0.02,"ok" "ncf_8_16_4_euad.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.83232628398792,22.0942028985507,10.0507246376812,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.653571189951226,0.320587735766779,0.532690760252265,0.318677630474912,0.925407259637681,0.815046269545661,"quantor",0,"ok" "ncf_8_16_4_euad.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.83232628398792,22.0942028985507,10.0507246376812,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.653571189951226,0.320587735766779,0.532690760252265,0.318677630474912,0.925407259637681,0.815046269545661,"QuBE",0.07,"ok" "ncf_8_16_4_euad.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.83232628398792,22.0942028985507,10.0507246376812,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.653571189951226,0.320587735766779,0.532690760252265,0.318677630474912,0.925407259637681,0.815046269545661,"sKizzo",0,"ok" "ncf_8_16_4_euad.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.83232628398792,22.0942028985507,10.0507246376812,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.653571189951226,0.320587735766779,0.532690760252265,0.318677630474912,0.925407259637681,0.815046269545661,"sSolve",3.57,"ok" "ncf_8_16_4_euad.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"X2clsQ",23.55,"ok" "ncf_8_16_4_euad.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"quantor",3600,"memout" "ncf_8_16_4_euad.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"QuBE",0.13,"ok" "ncf_8_16_4_euad.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"sKizzo",3600,"memout" "ncf_8_16_4_euad.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"sSolve",0.78,"ok" "ncf_8_16_4_euad.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.80513595166163,22.3115942028986,9.39855072463768,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.656700551458375,0.324842101739582,0.567964572626981,0.32325425993986,0.94623543125265,0.864876040328682,"X2clsQ",0.03,"ok" "ncf_8_16_4_euad.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.80513595166163,22.3115942028986,9.39855072463768,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.656700551458375,0.324842101739582,0.567964572626981,0.32325425993986,0.94623543125265,0.864876040328682,"quantor",0,"ok" "ncf_8_16_4_euad.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.80513595166163,22.3115942028986,9.39855072463768,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.656700551458375,0.324842101739582,0.567964572626981,0.32325425993986,0.94623543125265,0.864876040328682,"QuBE",0.07,"ok" "ncf_8_16_4_euad.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.80513595166163,22.3115942028986,9.39855072463768,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.656700551458375,0.324842101739582,0.567964572626981,0.32325425993986,0.94623543125265,0.864876040328682,"sKizzo",0.04,"ok" "ncf_8_16_4_euad.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.80513595166163,22.3115942028986,9.39855072463768,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.656700551458375,0.324842101739582,0.567964572626981,0.32325425993986,0.94623543125265,0.864876040328682,"sSolve",0.82,"ok" "ncf_8_16_4_euad.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"X2clsQ",3600,"timeout" "ncf_8_16_4_euad.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"quantor",3600,"memout" "ncf_8_16_4_euad.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"QuBE",1449.68,"ok" "ncf_8_16_4_euad.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"sKizzo",3600,"memout" "ncf_8_16_4_euad.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"sSolve",53.68,"ok" "ncf_8_16_4_euad.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"X2clsQ",3600,"timeout" "ncf_8_16_4_euad.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"quantor",3600,"memout" "ncf_8_16_4_euad.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"QuBE",26.59,"ok" "ncf_8_16_4_euad.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"sKizzo",3600,"memout" "ncf_8_16_4_euad.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.86858006042296,22.0942028985507,10.4855072463768,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.651967662190152,0.317548989456193,0.513460046885757,0.315656989427756,0.912412310460902,0.787554470356541,"sSolve",1.47,"ok" "ncf_8_16_4_euad.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.73262839879154,21.8768115942029,9.18115942028986,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.656050527447423,0.326980986042275,0.56470615730232,0.324679703304113,0.950665758012732,0.86076625759222,"X2clsQ",0.05,"ok" "ncf_8_16_4_euad.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.73262839879154,21.8768115942029,9.18115942028986,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.656050527447423,0.326980986042275,0.56470615730232,0.324679703304113,0.950665758012732,0.86076625759222,"quantor",0,"ok" "ncf_8_16_4_euad.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.73262839879154,21.8768115942029,9.18115942028986,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.656050527447423,0.326980986042275,0.56470615730232,0.324679703304113,0.950665758012732,0.86076625759222,"QuBE",0.07,"ok" "ncf_8_16_4_euad.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.73262839879154,21.8768115942029,9.18115942028986,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.656050527447423,0.326980986042275,0.56470615730232,0.324679703304113,0.950665758012732,0.86076625759222,"sKizzo",0.02,"ok" "ncf_8_16_4_euad.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.73262839879154,21.8768115942029,9.18115942028986,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.656050527447423,0.326980986042275,0.56470615730232,0.324679703304113,0.950665758012732,0.86076625759222,"sSolve",0.78,"ok" "ncf_8_16_4_euad.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"X2clsQ",2.24,"ok" "ncf_8_16_4_euad.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"quantor",3600,"memout" "ncf_8_16_4_euad.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"QuBE",0.11,"ok" "ncf_8_16_4_euad.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"sKizzo",1.2,"ok" "ncf_8_16_4_euad.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"sSolve",0.93,"ok" "ncf_8_16_4_euad.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.94108761329305,22.0942028985507,11.3550724637681,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.648760606668003,0.311471496835022,0.474998620152742,0.309615707333445,0.88677837038801,0.732163166614427,"X2clsQ",3600,"timeout" "ncf_8_16_4_euad.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.94108761329305,22.0942028985507,11.3550724637681,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.648760606668003,0.311471496835022,0.474998620152742,0.309615707333445,0.88677837038801,0.732163166614427,"quantor",3600,"memout" "ncf_8_16_4_euad.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.94108761329305,22.0942028985507,11.3550724637681,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.648760606668003,0.311471496835022,0.474998620152742,0.309615707333445,0.88677837038801,0.732163166614427,"QuBE",3131.96,"ok" "ncf_8_16_4_euad.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.94108761329305,22.0942028985507,11.3550724637681,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.648760606668003,0.311471496835022,0.474998620152742,0.309615707333445,0.88677837038801,0.732163166614427,"sKizzo",3600,"memout" "ncf_8_16_4_euad.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.94108761329305,22.0942028985507,11.3550724637681,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.648760606668003,0.311471496835022,0.474998620152742,0.309615707333445,0.88677837038801,0.732163166614427,"sSolve",1.11,"ok" "ncf_8_16_4_euad.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"X2clsQ",6.72,"ok" "ncf_8_16_4_euad.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"quantor",3600,"memout" "ncf_8_16_4_euad.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"QuBE",0.11,"ok" "ncf_8_16_4_euad.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"sKizzo",7.52,"ok" "ncf_8_16_4_euad.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.78700906344411,21.8768115942029,9.83333333333333,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.653631660283545,0.322397140443551,0.535697279358708,0.320128118678355,0.930792752904243,0.819570580663616,"sSolve",1.08,"ok" "ncf_8_16_4_euad.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.89577039274924,22.3115942028986,10.4855072463768,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.652714105375058,0.317287634257266,0.520156106917639,0.315736719011026,0.913620850048997,0.796912618609262,"X2clsQ",3600,"timeout" "ncf_8_16_4_euad.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.89577039274924,22.3115942028986,10.4855072463768,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.652714105375058,0.317287634257266,0.520156106917639,0.315736719011026,0.913620850048997,0.796912618609262,"quantor",3600,"memout" "ncf_8_16_4_euad.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.89577039274924,22.3115942028986,10.4855072463768,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.652714105375058,0.317287634257266,0.520156106917639,0.315736719011026,0.913620850048997,0.796912618609262,"QuBE",432.49,"ok" "ncf_8_16_4_euad.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.89577039274924,22.3115942028986,10.4855072463768,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.652714105375058,0.317287634257266,0.520156106917639,0.315736719011026,0.913620850048997,0.796912618609262,"sKizzo",3600,"memout" "ncf_8_16_4_euad.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.89577039274924,22.3115942028986,10.4855072463768,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.652714105375058,0.317287634257266,0.520156106917639,0.315736719011026,0.913620850048997,0.796912618609262,"sSolve",105.04,"ok" "ncf_8_16_4_u.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.50302114803625,18.5869565217391,8.47101449275362,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.657046192087275,0.331301294015147,0.462387186576566,0.318677630474912,0.966023080576077,0.703736194113956,"X2clsQ",0.01,"ok" "ncf_8_16_4_u.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.50302114803625,18.5869565217391,8.47101449275362,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.657046192087275,0.331301294015147,0.462387186576566,0.318677630474912,0.966023080576077,0.703736194113956,"quantor",0,"ok" "ncf_8_16_4_u.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.50302114803625,18.5869565217391,8.47101449275362,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.657046192087275,0.331301294015147,0.462387186576566,0.318677630474912,0.966023080576077,0.703736194113956,"QuBE",0.06,"ok" "ncf_8_16_4_u.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.50302114803625,18.5869565217391,8.47101449275362,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.657046192087275,0.331301294015147,0.462387186576566,0.318677630474912,0.966023080576077,0.703736194113956,"sKizzo",0,"ok" "ncf_8_16_4_u.1",1,524,138,662,1201,3105,3,3,6,0,1012,189,1153,775,1.90174854288093,0.683597002497918,174.666666666667,0.318900915903414,0.364696086594505,1.80211480362538,5.94927536231884,2.77536231884058,7.50302114803625,18.5869565217391,8.47101449275362,19.6145038167939,0.615780998389694,0.260869565217391,0.645359019264448,0.77092050209205,0.141062801932367,0.874429223744292,0.623953974895398,0.929311256161572,0.599739400867844,0.466504263093788,0.645359019264448,0.97394918394072,0.874429223744292,0.15736885928393,0.645295587010824,0.657046192087275,0.331301294015147,0.462387186576566,0.318677630474912,0.966023080576077,0.703736194113956,"sSolve",2.97,"ok" "ncf_8_16_4_u.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"X2clsQ",992.89,"ok" "ncf_8_16_4_u.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"quantor",3600,"memout" "ncf_8_16_4_u.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"QuBE",0.23,"ok" "ncf_8_16_4_u.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"sKizzo",3600,"memout" "ncf_8_16_4_u.10",1,524,138,662,1195,3088,3,3,6,0,1006,189,1162,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.6526717557252,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"sSolve",0.87,"ok" "ncf_8_16_4_u.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.51208459214502,18.7463768115942,7.99275362318841,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.658424342331204,0.335819662977021,0.488968923052726,0.32325425993986,0.983148697623656,0.742634941657066,"X2clsQ",0.04,"ok" "ncf_8_16_4_u.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.51208459214502,18.7463768115942,7.99275362318841,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.658424342331204,0.335819662977021,0.488968923052726,0.32325425993986,0.983148697623656,0.742634941657066,"quantor",0,"ok" "ncf_8_16_4_u.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.51208459214502,18.7463768115942,7.99275362318841,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.658424342331204,0.335819662977021,0.488968923052726,0.32325425993986,0.983148697623656,0.742634941657066,"QuBE",0.08,"ok" "ncf_8_16_4_u.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.51208459214502,18.7463768115942,7.99275362318841,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.658424342331204,0.335819662977021,0.488968923052726,0.32325425993986,0.983148697623656,0.742634941657066,"sKizzo",0.05,"ok" "ncf_8_16_4_u.2",1,524,138,662,1207,3122,3,3,6,0,1018,189,1149,757,1.90140845070423,0.685169842584921,174.666666666667,0.302402651201326,0.382767191383596,1.80513595166163,5.99275362318841,2.64492753623188,7.51208459214502,18.7463768115942,7.99275362318841,20.1412213740458,0.617232543241512,0.265855221012172,0.638344226579521,0.760249091852621,0.147982062780269,0.79004329004329,0.620134924753503,0.928702241174428,0.592831713865158,0.441354292623942,0.638344226579521,0.960467364134418,0.79004329004329,0.156586578293289,0.627174813587407,0.658424342331204,0.335819662977021,0.488968923052726,0.32325425993986,0.983148697623656,0.742634941657066,"sSolve",0.77,"ok" "ncf_8_16_4_u.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"X2clsQ",3600,"timeout" "ncf_8_16_4_u.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"quantor",3600,"memout" "ncf_8_16_4_u.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"QuBE",1211.5,"ok" "ncf_8_16_4_u.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"sKizzo",3600,"memout" "ncf_8_16_4_u.3",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.1068702290076,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"sSolve",71.6,"ok" "ncf_8_16_4_u.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"X2clsQ",3600,"timeout" "ncf_8_16_4_u.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"quantor",3600,"memout" "ncf_8_16_4_u.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"QuBE",23.96,"ok" "ncf_8_16_4_u.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"sKizzo",3600,"memout" "ncf_8_16_4_u.4",1,524,138,662,1201,3105,3,3,6,0,1012,189,1163,787,1.90174854288093,0.683597002497918,174.666666666667,0.328892589508743,0.354704412989176,1.80513595166163,5.94927536231884,2.86231884057971,7.51510574018127,18.5869565217391,8.78985507246377,20.0610687022901,0.615136876006441,0.257648953301127,0.649737302977233,0.77696335078534,0.13719806763285,0.927230046948357,0.62565445026178,0.929311256161572,0.603808189204804,0.481120584652862,0.649737302977233,0.981583469885296,0.927230046948357,0.15736885928393,0.655287260616153,0.656493820341089,0.328160997389411,0.447813378403666,0.315656989427756,0.955327783957958,0.682128855639492,"sSolve",1.26,"ok" "ncf_8_16_4_u.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.45166163141994,18.4275362318841,7.83333333333333,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.657597001457625,0.337784272594376,0.486802409859136,0.324679703304113,0.986510848422294,0.740274680054948,"X2clsQ",0.02,"ok" "ncf_8_16_4_u.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.45166163141994,18.4275362318841,7.83333333333333,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.657597001457625,0.337784272594376,0.486802409859136,0.324679703304113,0.986510848422294,0.740274680054948,"quantor",0,"ok" "ncf_8_16_4_u.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.45166163141994,18.4275362318841,7.83333333333333,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.657597001457625,0.337784272594376,0.486802409859136,0.324679703304113,0.986510848422294,0.740274680054948,"QuBE",0.07,"ok" "ncf_8_16_4_u.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.45166163141994,18.4275362318841,7.83333333333333,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.657597001457625,0.337784272594376,0.486802409859136,0.324679703304113,0.986510848422294,0.740274680054948,"sKizzo",0.02,"ok" "ncf_8_16_4_u.5",1,524,138,662,1195,3088,3,3,6,0,1006,189,1137,751,1.90209205020921,0.682008368200837,174.666666666667,0.300418410041841,0.381589958158996,1.78851963746224,5.90579710144928,2.60144927536232,7.45166163141994,18.4275362318841,7.83333333333333,19.4961832061069,0.616580310880829,0.267163212435233,0.637043554773427,0.760504201680672,0.147668393782383,0.787280701754386,0.621848739495798,0.929926976624609,0.592403986868647,0.440490797546012,0.637043554773427,0.9607896593752,0.787280701754386,0.1581589958159,0.628451882845188,0.657597001457625,0.337784272594376,0.486802409859136,0.324679703304113,0.986510848422294,0.740274680054948,"sSolve",0.77,"ok" "ncf_8_16_4_u.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"X2clsQ",2.46,"ok" "ncf_8_16_4_u.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"quantor",3600,"memout" "ncf_8_16_4_u.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"QuBE",0.13,"ok" "ncf_8_16_4_u.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"sKizzo",0.97,"ok" "ncf_8_16_4_u.6",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3702290076336,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"sSolve",0.83,"ok" "ncf_8_16_4_u.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.5392749244713,18.5869565217391,9.42753623188406,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.655389076848719,0.321880404137939,0.418665762057865,0.309615707333445,0.934040050717245,0.638804912756432,"X2clsQ",3600,"timeout" "ncf_8_16_4_u.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.5392749244713,18.5869565217391,9.42753623188406,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.655389076848719,0.321880404137939,0.418665762057865,0.309615707333445,0.934040050717245,0.638804912756432,"quantor",3600,"memout" "ncf_8_16_4_u.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.5392749244713,18.5869565217391,9.42753623188406,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.655389076848719,0.321880404137939,0.418665762057865,0.309615707333445,0.934040050717245,0.638804912756432,"QuBE",55.14,"ok" "ncf_8_16_4_u.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.5392749244713,18.5869565217391,9.42753623188406,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.655389076848719,0.321880404137939,0.418665762057865,0.309615707333445,0.934040050717245,0.638804912756432,"sKizzo",3600,"memout" "ncf_8_16_4_u.7",1,524,138,662,1201,3105,3,3,6,0,1012,189,1173,811,1.90174854288093,0.683597002497918,174.666666666667,0.3488759367194,0.334721065778518,1.81117824773414,5.94927536231884,3.03623188405797,7.5392749244713,18.5869565217391,9.42753623188406,19.9809160305344,0.613848631239936,0.251207729468599,0.658493870402802,0.789087093389297,0.129468599033816,1.04228855721393,0.62906610703043,0.929311256161572,0.611945765878723,0.510353227771011,0.658493870402802,0.996900106533806,1.04228855721393,0.15736885928393,0.675270607826811,0.655389076848719,0.321880404137939,0.418665762057865,0.309615707333445,0.934040050717245,0.638804912756432,"sSolve",1.56,"ok" "ncf_8_16_4_u.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"X2clsQ",12.39,"ok" "ncf_8_16_4_u.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"quantor",3600,"memout" "ncf_8_16_4_u.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"QuBE",0.11,"ok" "ncf_8_16_4_u.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"sKizzo",5.79,"ok" "ncf_8_16_4_u.8",1,524,138,662,1195,3088,3,3,6,0,1006,189,1147,769,1.90209205020921,0.682008368200837,174.666666666667,0.315481171548117,0.36652719665272,1.79305135951662,5.90579710144928,2.73188405797101,7.46978851963746,18.4275362318841,8.31159420289855,19.3511450381679,0.615608808290155,0.262305699481865,0.643642762868456,0.769594950026302,0.141839378238342,0.860730593607306,0.624408206207259,0.929926976624609,0.598540768500573,0.462576687116564,0.643642762868456,0.972274536101931,0.860730593607306,0.1581589958159,0.643514644351464,0.65676407302006,0.333048979053333,0.464826377676713,0.320128118678355,0.970320857678741,0.707752443795012,"sSolve",0.79,"ok" "ncf_8_16_4_u.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.54229607250755,18.7463768115942,8.78985507246377,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.657050621608627,0.328009903372904,0.452724595872268,0.315736719011026,0.956438250191489,0.689025443372815,"X2clsQ",3600,"timeout" "ncf_8_16_4_u.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.54229607250755,18.7463768115942,8.78985507246377,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.657050621608627,0.328009903372904,0.452724595872268,0.315736719011026,0.956438250191489,0.689025443372815,"quantor",3600,"memout" "ncf_8_16_4_u.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.54229607250755,18.7463768115942,8.78985507246377,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.657050621608627,0.328009903372904,0.452724595872268,0.315736719011026,0.956438250191489,0.689025443372815,"QuBE",399.44,"ok" "ncf_8_16_4_u.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.54229607250755,18.7463768115942,8.78985507246377,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.657050621608627,0.328009903372904,0.452724595872268,0.315736719011026,0.956438250191489,0.689025443372815,"sKizzo",3600,"memout" "ncf_8_16_4_u.9",1,524,138,662,1207,3122,3,3,6,0,1018,189,1159,787,1.90140845070423,0.685169842584921,174.666666666667,0.327257663628832,0.357912178956089,1.81268882175227,5.99275362318841,2.86231884057971,7.54229607250755,18.7463768115942,8.78985507246377,20.030534351145,0.615631005765535,0.257847533632287,0.649237472766884,0.775234131113424,0.138372837924407,0.914351851851852,0.624349635796046,0.928702241174428,0.602948296013027,0.477629987908102,0.649237472766885,0.979398845032608,0.914351851851852,0.156586578293289,0.652029826014913,0.657050621608627,0.328009903372904,0.452724595872268,0.315736719011026,0.956438250191489,0.689025443372815,"sSolve",305.29,"ok" "nusmv.dme1-16.B-d4-shuffled",1,1279,96,1375,3310,7722,2,1,3,1,2206,1103,2379,1902,2.21691842900302,0.116012084592145,639.5,0.0580060422960725,0.0580060422960725,2.40727272727273,4,2,6.64,8,4,28.1907740422205,0.571354571354571,0.403781403781404,0.575088579994549,0.956482320942883,0.0248640248640249,1,0.750226654578422,1.02159807007344,0.587509383443707,0.5,0.575088579994549,1.02827458271811,1,0.333232628398792,0.574622356495468,0.576648428081239,0.435985479996783,0.25503106742094,0.420521203961919,1.02984259163315,0.442264393695722,"X2clsQ",3600,"timeout" "nusmv.dme1-16.B-d4-shuffled",1,1279,96,1375,3310,7722,2,1,3,1,2206,1103,2379,1902,2.21691842900302,0.116012084592145,639.5,0.0580060422960725,0.0580060422960725,2.40727272727273,4,2,6.64,8,4,28.1907740422205,0.571354571354571,0.403781403781404,0.575088579994549,0.956482320942883,0.0248640248640249,1,0.750226654578422,1.02159807007344,0.587509383443707,0.5,0.575088579994549,1.02827458271811,1,0.333232628398792,0.574622356495468,0.576648428081239,0.435985479996783,0.25503106742094,0.420521203961919,1.02984259163315,0.442264393695722,"quantor",3600,"memout" "nusmv.dme1-16.B-d4-shuffled",1,1279,96,1375,3310,7722,2,1,3,1,2206,1103,2379,1902,2.21691842900302,0.116012084592145,639.5,0.0580060422960725,0.0580060422960725,2.40727272727273,4,2,6.64,8,4,28.1907740422205,0.571354571354571,0.403781403781404,0.575088579994549,0.956482320942883,0.0248640248640249,1,0.750226654578422,1.02159807007344,0.587509383443707,0.5,0.575088579994549,1.02827458271811,1,0.333232628398792,0.574622356495468,0.576648428081239,0.435985479996783,0.25503106742094,0.420521203961919,1.02984259163315,0.442264393695722,"QuBE",3600,"timeout" "nusmv.dme1-16.B-d4-shuffled",1,1279,96,1375,3310,7722,2,1,3,1,2206,1103,2379,1902,2.21691842900302,0.116012084592145,639.5,0.0580060422960725,0.0580060422960725,2.40727272727273,4,2,6.64,8,4,28.1907740422205,0.571354571354571,0.403781403781404,0.575088579994549,0.956482320942883,0.0248640248640249,1,0.750226654578422,1.02159807007344,0.587509383443707,0.5,0.575088579994549,1.02827458271811,1,0.333232628398792,0.574622356495468,0.576648428081239,0.435985479996783,0.25503106742094,0.420521203961919,1.02984259163315,0.442264393695722,"sKizzo",3600,"memout" "nusmv.dme1-16.B-d4-shuffled",1,1279,96,1375,3310,7722,2,1,3,1,2206,1103,2379,1902,2.21691842900302,0.116012084592145,639.5,0.0580060422960725,0.0580060422960725,2.40727272727273,4,2,6.64,8,4,28.1907740422205,0.571354571354571,0.403781403781404,0.575088579994549,0.956482320942883,0.0248640248640249,1,0.750226654578422,1.02159807007344,0.587509383443707,0.5,0.575088579994549,1.02827458271811,1,0.333232628398792,0.574622356495468,0.576648428081239,0.435985479996783,0.25503106742094,0.420521203961919,1.02984259163315,0.442264393695722,"sSolve",3600,"timeout" "nusmv.queue.B-d4-shuffled",1,10724,246,10970,31189,72773,2,1,3,1,20792,10396,25864,19127,2.16419250376735,0.169098079451089,5362,0.0845490397255443,0.0845490397255443,2.84311759343665,21.4390243902439,10.719512195122,7.60619872379216,42.8780487804878,21.4390243902439,45.045785154793,0.571420719222789,0.392343314141234,0.577001140757641,0.936586186994998,0.0362359666359776,1,0.750024047710658,0.948804820216957,0.547461463621533,0.5,0.577001140757641,0.958070726532556,1,0.333322645804611,0.613261085639168,0.578724156211345,0.403701136733631,1.18741876434996,0.41684753915645,0.958282186566953,2.05178711067372,"X2clsQ",3600,"timeout" "nusmv.queue.B-d4-shuffled",1,10724,246,10970,31189,72773,2,1,3,1,20792,10396,25864,19127,2.16419250376735,0.169098079451089,5362,0.0845490397255443,0.0845490397255443,2.84311759343665,21.4390243902439,10.719512195122,7.60619872379216,42.8780487804878,21.4390243902439,45.045785154793,0.571420719222789,0.392343314141234,0.577001140757641,0.936586186994998,0.0362359666359776,1,0.750024047710658,0.948804820216957,0.547461463621533,0.5,0.577001140757641,0.958070726532556,1,0.333322645804611,0.613261085639168,0.578724156211345,0.403701136733631,1.18741876434996,0.41684753915645,0.958282186566953,2.05178711067372,"quantor",3600,"memout" "nusmv.queue.B-d4-shuffled",1,10724,246,10970,31189,72773,2,1,3,1,20792,10396,25864,19127,2.16419250376735,0.169098079451089,5362,0.0845490397255443,0.0845490397255443,2.84311759343665,21.4390243902439,10.719512195122,7.60619872379216,42.8780487804878,21.4390243902439,45.045785154793,0.571420719222789,0.392343314141234,0.577001140757641,0.936586186994998,0.0362359666359776,1,0.750024047710658,0.948804820216957,0.547461463621533,0.5,0.577001140757641,0.958070726532556,1,0.333322645804611,0.613261085639168,0.578724156211345,0.403701136733631,1.18741876434996,0.41684753915645,0.958282186566953,2.05178711067372,"QuBE",3600,"memout" "nusmv.queue.B-d4-shuffled",1,10724,246,10970,31189,72773,2,1,3,1,20792,10396,25864,19127,2.16419250376735,0.169098079451089,5362,0.0845490397255443,0.0845490397255443,2.84311759343665,21.4390243902439,10.719512195122,7.60619872379216,42.8780487804878,21.4390243902439,45.045785154793,0.571420719222789,0.392343314141234,0.577001140757641,0.936586186994998,0.0362359666359776,1,0.750024047710658,0.948804820216957,0.547461463621533,0.5,0.577001140757641,0.958070726532556,1,0.333322645804611,0.613261085639168,0.578724156211345,0.403701136733631,1.18741876434996,0.41684753915645,0.958282186566953,2.05178711067372,"sKizzo",3600,"memout" "nusmv.queue.B-d4-shuffled",1,10724,246,10970,31189,72773,2,1,3,1,20792,10396,25864,19127,2.16419250376735,0.169098079451089,5362,0.0845490397255443,0.0845490397255443,2.84311759343665,21.4390243902439,10.719512195122,7.60619872379216,42.8780487804878,21.4390243902439,45.045785154793,0.571420719222789,0.392343314141234,0.577001140757641,0.936586186994998,0.0362359666359776,1,0.750024047710658,0.948804820216957,0.547461463621533,0.5,0.577001140757641,0.958070726532556,1,0.333322645804611,0.613261085639168,0.578724156211345,0.403701136733631,1.18741876434996,0.41684753915645,0.958282186566953,2.05178711067372,"sSolve",3600,"timeout" "nusmv.reactor^1.C-d4-shuffled",1,9546,284,9830,27568,64324,2,1,3,1,18378,9189,19882,15309,2.13943702843877,0.193847939640163,4773,0.0969239698200813,0.0969239698200813,2.80447609359105,18.8169014084507,9.40845070422535,7.51108850457782,37.6338028169014,18.8169014084507,38.5370835952231,0.571419687830359,0.38704060692743,0.577890810444218,0.927304385678529,0.0415397052422113,1,0.750027206442486,0.944199601397248,0.545644272872563,0.5,0.577890810444218,0.954892322566513,1,0.333321242019733,0.555317759721416,0.578650018261505,0.402481419693684,1.05557284539964,0.416241248541424,0.955218789930976,1.82419910496332,"X2clsQ",3600,"timeout" "nusmv.reactor^1.C-d4-shuffled",1,9546,284,9830,27568,64324,2,1,3,1,18378,9189,19882,15309,2.13943702843877,0.193847939640163,4773,0.0969239698200813,0.0969239698200813,2.80447609359105,18.8169014084507,9.40845070422535,7.51108850457782,37.6338028169014,18.8169014084507,38.5370835952231,0.571419687830359,0.38704060692743,0.577890810444218,0.927304385678529,0.0415397052422113,1,0.750027206442486,0.944199601397248,0.545644272872563,0.5,0.577890810444218,0.954892322566513,1,0.333321242019733,0.555317759721416,0.578650018261505,0.402481419693684,1.05557284539964,0.416241248541424,0.955218789930976,1.82419910496332,"quantor",3600,"memout" "nusmv.reactor^1.C-d4-shuffled",1,9546,284,9830,27568,64324,2,1,3,1,18378,9189,19882,15309,2.13943702843877,0.193847939640163,4773,0.0969239698200813,0.0969239698200813,2.80447609359105,18.8169014084507,9.40845070422535,7.51108850457782,37.6338028169014,18.8169014084507,38.5370835952231,0.571419687830359,0.38704060692743,0.577890810444218,0.927304385678529,0.0415397052422113,1,0.750027206442486,0.944199601397248,0.545644272872563,0.5,0.577890810444218,0.954892322566513,1,0.333321242019733,0.555317759721416,0.578650018261505,0.402481419693684,1.05557284539964,0.416241248541424,0.955218789930976,1.82419910496332,"QuBE",3600,"memout" "nusmv.reactor^1.C-d4-shuffled",1,9546,284,9830,27568,64324,2,1,3,1,18378,9189,19882,15309,2.13943702843877,0.193847939640163,4773,0.0969239698200813,0.0969239698200813,2.80447609359105,18.8169014084507,9.40845070422535,7.51108850457782,37.6338028169014,18.8169014084507,38.5370835952231,0.571419687830359,0.38704060692743,0.577890810444218,0.927304385678529,0.0415397052422113,1,0.750027206442486,0.944199601397248,0.545644272872563,0.5,0.577890810444218,0.954892322566513,1,0.333321242019733,0.555317759721416,0.578650018261505,0.402481419693684,1.05557284539964,0.416241248541424,0.955218789930976,1.82419910496332,"sKizzo",5.65,"ok" "nusmv.reactor^1.C-d4-shuffled",1,9546,284,9830,27568,64324,2,1,3,1,18378,9189,19882,15309,2.13943702843877,0.193847939640163,4773,0.0969239698200813,0.0969239698200813,2.80447609359105,18.8169014084507,9.40845070422535,7.51108850457782,37.6338028169014,18.8169014084507,38.5370835952231,0.571419687830359,0.38704060692743,0.577890810444218,0.927304385678529,0.0415397052422113,1,0.750027206442486,0.944199601397248,0.545644272872563,0.5,0.577890810444218,0.954892322566513,1,0.333321242019733,0.555317759721416,0.578650018261505,0.402481419693684,1.05557284539964,0.416241248541424,0.955218789930976,1.82419910496332,"sSolve",3600,"timeout" "nusmv.reactor^2.C-d4-shuffled",1,9620,288,9908,27775,64807,2,1,3,1,18516,9258,20029,15434,2.14145814581458,0.191827182718272,4810,0.0959135913591359,0.0959135913591359,2.80329027048849,18.5,9.25,7.50635849818329,37,18.5,38.8960498960499,0.571419754038915,0.387473575385375,0.57781738092436,0.928062216461439,0.0411066705757094,1,0.7500270036725,0.945263016202884,0.546189400307011,0.5,0.57781738092436,0.955846199656958,1,0.333321332133213,0.555679567956796,0.578663811417597,0.402862294617763,1.0384155633735,0.41628230868016,0.956154029809792,1.79450579573935,"X2clsQ",3600,"timeout" "nusmv.reactor^2.C-d4-shuffled",1,9620,288,9908,27775,64807,2,1,3,1,18516,9258,20029,15434,2.14145814581458,0.191827182718272,4810,0.0959135913591359,0.0959135913591359,2.80329027048849,18.5,9.25,7.50635849818329,37,18.5,38.8960498960499,0.571419754038915,0.387473575385375,0.57781738092436,0.928062216461439,0.0411066705757094,1,0.7500270036725,0.945263016202884,0.546189400307011,0.5,0.57781738092436,0.955846199656958,1,0.333321332133213,0.555679567956796,0.578663811417597,0.402862294617763,1.0384155633735,0.41628230868016,0.956154029809792,1.79450579573935,"quantor",3600,"memout" "nusmv.reactor^2.C-d4-shuffled",1,9620,288,9908,27775,64807,2,1,3,1,18516,9258,20029,15434,2.14145814581458,0.191827182718272,4810,0.0959135913591359,0.0959135913591359,2.80329027048849,18.5,9.25,7.50635849818329,37,18.5,38.8960498960499,0.571419754038915,0.387473575385375,0.57781738092436,0.928062216461439,0.0411066705757094,1,0.7500270036725,0.945263016202884,0.546189400307011,0.5,0.57781738092436,0.955846199656958,1,0.333321332133213,0.555679567956796,0.578663811417597,0.402862294617763,1.0384155633735,0.41628230868016,0.956154029809792,1.79450579573935,"QuBE",3600,"memout" "nusmv.reactor^2.C-d4-shuffled",1,9620,288,9908,27775,64807,2,1,3,1,18516,9258,20029,15434,2.14145814581458,0.191827182718272,4810,0.0959135913591359,0.0959135913591359,2.80329027048849,18.5,9.25,7.50635849818329,37,18.5,38.8960498960499,0.571419754038915,0.387473575385375,0.57781738092436,0.928062216461439,0.0411066705757094,1,0.7500270036725,0.945263016202884,0.546189400307011,0.5,0.57781738092436,0.955846199656958,1,0.333321332133213,0.555679567956796,0.578663811417597,0.402862294617763,1.0384155633735,0.41628230868016,0.956154029809792,1.79450579573935,"sKizzo",6.89,"ok" "nusmv.reactor^2.C-d4-shuffled",1,9620,288,9908,27775,64807,2,1,3,1,18516,9258,20029,15434,2.14145814581458,0.191827182718272,4810,0.0959135913591359,0.0959135913591359,2.80329027048849,18.5,9.25,7.50635849818329,37,18.5,38.8960498960499,0.571419754038915,0.387473575385375,0.57781738092436,0.928062216461439,0.0411066705757094,1,0.7500270036725,0.945263016202884,0.546189400307011,0.5,0.57781738092436,0.955846199656958,1,0.333321332133213,0.555679567956796,0.578663811417597,0.402862294617763,1.0384155633735,0.41628230868016,0.956154029809792,1.79450579573935,"sSolve",3600,"timeout" "nusmv.reactor^5.C-f4-shuffled",1,9384,284,9668,27082,63190,2,1,3,1,18054,9027,19544,15008,2.14201314526254,0.191270954877779,4692,0.0956354774388893,0.0956354774388893,2.80119983450559,18.2394366197183,9.11971830985915,7.50537856847331,36.4788732394366,18.2394366197183,38.7006606990622,0.571419528406393,0.387592973571768,0.577796931563524,0.928270743325579,0.0409874980218389,1,0.750027694693697,0.9458083693787,0.546485173674113,0.5,0.577796931563524,0.956364188669192,1,0.333321025035079,0.554168820618861,0.578622780223226,0.403138568017123,1.02402337510271,0.416344335833714,0.956716569136526,1.76975986791887,"X2clsQ",3600,"memout" "nusmv.reactor^5.C-f4-shuffled",1,9384,284,9668,27082,63190,2,1,3,1,18054,9027,19544,15008,2.14201314526254,0.191270954877779,4692,0.0956354774388893,0.0956354774388893,2.80119983450559,18.2394366197183,9.11971830985915,7.50537856847331,36.4788732394366,18.2394366197183,38.7006606990622,0.571419528406393,0.387592973571768,0.577796931563524,0.928270743325579,0.0409874980218389,1,0.750027694693697,0.9458083693787,0.546485173674113,0.5,0.577796931563524,0.956364188669192,1,0.333321025035079,0.554168820618861,0.578622780223226,0.403138568017123,1.02402337510271,0.416344335833714,0.956716569136526,1.76975986791887,"quantor",3600,"memout" "nusmv.reactor^5.C-f4-shuffled",1,9384,284,9668,27082,63190,2,1,3,1,18054,9027,19544,15008,2.14201314526254,0.191270954877779,4692,0.0956354774388893,0.0956354774388893,2.80119983450559,18.2394366197183,9.11971830985915,7.50537856847331,36.4788732394366,18.2394366197183,38.7006606990622,0.571419528406393,0.387592973571768,0.577796931563524,0.928270743325579,0.0409874980218389,1,0.750027694693697,0.9458083693787,0.546485173674113,0.5,0.577796931563524,0.956364188669192,1,0.333321025035079,0.554168820618861,0.578622780223226,0.403138568017123,1.02402337510271,0.416344335833714,0.956716569136526,1.76975986791887,"QuBE",0.81,"ok" "nusmv.reactor^5.C-f4-shuffled",1,9384,284,9668,27082,63190,2,1,3,1,18054,9027,19544,15008,2.14201314526254,0.191270954877779,4692,0.0956354774388893,0.0956354774388893,2.80119983450559,18.2394366197183,9.11971830985915,7.50537856847331,36.4788732394366,18.2394366197183,38.7006606990622,0.571419528406393,0.387592973571768,0.577796931563524,0.928270743325579,0.0409874980218389,1,0.750027694693697,0.9458083693787,0.546485173674113,0.5,0.577796931563524,0.956364188669192,1,0.333321025035079,0.554168820618861,0.578622780223226,0.403138568017123,1.02402337510271,0.416344335833714,0.956716569136526,1.76975986791887,"sKizzo",3600,"memout" "nusmv.reactor^5.C-f4-shuffled",1,9384,284,9668,27082,63190,2,1,3,1,18054,9027,19544,15008,2.14201314526254,0.191270954877779,4692,0.0956354774388893,0.0956354774388893,2.80119983450559,18.2394366197183,9.11971830985915,7.50537856847331,36.4788732394366,18.2394366197183,38.7006606990622,0.571419528406393,0.387592973571768,0.577796931563524,0.928270743325579,0.0409874980218389,1,0.750027694693697,0.9458083693787,0.546485173674113,0.5,0.577796931563524,0.956364188669192,1,0.333321025035079,0.554168820618861,0.578622780223226,0.403138568017123,1.02402337510271,0.416344335833714,0.956716569136526,1.76975986791887,"sSolve",3600,"timeout" "nusmv.syncarb10^2.B-f2",1,959,40,999,2728,6364,2,1,3,1,1818,909,2133,1688,2.21700879765396,0.1158357771261,479.5,0.0579178885630499,0.0579178885630499,2.73073073073073,7.9,3.95,7.57957957957958,15.8,7.9,37.1668404588113,0.571338780641106,0.403834066624764,0.575066137566138,0.956545654565457,0.0248271527341295,1,0.75027502750275,0.989984722457987,0.569306690593399,0.5,0.575066137566138,0.996443283535861,1,0.333211143695015,0.618768328445748,0.57622565480188,0.423027120678511,0.441689053055742,0.420979345555813,0.998236739604283,0.766520979020979,"X2clsQ",3600,"timeout" "nusmv.syncarb10^2.B-f2",1,959,40,999,2728,6364,2,1,3,1,1818,909,2133,1688,2.21700879765396,0.1158357771261,479.5,0.0579178885630499,0.0579178885630499,2.73073073073073,7.9,3.95,7.57957957957958,15.8,7.9,37.1668404588113,0.571338780641106,0.403834066624764,0.575066137566138,0.956545654565457,0.0248271527341295,1,0.75027502750275,0.989984722457987,0.569306690593399,0.5,0.575066137566138,0.996443283535861,1,0.333211143695015,0.618768328445748,0.57622565480188,0.423027120678511,0.441689053055742,0.420979345555813,0.998236739604283,0.766520979020979,"quantor",3600,"memout" "nusmv.syncarb10^2.B-f2",1,959,40,999,2728,6364,2,1,3,1,1818,909,2133,1688,2.21700879765396,0.1158357771261,479.5,0.0579178885630499,0.0579178885630499,2.73073073073073,7.9,3.95,7.57957957957958,15.8,7.9,37.1668404588113,0.571338780641106,0.403834066624764,0.575066137566138,0.956545654565457,0.0248271527341295,1,0.75027502750275,0.989984722457987,0.569306690593399,0.5,0.575066137566138,0.996443283535861,1,0.333211143695015,0.618768328445748,0.57622565480188,0.423027120678511,0.441689053055742,0.420979345555813,0.998236739604283,0.766520979020979,"QuBE",3600,"timeout" "nusmv.syncarb10^2.B-f2",1,959,40,999,2728,6364,2,1,3,1,1818,909,2133,1688,2.21700879765396,0.1158357771261,479.5,0.0579178885630499,0.0579178885630499,2.73073073073073,7.9,3.95,7.57957957957958,15.8,7.9,37.1668404588113,0.571338780641106,0.403834066624764,0.575066137566138,0.956545654565457,0.0248271527341295,1,0.75027502750275,0.989984722457987,0.569306690593399,0.5,0.575066137566138,0.996443283535861,1,0.333211143695015,0.618768328445748,0.57622565480188,0.423027120678511,0.441689053055742,0.420979345555813,0.998236739604283,0.766520979020979,"sKizzo",3600,"memout" "nusmv.syncarb10^2.B-f2",1,959,40,999,2728,6364,2,1,3,1,1818,909,2133,1688,2.21700879765396,0.1158357771261,479.5,0.0579178885630499,0.0579178885630499,2.73073073073073,7.9,3.95,7.57957957957958,15.8,7.9,37.1668404588113,0.571338780641106,0.403834066624764,0.575066137566138,0.956545654565457,0.0248271527341295,1,0.75027502750275,0.989984722457987,0.569306690593399,0.5,0.575066137566138,0.996443283535861,1,0.333211143695015,0.618768328445748,0.57622565480188,0.423027120678511,0.441689053055742,0.420979345555813,0.998236739604283,0.766520979020979,"sSolve",3600,"timeout" "nusmv.syncarb10^2.B-f3",1,1365,50,1415,3916,9136,2,1,3,1,2610,1305,3056,2416,2.2170582226762,0.115934627170582,682.5,0.0579673135852911,0.0579673135852911,2.76749116607774,9.08,4.54,7.69540636042403,18.16,9.08,37.978021978022,0.571366024518389,0.403787215411559,0.575097903708823,0.956513409961686,0.0248467600700525,1,0.750191570881226,0.985116241026924,0.566538285124099,0.5,0.575097903708823,0.991550531205704,1,0.333248212461696,0.616956077630235,0.576138575321137,0.421067903162103,0.500124562086415,0.421071745621822,0.99340935184447,0.86806296871833,"X2clsQ",3600,"timeout" "nusmv.syncarb10^2.B-f3",1,1365,50,1415,3916,9136,2,1,3,1,2610,1305,3056,2416,2.2170582226762,0.115934627170582,682.5,0.0579673135852911,0.0579673135852911,2.76749116607774,9.08,4.54,7.69540636042403,18.16,9.08,37.978021978022,0.571366024518389,0.403787215411559,0.575097903708823,0.956513409961686,0.0248467600700525,1,0.750191570881226,0.985116241026924,0.566538285124099,0.5,0.575097903708823,0.991550531205704,1,0.333248212461696,0.616956077630235,0.576138575321137,0.421067903162103,0.500124562086415,0.421071745621822,0.99340935184447,0.86806296871833,"quantor",3600,"memout" "nusmv.syncarb10^2.B-f3",1,1365,50,1415,3916,9136,2,1,3,1,2610,1305,3056,2416,2.2170582226762,0.115934627170582,682.5,0.0579673135852911,0.0579673135852911,2.76749116607774,9.08,4.54,7.69540636042403,18.16,9.08,37.978021978022,0.571366024518389,0.403787215411559,0.575097903708823,0.956513409961686,0.0248467600700525,1,0.750191570881226,0.985116241026924,0.566538285124099,0.5,0.575097903708823,0.991550531205704,1,0.333248212461696,0.616956077630235,0.576138575321137,0.421067903162103,0.500124562086415,0.421071745621822,0.99340935184447,0.86806296871833,"QuBE",3600,"timeout" "nusmv.syncarb10^2.B-f3",1,1365,50,1415,3916,9136,2,1,3,1,2610,1305,3056,2416,2.2170582226762,0.115934627170582,682.5,0.0579673135852911,0.0579673135852911,2.76749116607774,9.08,4.54,7.69540636042403,18.16,9.08,37.978021978022,0.571366024518389,0.403787215411559,0.575097903708823,0.956513409961686,0.0248467600700525,1,0.750191570881226,0.985116241026924,0.566538285124099,0.5,0.575097903708823,0.991550531205704,1,0.333248212461696,0.616956077630235,0.576138575321137,0.421067903162103,0.500124562086415,0.421071745621822,0.99340935184447,0.86806296871833,"sKizzo",3600,"memout" "nusmv.syncarb10^2.B-f3",1,1365,50,1415,3916,9136,2,1,3,1,2610,1305,3056,2416,2.2170582226762,0.115934627170582,682.5,0.0579673135852911,0.0579673135852911,2.76749116607774,9.08,4.54,7.69540636042403,18.16,9.08,37.978021978022,0.571366024518389,0.403787215411559,0.575097903708823,0.956513409961686,0.0248467600700525,1,0.750191570881226,0.985116241026924,0.566538285124099,0.5,0.575097903708823,0.991550531205704,1,0.333248212461696,0.616956077630235,0.576138575321137,0.421067903162103,0.500124562086415,0.421071745621822,0.99340935184447,0.86806296871833,"sSolve",3600,"timeout" "nusmv.syncarb10^2.B-f4",1,1771,60,1831,5104,11908,2,1,3,1,3402,1701,3979,3144,2.21708463949843,0.115987460815047,885.5,0.0579937304075235,0.0579937304075235,2.78754778809394,9.86666666666667,4.93333333333333,7.75860185690879,19.7333333333333,9.86666666666667,38.6431394692264,0.571380584481021,0.403762176687941,0.575114881583598,0.956496178718401,0.024857238831038,1,0.750146972369195,0.982480401694375,0.565039099878667,0.5,0.575114881583598,0.988901469922864,1,0.33326802507837,0.615987460815047,0.57609214609692,0.420005697749167,0.539086496379406,0.421121009651077,0.990794800997471,0.935764356504023,"X2clsQ",3600,"timeout" "nusmv.syncarb10^2.B-f4",1,1771,60,1831,5104,11908,2,1,3,1,3402,1701,3979,3144,2.21708463949843,0.115987460815047,885.5,0.0579937304075235,0.0579937304075235,2.78754778809394,9.86666666666667,4.93333333333333,7.75860185690879,19.7333333333333,9.86666666666667,38.6431394692264,0.571380584481021,0.403762176687941,0.575114881583598,0.956496178718401,0.024857238831038,1,0.750146972369195,0.982480401694375,0.565039099878667,0.5,0.575114881583598,0.988901469922864,1,0.33326802507837,0.615987460815047,0.57609214609692,0.420005697749167,0.539086496379406,0.421121009651077,0.990794800997471,0.935764356504023,"quantor",3600,"memout" "nusmv.syncarb10^2.B-f4",1,1771,60,1831,5104,11908,2,1,3,1,3402,1701,3979,3144,2.21708463949843,0.115987460815047,885.5,0.0579937304075235,0.0579937304075235,2.78754778809394,9.86666666666667,4.93333333333333,7.75860185690879,19.7333333333333,9.86666666666667,38.6431394692264,0.571380584481021,0.403762176687941,0.575114881583598,0.956496178718401,0.024857238831038,1,0.750146972369195,0.982480401694375,0.565039099878667,0.5,0.575114881583598,0.988901469922864,1,0.33326802507837,0.615987460815047,0.57609214609692,0.420005697749167,0.539086496379406,0.421121009651077,0.990794800997471,0.935764356504023,"QuBE",3600,"timeout" "nusmv.syncarb10^2.B-f4",1,1771,60,1831,5104,11908,2,1,3,1,3402,1701,3979,3144,2.21708463949843,0.115987460815047,885.5,0.0579937304075235,0.0579937304075235,2.78754778809394,9.86666666666667,4.93333333333333,7.75860185690879,19.7333333333333,9.86666666666667,38.6431394692264,0.571380584481021,0.403762176687941,0.575114881583598,0.956496178718401,0.024857238831038,1,0.750146972369195,0.982480401694375,0.565039099878667,0.5,0.575114881583598,0.988901469922864,1,0.33326802507837,0.615987460815047,0.57609214609692,0.420005697749167,0.539086496379406,0.421121009651077,0.990794800997471,0.935764356504023,"sKizzo",3600,"memout" "nusmv.syncarb10^2.B-f4",1,1771,60,1831,5104,11908,2,1,3,1,3402,1701,3979,3144,2.21708463949843,0.115987460815047,885.5,0.0579937304075235,0.0579937304075235,2.78754778809394,9.86666666666667,4.93333333333333,7.75860185690879,19.7333333333333,9.86666666666667,38.6431394692264,0.571380584481021,0.403762176687941,0.575114881583598,0.956496178718401,0.024857238831038,1,0.750146972369195,0.982480401694375,0.565039099878667,0.5,0.575114881583598,0.988901469922864,1,0.33326802507837,0.615987460815047,0.57609214609692,0.420005697749167,0.539086496379406,0.421121009651077,0.990794800997471,0.935764356504023,"sSolve",3600,"timeout" "nusmv.syncarb5^2.B-f4-shuffled",1,651,30,681,1849,4313,2,1,3,1,1232,616,1409,1094,2.17468902109248,0.157923201730665,325.5,0.0789616008653326,0.0789616008653326,2.7151248164464,9.73333333333333,4.86666666666667,7.34361233480176,19.4666666666667,9.73333333333333,29.3425499231951,0.571296081613726,0.394852770693253,0.576473514051231,0.940746753246753,0.0338511476930211,1,0.750405844155844,0.975260732476352,0.56221198156682,0.5,0.576473514051231,0.984099138189,1,0.333153055705787,0.591671173607355,0.577867814636617,0.415801857710385,0.559500295433443,0.418094646186629,0.985003920874811,0.968215016067777,"X2clsQ",3600,"timeout" "nusmv.syncarb5^2.B-f4-shuffled",1,651,30,681,1849,4313,2,1,3,1,1232,616,1409,1094,2.17468902109248,0.157923201730665,325.5,0.0789616008653326,0.0789616008653326,2.7151248164464,9.73333333333333,4.86666666666667,7.34361233480176,19.4666666666667,9.73333333333333,29.3425499231951,0.571296081613726,0.394852770693253,0.576473514051231,0.940746753246753,0.0338511476930211,1,0.750405844155844,0.975260732476352,0.56221198156682,0.5,0.576473514051231,0.984099138189,1,0.333153055705787,0.591671173607355,0.577867814636617,0.415801857710385,0.559500295433443,0.418094646186629,0.985003920874811,0.968215016067777,"quantor",3600,"memout" "nusmv.syncarb5^2.B-f4-shuffled",1,651,30,681,1849,4313,2,1,3,1,1232,616,1409,1094,2.17468902109248,0.157923201730665,325.5,0.0789616008653326,0.0789616008653326,2.7151248164464,9.73333333333333,4.86666666666667,7.34361233480176,19.4666666666667,9.73333333333333,29.3425499231951,0.571296081613726,0.394852770693253,0.576473514051231,0.940746753246753,0.0338511476930211,1,0.750405844155844,0.975260732476352,0.56221198156682,0.5,0.576473514051231,0.984099138189,1,0.333153055705787,0.591671173607355,0.577867814636617,0.415801857710385,0.559500295433443,0.418094646186629,0.985003920874811,0.968215016067777,"QuBE",3600,"timeout" "nusmv.syncarb5^2.B-f4-shuffled",1,651,30,681,1849,4313,2,1,3,1,1232,616,1409,1094,2.17468902109248,0.157923201730665,325.5,0.0789616008653326,0.0789616008653326,2.7151248164464,9.73333333333333,4.86666666666667,7.34361233480176,19.4666666666667,9.73333333333333,29.3425499231951,0.571296081613726,0.394852770693253,0.576473514051231,0.940746753246753,0.0338511476930211,1,0.750405844155844,0.975260732476352,0.56221198156682,0.5,0.576473514051231,0.984099138189,1,0.333153055705787,0.591671173607355,0.577867814636617,0.415801857710385,0.559500295433443,0.418094646186629,0.985003920874811,0.968215016067777,"sKizzo",2.06,"ok" "nusmv.syncarb5^2.B-f4-shuffled",1,651,30,681,1849,4313,2,1,3,1,1232,616,1409,1094,2.17468902109248,0.157923201730665,325.5,0.0789616008653326,0.0789616008653326,2.7151248164464,9.73333333333333,4.86666666666667,7.34361233480176,19.4666666666667,9.73333333333333,29.3425499231951,0.571296081613726,0.394852770693253,0.576473514051231,0.940746753246753,0.0338511476930211,1,0.750405844155844,0.975260732476352,0.56221198156682,0.5,0.576473514051231,0.984099138189,1,0.333153055705787,0.591671173607355,0.577867814636617,0.415801857710385,0.559500295433443,0.418094646186629,0.985003920874811,0.968215016067777,"sSolve",135.13,"ok" "nusmv.tcas-t^5.B-f2-shuffled",1,10037,300,10337,28786,67166,2,1,3,1,19190,9595,21014,15789,2.17869797818384,0.154589036337108,5018.5,0.0772945181685542,0.0772945181685542,2.78475379703976,14.8333333333333,7.41666666666667,7.48369933249492,29.6666666666667,14.8333333333333,41.2112184915811,0.571420063722717,0.395453056606021,0.5764876586517,0.942027097446587,0.0331268796712622,1,0.750026055237103,0.961655364120387,0.55438244929161,0.5,0.5764876586517,0.970183730826479,1,0.333321753630237,0.548495796567776,0.578425068119891,0.409200037789335,0.835597638510445,0.417577319587629,0.9706460390432,1.44460827264362,"X2clsQ",3600,"timeout" "nusmv.tcas-t^5.B-f2-shuffled",1,10037,300,10337,28786,67166,2,1,3,1,19190,9595,21014,15789,2.17869797818384,0.154589036337108,5018.5,0.0772945181685542,0.0772945181685542,2.78475379703976,14.8333333333333,7.41666666666667,7.48369933249492,29.6666666666667,14.8333333333333,41.2112184915811,0.571420063722717,0.395453056606021,0.5764876586517,0.942027097446587,0.0331268796712622,1,0.750026055237103,0.961655364120387,0.55438244929161,0.5,0.5764876586517,0.970183730826479,1,0.333321753630237,0.548495796567776,0.578425068119891,0.409200037789335,0.835597638510445,0.417577319587629,0.9706460390432,1.44460827264362,"quantor",3600,"memout" "nusmv.tcas-t^5.B-f2-shuffled",1,10037,300,10337,28786,67166,2,1,3,1,19190,9595,21014,15789,2.17869797818384,0.154589036337108,5018.5,0.0772945181685542,0.0772945181685542,2.78475379703976,14.8333333333333,7.41666666666667,7.48369933249492,29.6666666666667,14.8333333333333,41.2112184915811,0.571420063722717,0.395453056606021,0.5764876586517,0.942027097446587,0.0331268796712622,1,0.750026055237103,0.961655364120387,0.55438244929161,0.5,0.5764876586517,0.970183730826479,1,0.333321753630237,0.548495796567776,0.578425068119891,0.409200037789335,0.835597638510445,0.417577319587629,0.9706460390432,1.44460827264362,"QuBE",3600,"memout" "nusmv.tcas-t^5.B-f2-shuffled",1,10037,300,10337,28786,67166,2,1,3,1,19190,9595,21014,15789,2.17869797818384,0.154589036337108,5018.5,0.0772945181685542,0.0772945181685542,2.78475379703976,14.8333333333333,7.41666666666667,7.48369933249492,29.6666666666667,14.8333333333333,41.2112184915811,0.571420063722717,0.395453056606021,0.5764876586517,0.942027097446587,0.0331268796712622,1,0.750026055237103,0.961655364120387,0.55438244929161,0.5,0.5764876586517,0.970183730826479,1,0.333321753630237,0.548495796567776,0.578425068119891,0.409200037789335,0.835597638510445,0.417577319587629,0.9706460390432,1.44460827264362,"sKizzo",3600,"memout" "nusmv.tcas-t^5.B-f2-shuffled",1,10037,300,10337,28786,67166,2,1,3,1,19190,9595,21014,15789,2.17869797818384,0.154589036337108,5018.5,0.0772945181685542,0.0772945181685542,2.78475379703976,14.8333333333333,7.41666666666667,7.48369933249492,29.6666666666667,14.8333333333333,41.2112184915811,0.571420063722717,0.395453056606021,0.5764876586517,0.942027097446587,0.0331268796712622,1,0.750026055237103,0.961655364120387,0.55438244929161,0.5,0.5764876586517,0.970183730826479,1,0.333321753630237,0.548495796567776,0.578425068119891,0.409200037789335,0.835597638510445,0.417577319587629,0.9706460390432,1.44460827264362,"sSolve",3600,"timeout" "nusmv.tcas-t^6.B-f3-shuffled",1,15894,442,16336,45931,107171,2,1,3,1,30620,15310,33502,25126,2.17580718904444,0.157497115238074,7947,0.0787485576190372,0.0787485576190372,2.8116429970617,16.3665158371041,8.18325791855204,7.61226738491675,32.7330316742081,16.3665158371041,43.0098779413615,0.571423239495759,0.394826958785492,0.576593253749862,0.940937295885042,0.0337498017187485,1,0.750016329196604,0.958432520337552,0.55262572540111,0.5,0.576593253749862,0.967104043386061,1,0.333326076070628,0.547037948226688,0.577938805640878,0.408563197659967,0.907439383355447,0.41803638544154,0.968018863426543,1.57013056486004,"X2clsQ",3600,"timeout" "nusmv.tcas-t^6.B-f3-shuffled",1,15894,442,16336,45931,107171,2,1,3,1,30620,15310,33502,25126,2.17580718904444,0.157497115238074,7947,0.0787485576190372,0.0787485576190372,2.8116429970617,16.3665158371041,8.18325791855204,7.61226738491675,32.7330316742081,16.3665158371041,43.0098779413615,0.571423239495759,0.394826958785492,0.576593253749862,0.940937295885042,0.0337498017187485,1,0.750016329196604,0.958432520337552,0.55262572540111,0.5,0.576593253749862,0.967104043386061,1,0.333326076070628,0.547037948226688,0.577938805640878,0.408563197659967,0.907439383355447,0.41803638544154,0.968018863426543,1.57013056486004,"quantor",3600,"memout" "nusmv.tcas-t^6.B-f3-shuffled",1,15894,442,16336,45931,107171,2,1,3,1,30620,15310,33502,25126,2.17580718904444,0.157497115238074,7947,0.0787485576190372,0.0787485576190372,2.8116429970617,16.3665158371041,8.18325791855204,7.61226738491675,32.7330316742081,16.3665158371041,43.0098779413615,0.571423239495759,0.394826958785492,0.576593253749862,0.940937295885042,0.0337498017187485,1,0.750016329196604,0.958432520337552,0.55262572540111,0.5,0.576593253749862,0.967104043386061,1,0.333326076070628,0.547037948226688,0.577938805640878,0.408563197659967,0.907439383355447,0.41803638544154,0.968018863426543,1.57013056486004,"QuBE",3600,"memout" "nusmv.tcas-t^6.B-f3-shuffled",1,15894,442,16336,45931,107171,2,1,3,1,30620,15310,33502,25126,2.17580718904444,0.157497115238074,7947,0.0787485576190372,0.0787485576190372,2.8116429970617,16.3665158371041,8.18325791855204,7.61226738491675,32.7330316742081,16.3665158371041,43.0098779413615,0.571423239495759,0.394826958785492,0.576593253749862,0.940937295885042,0.0337498017187485,1,0.750016329196604,0.958432520337552,0.55262572540111,0.5,0.576593253749862,0.967104043386061,1,0.333326076070628,0.547037948226688,0.577938805640878,0.408563197659967,0.907439383355447,0.41803638544154,0.968018863426543,1.57013056486004,"sKizzo",2.18,"ok" "nusmv.tcas-t^6.B-f3-shuffled",1,15894,442,16336,45931,107171,2,1,3,1,30620,15310,33502,25126,2.17580718904444,0.157497115238074,7947,0.0787485576190372,0.0787485576190372,2.8116429970617,16.3665158371041,8.18325791855204,7.61226738491675,32.7330316742081,16.3665158371041,43.0098779413615,0.571423239495759,0.394826958785492,0.576593253749862,0.940937295885042,0.0337498017187485,1,0.750016329196604,0.958432520337552,0.55262572540111,0.5,0.576593253749862,0.967104043386061,1,0.333326076070628,0.547037948226688,0.577938805640878,0.408563197659967,0.907439383355447,0.41803638544154,0.968018863426543,1.57013056486004,"sSolve",3600,"timeout" "nusmv.tcas^2.B-f2-shuffled",1,9468,298,9766,27085,63197,2,1,3,1,18056,9028,19830,14870,2.18198264722171,0.151301458371793,4734,0.0756507291858963,0.0756507291858963,2.77339750153594,13.751677852349,6.8758389261745,7.45730083964776,27.503355704698,13.751677852349,41.6517743979721,0.571419529408042,0.396158045476842,0.57637185062353,0.943259858218875,0.0324224251151162,1,0.750027691626052,0.964588634627329,0.555961736430578,0.5,0.57637185062353,0.972948434238015,1,0.333321026398375,0.549012368469633,0.578387953941541,0.410411424745707,0.77747608159248,0.417707440698671,0.973433820457779,1.34421209206418,"X2clsQ",3600,"timeout" "nusmv.tcas^2.B-f2-shuffled",1,9468,298,9766,27085,63197,2,1,3,1,18056,9028,19830,14870,2.18198264722171,0.151301458371793,4734,0.0756507291858963,0.0756507291858963,2.77339750153594,13.751677852349,6.8758389261745,7.45730083964776,27.503355704698,13.751677852349,41.6517743979721,0.571419529408042,0.396158045476842,0.57637185062353,0.943259858218875,0.0324224251151162,1,0.750027691626052,0.964588634627329,0.555961736430578,0.5,0.57637185062353,0.972948434238015,1,0.333321026398375,0.549012368469633,0.578387953941541,0.410411424745707,0.77747608159248,0.417707440698671,0.973433820457779,1.34421209206418,"quantor",3600,"memout" "nusmv.tcas^2.B-f2-shuffled",1,9468,298,9766,27085,63197,2,1,3,1,18056,9028,19830,14870,2.18198264722171,0.151301458371793,4734,0.0756507291858963,0.0756507291858963,2.77339750153594,13.751677852349,6.8758389261745,7.45730083964776,27.503355704698,13.751677852349,41.6517743979721,0.571419529408042,0.396158045476842,0.57637185062353,0.943259858218875,0.0324224251151162,1,0.750027691626052,0.964588634627329,0.555961736430578,0.5,0.57637185062353,0.972948434238015,1,0.333321026398375,0.549012368469633,0.578387953941541,0.410411424745707,0.77747608159248,0.417707440698671,0.973433820457779,1.34421209206418,"QuBE",3600,"memout" "nusmv.tcas^2.B-f2-shuffled",1,9468,298,9766,27085,63197,2,1,3,1,18056,9028,19830,14870,2.18198264722171,0.151301458371793,4734,0.0756507291858963,0.0756507291858963,2.77339750153594,13.751677852349,6.8758389261745,7.45730083964776,27.503355704698,13.751677852349,41.6517743979721,0.571419529408042,0.396158045476842,0.57637185062353,0.943259858218875,0.0324224251151162,1,0.750027691626052,0.964588634627329,0.555961736430578,0.5,0.57637185062353,0.972948434238015,1,0.333321026398375,0.549012368469633,0.578387953941541,0.410411424745707,0.77747608159248,0.417707440698671,0.973433820457779,1.34421209206418,"sKizzo",3600,"memout" "nusmv.tcas^2.B-f2-shuffled",1,9468,298,9766,27085,63197,2,1,3,1,18056,9028,19830,14870,2.18198264722171,0.151301458371793,4734,0.0756507291858963,0.0756507291858963,2.77339750153594,13.751677852349,6.8758389261745,7.45730083964776,27.503355704698,13.751677852349,41.6517743979721,0.571419529408042,0.396158045476842,0.57637185062353,0.943259858218875,0.0324224251151162,1,0.750027691626052,0.964588634627329,0.555961736430578,0.5,0.57637185062353,0.972948434238015,1,0.333321026398375,0.549012368469633,0.578387953941541,0.410411424745707,0.77747608159248,0.417707440698671,0.973433820457779,1.34421209206418,"sSolve",3600,"timeout" "nusmv.tcas^4.B-f3-shuffled",1,15205,440,15645,43870,102362,2,1,3,1,29246,14623,31989,23893,2.17364941873718,0.159653521768863,7602.5,0.0798267608844313,0.0798267608844313,2.80409076382231,15.9181818181818,7.95909090909091,7.58785554490253,31.8363636363636,15.9181818181818,42.385136468267,0.571422988999824,0.394365096422501,0.576668973762034,0.940128564590029,0.0342119145776753,1,0.750017096355057,0.9585339817734,0.552756807585302,0.5,0.576668973762034,0.967333863400921,1,0.33332573512651,0.544631866879416,0.577972910519393,0.40861953599432,0.885349477569251,0.417940602060745,0.968230585617647,1.53181829365261,"X2clsQ",3600,"timeout" "nusmv.tcas^4.B-f3-shuffled",1,15205,440,15645,43870,102362,2,1,3,1,29246,14623,31989,23893,2.17364941873718,0.159653521768863,7602.5,0.0798267608844313,0.0798267608844313,2.80409076382231,15.9181818181818,7.95909090909091,7.58785554490253,31.8363636363636,15.9181818181818,42.385136468267,0.571422988999824,0.394365096422501,0.576668973762034,0.940128564590029,0.0342119145776753,1,0.750017096355057,0.9585339817734,0.552756807585302,0.5,0.576668973762034,0.967333863400921,1,0.33332573512651,0.544631866879416,0.577972910519393,0.40861953599432,0.885349477569251,0.417940602060745,0.968230585617647,1.53181829365261,"quantor",3600,"memout" "nusmv.tcas^4.B-f3-shuffled",1,15205,440,15645,43870,102362,2,1,3,1,29246,14623,31989,23893,2.17364941873718,0.159653521768863,7602.5,0.0798267608844313,0.0798267608844313,2.80409076382231,15.9181818181818,7.95909090909091,7.58785554490253,31.8363636363636,15.9181818181818,42.385136468267,0.571422988999824,0.394365096422501,0.576668973762034,0.940128564590029,0.0342119145776753,1,0.750017096355057,0.9585339817734,0.552756807585302,0.5,0.576668973762034,0.967333863400921,1,0.33332573512651,0.544631866879416,0.577972910519393,0.40861953599432,0.885349477569251,0.417940602060745,0.968230585617647,1.53181829365261,"QuBE",3600,"memout" "nusmv.tcas^4.B-f3-shuffled",1,15205,440,15645,43870,102362,2,1,3,1,29246,14623,31989,23893,2.17364941873718,0.159653521768863,7602.5,0.0798267608844313,0.0798267608844313,2.80409076382231,15.9181818181818,7.95909090909091,7.58785554490253,31.8363636363636,15.9181818181818,42.385136468267,0.571422988999824,0.394365096422501,0.576668973762034,0.940128564590029,0.0342119145776753,1,0.750017096355057,0.9585339817734,0.552756807585302,0.5,0.576668973762034,0.967333863400921,1,0.33332573512651,0.544631866879416,0.577972910519393,0.40861953599432,0.885349477569251,0.417940602060745,0.968230585617647,1.53181829365261,"sKizzo",3600,"memout" "nusmv.tcas^4.B-f3-shuffled",1,15205,440,15645,43870,102362,2,1,3,1,29246,14623,31989,23893,2.17364941873718,0.159653521768863,7602.5,0.0798267608844313,0.0798267608844313,2.80409076382231,15.9181818181818,7.95909090909091,7.58785554490253,31.8363636363636,15.9181818181818,42.385136468267,0.571422988999824,0.394365096422501,0.576668973762034,0.940128564590029,0.0342119145776753,1,0.750017096355057,0.9585339817734,0.552756807585302,0.5,0.576668973762034,0.967333863400921,1,0.33332573512651,0.544631866879416,0.577972910519393,0.40861953599432,0.885349477569251,0.417940602060745,0.968230585617647,1.53181829365261,"sSolve",3600,"timeout" "par16-1-c-00-shuffled",1,2213,317,2530,5883,14487,2,1,3,0,4740,1143,5075,3397,1.78480367159612,0.677715451300357,1106.5,0.31718510963794,0.360530341662417,2.32529644268775,12.5772870662461,5.88643533123028,4.31185770750988,25.1545741324921,11.7728706624606,16.3741527338455,0.593911782977842,0.277283081383309,0.617428571428571,0.753486750348675,0.14640712362808,0.87977369165488,0.683751743375174,0.828609572550687,0.51160722465201,0.468021068472536,0.617428571428571,0.861419556431156,0.87977369165488,0.194288628250892,0.57742648308686,0.639228784972551,0.271349478203451,1.11964117266948,0.322358965145526,0.752137273986245,1.75154999116249,"X2clsQ",2757.76,"ok" "par16-1-c-00-shuffled",1,2213,317,2530,5883,14487,2,1,3,0,4740,1143,5075,3397,1.78480367159612,0.677715451300357,1106.5,0.31718510963794,0.360530341662417,2.32529644268775,12.5772870662461,5.88643533123028,4.31185770750988,25.1545741324921,11.7728706624606,16.3741527338455,0.593911782977842,0.277283081383309,0.617428571428571,0.753486750348675,0.14640712362808,0.87977369165488,0.683751743375174,0.828609572550687,0.51160722465201,0.468021068472536,0.617428571428571,0.861419556431156,0.87977369165488,0.194288628250892,0.57742648308686,0.639228784972551,0.271349478203451,1.11964117266948,0.322358965145526,0.752137273986245,1.75154999116249,"quantor",3600,"memout" "par16-1-c-00-shuffled",1,2213,317,2530,5883,14487,2,1,3,0,4740,1143,5075,3397,1.78480367159612,0.677715451300357,1106.5,0.31718510963794,0.360530341662417,2.32529644268775,12.5772870662461,5.88643533123028,4.31185770750988,25.1545741324921,11.7728706624606,16.3741527338455,0.593911782977842,0.277283081383309,0.617428571428571,0.753486750348675,0.14640712362808,0.87977369165488,0.683751743375174,0.828609572550687,0.51160722465201,0.468021068472536,0.617428571428571,0.861419556431156,0.87977369165488,0.194288628250892,0.57742648308686,0.639228784972551,0.271349478203451,1.11964117266948,0.322358965145526,0.752137273986245,1.75154999116249,"QuBE",5.8,"ok" "par16-1-c-00-shuffled",1,2213,317,2530,5883,14487,2,1,3,0,4740,1143,5075,3397,1.78480367159612,0.677715451300357,1106.5,0.31718510963794,0.360530341662417,2.32529644268775,12.5772870662461,5.88643533123028,4.31185770750988,25.1545741324921,11.7728706624606,16.3741527338455,0.593911782977842,0.277283081383309,0.617428571428571,0.753486750348675,0.14640712362808,0.87977369165488,0.683751743375174,0.828609572550687,0.51160722465201,0.468021068472536,0.617428571428571,0.861419556431156,0.87977369165488,0.194288628250892,0.57742648308686,0.639228784972551,0.271349478203451,1.11964117266948,0.322358965145526,0.752137273986245,1.75154999116249,"sKizzo",3600,"memout" "par16-1-c-00-shuffled",1,2213,317,2530,5883,14487,2,1,3,0,4740,1143,5075,3397,1.78480367159612,0.677715451300357,1106.5,0.31718510963794,0.360530341662417,2.32529644268775,12.5772870662461,5.88643533123028,4.31185770750988,25.1545741324921,11.7728706624606,16.3741527338455,0.593911782977842,0.277283081383309,0.617428571428571,0.753486750348675,0.14640712362808,0.87977369165488,0.683751743375174,0.828609572550687,0.51160722465201,0.468021068472536,0.617428571428571,0.861419556431156,0.87977369165488,0.194288628250892,0.57742648308686,0.639228784972551,0.271349478203451,1.11964117266948,0.322358965145526,0.752137273986245,1.75154999116249,"sSolve",3600,"timeout" "par8-1-c-50-shuffled",1,378,64,442,1077,2661,2,1,3,0,852,225,932,601,1.76230269266481,0.708449396471681,189,0.354688950789229,0.353760445682451,2.43665158371041,11.921875,5.96875,4.58597285067873,23.84375,11.9375,14.3994708994709,0.595264937993236,0.261180007515971,0.633825079030558,0.759469696969697,0.143179255918827,1.00262467191601,0.679924242424242,0.834030250790867,0.528629289621398,0.500655307994758,0.633825079030558,0.888057158890492,1.00262467191601,0.20891364902507,0.558031569173631,0.636477761836442,0.264856755710414,0.943788109756098,0.311851851851852,0.728584740918239,1.48282967032967,"X2clsQ",0.02,"ok" "par8-1-c-50-shuffled",1,378,64,442,1077,2661,2,1,3,0,852,225,932,601,1.76230269266481,0.708449396471681,189,0.354688950789229,0.353760445682451,2.43665158371041,11.921875,5.96875,4.58597285067873,23.84375,11.9375,14.3994708994709,0.595264937993236,0.261180007515971,0.633825079030558,0.759469696969697,0.143179255918827,1.00262467191601,0.679924242424242,0.834030250790867,0.528629289621398,0.500655307994758,0.633825079030558,0.888057158890492,1.00262467191601,0.20891364902507,0.558031569173631,0.636477761836442,0.264856755710414,0.943788109756098,0.311851851851852,0.728584740918239,1.48282967032967,"quantor",5.88,"ok" "par8-1-c-50-shuffled",1,378,64,442,1077,2661,2,1,3,0,852,225,932,601,1.76230269266481,0.708449396471681,189,0.354688950789229,0.353760445682451,2.43665158371041,11.921875,5.96875,4.58597285067873,23.84375,11.9375,14.3994708994709,0.595264937993236,0.261180007515971,0.633825079030558,0.759469696969697,0.143179255918827,1.00262467191601,0.679924242424242,0.834030250790867,0.528629289621398,0.500655307994758,0.633825079030558,0.888057158890492,1.00262467191601,0.20891364902507,0.558031569173631,0.636477761836442,0.264856755710414,0.943788109756098,0.311851851851852,0.728584740918239,1.48282967032967,"QuBE",0.06,"ok" "par8-1-c-50-shuffled",1,378,64,442,1077,2661,2,1,3,0,852,225,932,601,1.76230269266481,0.708449396471681,189,0.354688950789229,0.353760445682451,2.43665158371041,11.921875,5.96875,4.58597285067873,23.84375,11.9375,14.3994708994709,0.595264937993236,0.261180007515971,0.633825079030558,0.759469696969697,0.143179255918827,1.00262467191601,0.679924242424242,0.834030250790867,0.528629289621398,0.500655307994758,0.633825079030558,0.888057158890492,1.00262467191601,0.20891364902507,0.558031569173631,0.636477761836442,0.264856755710414,0.943788109756098,0.311851851851852,0.728584740918239,1.48282967032967,"sKizzo",0.47,"ok" "par8-1-c-50-shuffled",1,378,64,442,1077,2661,2,1,3,0,852,225,932,601,1.76230269266481,0.708449396471681,189,0.354688950789229,0.353760445682451,2.43665158371041,11.921875,5.96875,4.58597285067873,23.84375,11.9375,14.3994708994709,0.595264937993236,0.261180007515971,0.633825079030558,0.759469696969697,0.143179255918827,1.00262467191601,0.679924242424242,0.834030250790867,0.528629289621398,0.500655307994758,0.633825079030558,0.888057158890492,1.00262467191601,0.20891364902507,0.558031569173631,0.636477761836442,0.264856755710414,0.943788109756098,0.311851851851852,0.728584740918239,1.48282967032967,"sSolve",1.64,"ok" "par8-2-90-shuffled",1,1526,350,1876,4267,10466,2,1,3,43,3435,789,3715,2490,1.72838059526599,0.724396531520975,763,0.361143660651512,0.363252870869463,2.27452025586354,8.83142857142857,4.40285714285714,4.31663113006397,17.6628571428571,8.80571428571429,11.7221494102228,0.592298872539652,0.260462449837569,0.630372881355932,0.749959670914664,0.148098605006688,0.994193548387097,0.688336828520729,0.866282606675701,0.54608106283869,0.498544160465869,0.630372881355932,0.921968769748302,0.994193548387097,0.184907429107101,0.583548160299977,0.631959278280234,0.280255361341468,0.755169749579603,0.317046962897415,0.761479219016588,1.19496583962603,"X2clsQ",80.41,"ok" "par8-2-90-shuffled",1,1526,350,1876,4267,10466,2,1,3,43,3435,789,3715,2490,1.72838059526599,0.724396531520975,763,0.361143660651512,0.363252870869463,2.27452025586354,8.83142857142857,4.40285714285714,4.31663113006397,17.6628571428571,8.80571428571429,11.7221494102228,0.592298872539652,0.260462449837569,0.630372881355932,0.749959670914664,0.148098605006688,0.994193548387097,0.688336828520729,0.866282606675701,0.54608106283869,0.498544160465869,0.630372881355932,0.921968769748302,0.994193548387097,0.184907429107101,0.583548160299977,0.631959278280234,0.280255361341468,0.755169749579603,0.317046962897415,0.761479219016588,1.19496583962603,"quantor",59.14,"ok" "par8-2-90-shuffled",1,1526,350,1876,4267,10466,2,1,3,43,3435,789,3715,2490,1.72838059526599,0.724396531520975,763,0.361143660651512,0.363252870869463,2.27452025586354,8.83142857142857,4.40285714285714,4.31663113006397,17.6628571428571,8.80571428571429,11.7221494102228,0.592298872539652,0.260462449837569,0.630372881355932,0.749959670914664,0.148098605006688,0.994193548387097,0.688336828520729,0.866282606675701,0.54608106283869,0.498544160465869,0.630372881355932,0.921968769748302,0.994193548387097,0.184907429107101,0.583548160299977,0.631959278280234,0.280255361341468,0.755169749579603,0.317046962897415,0.761479219016588,1.19496583962603,"QuBE",0.68,"ok" "par8-2-90-shuffled",1,1526,350,1876,4267,10466,2,1,3,43,3435,789,3715,2490,1.72838059526599,0.724396531520975,763,0.361143660651512,0.363252870869463,2.27452025586354,8.83142857142857,4.40285714285714,4.31663113006397,17.6628571428571,8.80571428571429,11.7221494102228,0.592298872539652,0.260462449837569,0.630372881355932,0.749959670914664,0.148098605006688,0.994193548387097,0.688336828520729,0.866282606675701,0.54608106283869,0.498544160465869,0.630372881355932,0.921968769748302,0.994193548387097,0.184907429107101,0.583548160299977,0.631959278280234,0.280255361341468,0.755169749579603,0.317046962897415,0.761479219016588,1.19496583962603,"sKizzo",3600,"memout" "par8-2-90-shuffled",1,1526,350,1876,4267,10466,2,1,3,43,3435,789,3715,2490,1.72838059526599,0.724396531520975,763,0.361143660651512,0.363252870869463,2.27452025586354,8.83142857142857,4.40285714285714,4.31663113006397,17.6628571428571,8.80571428571429,11.7221494102228,0.592298872539652,0.260462449837569,0.630372881355932,0.749959670914664,0.148098605006688,0.994193548387097,0.688336828520729,0.866282606675701,0.54608106283869,0.498544160465869,0.630372881355932,0.921968769748302,0.994193548387097,0.184907429107101,0.583548160299977,0.631959278280234,0.280255361341468,0.755169749579603,0.317046962897415,0.761479219016588,1.19496583962603,"sSolve",3600,"timeout" "par8-2-c-50-shuffled",1,400,68,468,1144,2828,2,1,3,0,903,241,985,641,1.76223776223776,0.70979020979021,200,0.354895104895105,0.354895104895105,2.44444444444444,11.9411764705882,5.97058823529412,4.5982905982906,23.8823529411765,11.9411764705882,14.96,0.595473833097595,0.260961810466761,0.633928571428571,0.758907363420428,0.143564356435644,1,0.679334916864608,0.834059405940594,0.528734087694484,0.5,0.633928571428571,0.8879216152019,1,0.210664335664336,0.560314685314685,0.636854539318259,0.264562942963213,0.943042623731909,0.311483031148303,0.728531598513011,1.48078181988217,"X2clsQ",0.05,"ok" "par8-2-c-50-shuffled",1,400,68,468,1144,2828,2,1,3,0,903,241,985,641,1.76223776223776,0.70979020979021,200,0.354895104895105,0.354895104895105,2.44444444444444,11.9411764705882,5.97058823529412,4.5982905982906,23.8823529411765,11.9411764705882,14.96,0.595473833097595,0.260961810466761,0.633928571428571,0.758907363420428,0.143564356435644,1,0.679334916864608,0.834059405940594,0.528734087694484,0.5,0.633928571428571,0.8879216152019,1,0.210664335664336,0.560314685314685,0.636854539318259,0.264562942963213,0.943042623731909,0.311483031148303,0.728531598513011,1.48078181988217,"quantor",3.41,"ok" "par8-2-c-50-shuffled",1,400,68,468,1144,2828,2,1,3,0,903,241,985,641,1.76223776223776,0.70979020979021,200,0.354895104895105,0.354895104895105,2.44444444444444,11.9411764705882,5.97058823529412,4.5982905982906,23.8823529411765,11.9411764705882,14.96,0.595473833097595,0.260961810466761,0.633928571428571,0.758907363420428,0.143564356435644,1,0.679334916864608,0.834059405940594,0.528734087694484,0.5,0.633928571428571,0.8879216152019,1,0.210664335664336,0.560314685314685,0.636854539318259,0.264562942963213,0.943042623731909,0.311483031148303,0.728531598513011,1.48078181988217,"QuBE",0.07,"ok" "par8-2-c-50-shuffled",1,400,68,468,1144,2828,2,1,3,0,903,241,985,641,1.76223776223776,0.70979020979021,200,0.354895104895105,0.354895104895105,2.44444444444444,11.9411764705882,5.97058823529412,4.5982905982906,23.8823529411765,11.9411764705882,14.96,0.595473833097595,0.260961810466761,0.633928571428571,0.758907363420428,0.143564356435644,1,0.679334916864608,0.834059405940594,0.528734087694484,0.5,0.633928571428571,0.8879216152019,1,0.210664335664336,0.560314685314685,0.636854539318259,0.264562942963213,0.943042623731909,0.311483031148303,0.728531598513011,1.48078181988217,"sKizzo",0.47,"ok" "par8-2-c-50-shuffled",1,400,68,468,1144,2828,2,1,3,0,903,241,985,641,1.76223776223776,0.70979020979021,200,0.354895104895105,0.354895104895105,2.44444444444444,11.9411764705882,5.97058823529412,4.5982905982906,23.8823529411765,11.9411764705882,14.96,0.595473833097595,0.260961810466761,0.633928571428571,0.758907363420428,0.143564356435644,1,0.679334916864608,0.834059405940594,0.528734087694484,0.5,0.633928571428571,0.8879216152019,1,0.210664335664336,0.560314685314685,0.636854539318259,0.264562942963213,0.943042623731909,0.311483031148303,0.728531598513011,1.48078181988217,"sSolve",2.23,"ok" "par8-3-c-00-shuffled",1,521,75,596,1385,3409,2,1,3,0,1116,269,1201,794,1.78339350180505,0.67797833935018,260.5,0.323465703971119,0.354512635379061,2.3238255033557,12.52,5.97333333333333,4.3238255033557,25.04,11.9466666666667,14.0326295585413,0.593722499266647,0.274860662951012,0.620647773279352,0.757411067193676,0.144030507480199,0.912423625254583,0.684288537549407,0.828854860313869,0.514426923425572,0.477103301384452,0.620647773279352,0.866443370532497,0.912423625254583,0.194223826714801,0.573285198555957,0.637858347386172,0.270234199592387,1.09663106614203,0.320924016800305,0.746211317151503,1.71923918631123,"X2clsQ",0.09,"ok" "par8-3-c-00-shuffled",1,521,75,596,1385,3409,2,1,3,0,1116,269,1201,794,1.78339350180505,0.67797833935018,260.5,0.323465703971119,0.354512635379061,2.3238255033557,12.52,5.97333333333333,4.3238255033557,25.04,11.9466666666667,14.0326295585413,0.593722499266647,0.274860662951012,0.620647773279352,0.757411067193676,0.144030507480199,0.912423625254583,0.684288537549407,0.828854860313869,0.514426923425572,0.477103301384452,0.620647773279352,0.866443370532497,0.912423625254583,0.194223826714801,0.573285198555957,0.637858347386172,0.270234199592387,1.09663106614203,0.320924016800305,0.746211317151503,1.71923918631123,"quantor",11,"ok" "par8-3-c-00-shuffled",1,521,75,596,1385,3409,2,1,3,0,1116,269,1201,794,1.78339350180505,0.67797833935018,260.5,0.323465703971119,0.354512635379061,2.3238255033557,12.52,5.97333333333333,4.3238255033557,25.04,11.9466666666667,14.0326295585413,0.593722499266647,0.274860662951012,0.620647773279352,0.757411067193676,0.144030507480199,0.912423625254583,0.684288537549407,0.828854860313869,0.514426923425572,0.477103301384452,0.620647773279352,0.866443370532497,0.912423625254583,0.194223826714801,0.573285198555957,0.637858347386172,0.270234199592387,1.09663106614203,0.320924016800305,0.746211317151503,1.71923918631123,"QuBE",0.06,"ok" "par8-3-c-00-shuffled",1,521,75,596,1385,3409,2,1,3,0,1116,269,1201,794,1.78339350180505,0.67797833935018,260.5,0.323465703971119,0.354512635379061,2.3238255033557,12.52,5.97333333333333,4.3238255033557,25.04,11.9466666666667,14.0326295585413,0.593722499266647,0.274860662951012,0.620647773279352,0.757411067193676,0.144030507480199,0.912423625254583,0.684288537549407,0.828854860313869,0.514426923425572,0.477103301384452,0.620647773279352,0.866443370532497,0.912423625254583,0.194223826714801,0.573285198555957,0.637858347386172,0.270234199592387,1.09663106614203,0.320924016800305,0.746211317151503,1.71923918631123,"sKizzo",0.37,"ok" "par8-3-c-00-shuffled",1,521,75,596,1385,3409,2,1,3,0,1116,269,1201,794,1.78339350180505,0.67797833935018,260.5,0.323465703971119,0.354512635379061,2.3238255033557,12.52,5.97333333333333,4.3238255033557,25.04,11.9466666666667,14.0326295585413,0.593722499266647,0.274860662951012,0.620647773279352,0.757411067193676,0.144030507480199,0.912423625254583,0.684288537549407,0.828854860313869,0.514426923425572,0.477103301384452,0.620647773279352,0.866443370532497,0.912423625254583,0.194223826714801,0.573285198555957,0.637858347386172,0.270234199592387,1.09663106614203,0.320924016800305,0.746211317151503,1.71923918631123,"sSolve",0.97,"ok" "par8-3-c-90-shuffled",1,389,75,464,1187,2947,2,1,3,0,918,269,1003,662,1.74726200505476,0.735467565290649,194.5,0.377422072451559,0.35804549283909,2.55818965517241,11.64,5.97333333333333,4.8426724137931,23.28,11.9466666666667,16.5629820051414,0.597217509331524,0.25076348829318,0.643683702989392,0.758522727272727,0.144214455378351,1.05411764705882,0.674431818181818,0.83945417892624,0.540342974381162,0.513172966781214,0.643683702989392,0.904767469034821,1.05411764705882,0.226621735467565,0.55770850884583,0.637112403100775,0.260251223902805,0.849267872523687,0.303868645973909,0.717167591636035,1.33299535276722,"X2clsQ",0.09,"ok" "par8-3-c-90-shuffled",1,389,75,464,1187,2947,2,1,3,0,918,269,1003,662,1.74726200505476,0.735467565290649,194.5,0.377422072451559,0.35804549283909,2.55818965517241,11.64,5.97333333333333,4.8426724137931,23.28,11.9466666666667,16.5629820051414,0.597217509331524,0.25076348829318,0.643683702989392,0.758522727272727,0.144214455378351,1.05411764705882,0.674431818181818,0.83945417892624,0.540342974381162,0.513172966781214,0.643683702989392,0.904767469034821,1.05411764705882,0.226621735467565,0.55770850884583,0.637112403100775,0.260251223902805,0.849267872523687,0.303868645973909,0.717167591636035,1.33299535276722,"quantor",2.84,"ok" "par8-3-c-90-shuffled",1,389,75,464,1187,2947,2,1,3,0,918,269,1003,662,1.74726200505476,0.735467565290649,194.5,0.377422072451559,0.35804549283909,2.55818965517241,11.64,5.97333333333333,4.8426724137931,23.28,11.9466666666667,16.5629820051414,0.597217509331524,0.25076348829318,0.643683702989392,0.758522727272727,0.144214455378351,1.05411764705882,0.674431818181818,0.83945417892624,0.540342974381162,0.513172966781214,0.643683702989392,0.904767469034821,1.05411764705882,0.226621735467565,0.55770850884583,0.637112403100775,0.260251223902805,0.849267872523687,0.303868645973909,0.717167591636035,1.33299535276722,"QuBE",0.09,"ok" "par8-3-c-90-shuffled",1,389,75,464,1187,2947,2,1,3,0,918,269,1003,662,1.74726200505476,0.735467565290649,194.5,0.377422072451559,0.35804549283909,2.55818965517241,11.64,5.97333333333333,4.8426724137931,23.28,11.9466666666667,16.5629820051414,0.597217509331524,0.25076348829318,0.643683702989392,0.758522727272727,0.144214455378351,1.05411764705882,0.674431818181818,0.83945417892624,0.540342974381162,0.513172966781214,0.643683702989392,0.904767469034821,1.05411764705882,0.226621735467565,0.55770850884583,0.637112403100775,0.260251223902805,0.849267872523687,0.303868645973909,0.717167591636035,1.33299535276722,"sKizzo",0.47,"ok" "par8-3-c-90-shuffled",1,389,75,464,1187,2947,2,1,3,0,918,269,1003,662,1.74726200505476,0.735467565290649,194.5,0.377422072451559,0.35804549283909,2.55818965517241,11.64,5.97333333333333,4.8426724137931,23.28,11.9466666666667,16.5629820051414,0.597217509331524,0.25076348829318,0.643683702989392,0.758522727272727,0.144214455378351,1.05411764705882,0.674431818181818,0.83945417892624,0.540342974381162,0.513172966781214,0.643683702989392,0.904767469034821,1.05411764705882,0.226621735467565,0.55770850884583,0.637112403100775,0.260251223902805,0.849267872523687,0.303868645973909,0.717167591636035,1.33299535276722,"sSolve",24,"ok" "par8-4-90-shuffled",1,1530,350,1880,4266,10461,2,1,3,43,3438,785,3717,2491,1.72878574777309,0.723394280356306,765,0.361462728551336,0.36193155180497,2.26914893617021,8.81714285714286,4.40571428571429,4.30851063829787,17.6342857142857,8.81142857142857,11.6176470588235,0.592199598508747,0.260395755663895,0.63064406779661,0.750766747376917,0.147595832138419,0.998704663212435,0.68861985472155,0.866273922499567,0.546310510311253,0.499675955930007,0.63064406779661,0.922510774554643,0.998704663212435,0.184013127051102,0.583919362400375,0.631667500341049,0.280271519275711,0.754261808402139,0.317087047221695,0.760919874122489,1.19408044262986,"X2clsQ",14.27,"ok" "par8-4-90-shuffled",1,1530,350,1880,4266,10461,2,1,3,43,3438,785,3717,2491,1.72878574777309,0.723394280356306,765,0.361462728551336,0.36193155180497,2.26914893617021,8.81714285714286,4.40571428571429,4.30851063829787,17.6342857142857,8.81142857142857,11.6176470588235,0.592199598508747,0.260395755663895,0.63064406779661,0.750766747376917,0.147595832138419,0.998704663212435,0.68861985472155,0.866273922499567,0.546310510311253,0.499675955930007,0.63064406779661,0.922510774554643,0.998704663212435,0.184013127051102,0.583919362400375,0.631667500341049,0.280271519275711,0.754261808402139,0.317087047221695,0.760919874122489,1.19408044262986,"quantor",162.11,"ok" "par8-4-90-shuffled",1,1530,350,1880,4266,10461,2,1,3,43,3438,785,3717,2491,1.72878574777309,0.723394280356306,765,0.361462728551336,0.36193155180497,2.26914893617021,8.81714285714286,4.40571428571429,4.30851063829787,17.6342857142857,8.81142857142857,11.6176470588235,0.592199598508747,0.260395755663895,0.63064406779661,0.750766747376917,0.147595832138419,0.998704663212435,0.68861985472155,0.866273922499567,0.546310510311253,0.499675955930007,0.63064406779661,0.922510774554643,0.998704663212435,0.184013127051102,0.583919362400375,0.631667500341049,0.280271519275711,0.754261808402139,0.317087047221695,0.760919874122489,1.19408044262986,"QuBE",0.68,"ok" "par8-4-90-shuffled",1,1530,350,1880,4266,10461,2,1,3,43,3438,785,3717,2491,1.72878574777309,0.723394280356306,765,0.361462728551336,0.36193155180497,2.26914893617021,8.81714285714286,4.40571428571429,4.30851063829787,17.6342857142857,8.81142857142857,11.6176470588235,0.592199598508747,0.260395755663895,0.63064406779661,0.750766747376917,0.147595832138419,0.998704663212435,0.68861985472155,0.866273922499567,0.546310510311253,0.499675955930007,0.63064406779661,0.922510774554643,0.998704663212435,0.184013127051102,0.583919362400375,0.631667500341049,0.280271519275711,0.754261808402139,0.317087047221695,0.760919874122489,1.19408044262986,"sKizzo",3600,"memout" "par8-4-90-shuffled",1,1530,350,1880,4266,10461,2,1,3,43,3438,785,3717,2491,1.72878574777309,0.723394280356306,765,0.361462728551336,0.36193155180497,2.26914893617021,8.81714285714286,4.40571428571429,4.30851063829787,17.6342857142857,8.81142857142857,11.6176470588235,0.592199598508747,0.260395755663895,0.63064406779661,0.750766747376917,0.147595832138419,0.998704663212435,0.68861985472155,0.866273922499567,0.546310510311253,0.499675955930007,0.63064406779661,0.922510774554643,0.998704663212435,0.184013127051102,0.583919362400375,0.631667500341049,0.280271519275711,0.754261808402139,0.317087047221695,0.760919874122489,1.19408044262986,"sSolve",3600,"timeout" "par8-4-c-90-shuffled",1,345,67,412,1053,2613,2,1,3,0,816,237,887,592,1.74548907882241,0.735992402659069,172.5,0.379867046533713,0.356125356125356,2.55582524271845,11.5671641791045,5.97014925373134,4.84708737864078,23.134328358209,11.9402985074627,15.9420289855072,0.597014925373134,0.24990432453119,0.644722524483134,0.759615384615385,0.143513203214696,1.06666666666667,0.675,0.840009539814861,0.541573071099353,0.516129032258065,0.644722524483134,0.907134894091416,1.06666666666667,0.225071225071225,0.562203228869895,0.636380189366351,0.260280566199056,0.839756063093129,0.30365296803653,0.7158041409941,1.31958234578182,"X2clsQ",0.04,"ok" "par8-4-c-90-shuffled",1,345,67,412,1053,2613,2,1,3,0,816,237,887,592,1.74548907882241,0.735992402659069,172.5,0.379867046533713,0.356125356125356,2.55582524271845,11.5671641791045,5.97014925373134,4.84708737864078,23.134328358209,11.9402985074627,15.9420289855072,0.597014925373134,0.24990432453119,0.644722524483134,0.759615384615385,0.143513203214696,1.06666666666667,0.675,0.840009539814861,0.541573071099353,0.516129032258065,0.644722524483134,0.907134894091416,1.06666666666667,0.225071225071225,0.562203228869895,0.636380189366351,0.260280566199056,0.839756063093129,0.30365296803653,0.7158041409941,1.31958234578182,"quantor",0.26,"ok" "par8-4-c-90-shuffled",1,345,67,412,1053,2613,2,1,3,0,816,237,887,592,1.74548907882241,0.735992402659069,172.5,0.379867046533713,0.356125356125356,2.55582524271845,11.5671641791045,5.97014925373134,4.84708737864078,23.134328358209,11.9402985074627,15.9420289855072,0.597014925373134,0.24990432453119,0.644722524483134,0.759615384615385,0.143513203214696,1.06666666666667,0.675,0.840009539814861,0.541573071099353,0.516129032258065,0.644722524483134,0.907134894091416,1.06666666666667,0.225071225071225,0.562203228869895,0.636380189366351,0.260280566199056,0.839756063093129,0.30365296803653,0.7158041409941,1.31958234578182,"QuBE",0.04,"ok" "par8-4-c-90-shuffled",1,345,67,412,1053,2613,2,1,3,0,816,237,887,592,1.74548907882241,0.735992402659069,172.5,0.379867046533713,0.356125356125356,2.55582524271845,11.5671641791045,5.97014925373134,4.84708737864078,23.134328358209,11.9402985074627,15.9420289855072,0.597014925373134,0.24990432453119,0.644722524483134,0.759615384615385,0.143513203214696,1.06666666666667,0.675,0.840009539814861,0.541573071099353,0.516129032258065,0.644722524483134,0.907134894091416,1.06666666666667,0.225071225071225,0.562203228869895,0.636380189366351,0.260280566199056,0.839756063093129,0.30365296803653,0.7158041409941,1.31958234578182,"sKizzo",0.12,"ok" "par8-4-c-90-shuffled",1,345,67,412,1053,2613,2,1,3,0,816,237,887,592,1.74548907882241,0.735992402659069,172.5,0.379867046533713,0.356125356125356,2.55582524271845,11.5671641791045,5.97014925373134,4.84708737864078,23.134328358209,11.9402985074627,15.9420289855072,0.597014925373134,0.24990432453119,0.644722524483134,0.759615384615385,0.143513203214696,1.06666666666667,0.675,0.840009539814861,0.541573071099353,0.516129032258065,0.644722524483134,0.907134894091416,1.06666666666667,0.225071225071225,0.562203228869895,0.636380189366351,0.260280566199056,0.839756063093129,0.30365296803653,0.7158041409941,1.31958234578182,"sSolve",3.51,"ok" "par8-5-c-90-shuffled",1,385,75,460,1181,2933,2,1,3,0,912,269,995,660,1.74597798475868,0.737510584250635,192.5,0.379339542760373,0.358171041490262,2.56739130434783,11.6133333333333,5.97333333333333,4.86304347826087,23.2266666666667,11.9466666666667,16.9636363636364,0.597340606887146,0.249914763041255,0.644519883608147,0.758561643835616,0.144220934197068,1.05910165484634,0.674086757990868,0.839989195938736,0.541389738798535,0.51435132032147,0.644519883608147,0.906333392634762,1.05910165484634,0.227773073666384,0.558848433530906,0.637086307592472,0.259934095754991,0.841791044776119,0.30325644504749,0.716242184279735,1.32131398013751,"X2clsQ",0.13,"ok" "par8-5-c-90-shuffled",1,385,75,460,1181,2933,2,1,3,0,912,269,995,660,1.74597798475868,0.737510584250635,192.5,0.379339542760373,0.358171041490262,2.56739130434783,11.6133333333333,5.97333333333333,4.86304347826087,23.2266666666667,11.9466666666667,16.9636363636364,0.597340606887146,0.249914763041255,0.644519883608147,0.758561643835616,0.144220934197068,1.05910165484634,0.674086757990868,0.839989195938736,0.541389738798535,0.51435132032147,0.644519883608147,0.906333392634762,1.05910165484634,0.227773073666384,0.558848433530906,0.637086307592472,0.259934095754991,0.841791044776119,0.30325644504749,0.716242184279735,1.32131398013751,"quantor",9.47,"ok" "par8-5-c-90-shuffled",1,385,75,460,1181,2933,2,1,3,0,912,269,995,660,1.74597798475868,0.737510584250635,192.5,0.379339542760373,0.358171041490262,2.56739130434783,11.6133333333333,5.97333333333333,4.86304347826087,23.2266666666667,11.9466666666667,16.9636363636364,0.597340606887146,0.249914763041255,0.644519883608147,0.758561643835616,0.144220934197068,1.05910165484634,0.674086757990868,0.839989195938736,0.541389738798535,0.51435132032147,0.644519883608147,0.906333392634762,1.05910165484634,0.227773073666384,0.558848433530906,0.637086307592472,0.259934095754991,0.841791044776119,0.30325644504749,0.716242184279735,1.32131398013751,"QuBE",0.07,"ok" "par8-5-c-90-shuffled",1,385,75,460,1181,2933,2,1,3,0,912,269,995,660,1.74597798475868,0.737510584250635,192.5,0.379339542760373,0.358171041490262,2.56739130434783,11.6133333333333,5.97333333333333,4.86304347826087,23.2266666666667,11.9466666666667,16.9636363636364,0.597340606887146,0.249914763041255,0.644519883608147,0.758561643835616,0.144220934197068,1.05910165484634,0.674086757990868,0.839989195938736,0.541389738798535,0.51435132032147,0.644519883608147,0.906333392634762,1.05910165484634,0.227773073666384,0.558848433530906,0.637086307592472,0.259934095754991,0.841791044776119,0.30325644504749,0.716242184279735,1.32131398013751,"sKizzo",1.08,"ok" "par8-5-c-90-shuffled",1,385,75,460,1181,2933,2,1,3,0,912,269,995,660,1.74597798475868,0.737510584250635,192.5,0.379339542760373,0.358171041490262,2.56739130434783,11.6133333333333,5.97333333333333,4.86304347826087,23.2266666666667,11.9466666666667,16.9636363636364,0.597340606887146,0.249914763041255,0.644519883608147,0.758561643835616,0.144220934197068,1.05910165484634,0.674086757990868,0.839989195938736,0.541389738798535,0.51435132032147,0.644519883608147,0.906333392634762,1.05910165484634,0.227773073666384,0.558848433530906,0.637086307592472,0.259934095754991,0.841791044776119,0.30325644504749,0.716242184279735,1.32131398013751,"sSolve",48.43,"ok" "Qq2k3k3v100v100m1000s1",1,100,100,200,1000,6000,1,1,2,0,0,1000,106,101,3,3,100,1.48,1.52,15.035,30,14.8,22.67,30,14.8,451.38,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s1",1,100,100,200,1000,6000,1,1,2,0,0,1000,106,101,3,3,100,1.48,1.52,15.035,30,14.8,22.67,30,14.8,451.38,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s1",1,100,100,200,1000,6000,1,1,2,0,0,1000,106,101,3,3,100,1.48,1.52,15.035,30,14.8,22.67,30,14.8,451.38,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s1",1,100,100,200,1000,6000,1,1,2,0,0,1000,106,101,3,3,100,1.48,1.52,15.035,30,14.8,22.67,30,14.8,451.38,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s1",1,100,100,200,1000,6000,1,1,2,0,0,1000,106,101,3,3,100,1.48,1.52,15.035,30,14.8,22.67,30,14.8,451.38,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s10",1,100,100,200,1000,6000,1,1,2,0,0,1000,101,111,3,3,100,1.511,1.489,15.085,30,15.11,22.615,30,15.11,447.52,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s10",1,100,100,200,1000,6000,1,1,2,0,0,1000,101,111,3,3,100,1.511,1.489,15.085,30,15.11,22.615,30,15.11,447.52,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s10",1,100,100,200,1000,6000,1,1,2,0,0,1000,101,111,3,3,100,1.511,1.489,15.085,30,15.11,22.615,30,15.11,447.52,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s10",1,100,100,200,1000,6000,1,1,2,0,0,1000,101,111,3,3,100,1.511,1.489,15.085,30,15.11,22.615,30,15.11,447.52,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s10",1,100,100,200,1000,6000,1,1,2,0,0,1000,101,111,3,3,100,1.511,1.489,15.085,30,15.11,22.615,30,15.11,447.52,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s2",1,100,100,200,1000,6000,1,1,2,0,0,1000,120,116,3,3,100,1.487,1.513,14.925,30,14.87,22.415,30,14.87,449.6,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s2",1,100,100,200,1000,6000,1,1,2,0,0,1000,120,116,3,3,100,1.487,1.513,14.925,30,14.87,22.415,30,14.87,449.6,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s2",1,100,100,200,1000,6000,1,1,2,0,0,1000,120,116,3,3,100,1.487,1.513,14.925,30,14.87,22.415,30,14.87,449.6,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s2",1,100,100,200,1000,6000,1,1,2,0,0,1000,120,116,3,3,100,1.487,1.513,14.925,30,14.87,22.415,30,14.87,449.6,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s2",1,100,100,200,1000,6000,1,1,2,0,0,1000,120,116,3,3,100,1.487,1.513,14.925,30,14.87,22.415,30,14.87,449.6,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s3",1,100,100,200,1000,6000,1,1,2,0,0,1000,121,128,3,3,100,1.488,1.512,15.1,30,14.88,22.76,30,14.88,454.24,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s3",1,100,100,200,1000,6000,1,1,2,0,0,1000,121,128,3,3,100,1.488,1.512,15.1,30,14.88,22.76,30,14.88,454.24,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s3",1,100,100,200,1000,6000,1,1,2,0,0,1000,121,128,3,3,100,1.488,1.512,15.1,30,14.88,22.76,30,14.88,454.24,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s3",1,100,100,200,1000,6000,1,1,2,0,0,1000,121,128,3,3,100,1.488,1.512,15.1,30,14.88,22.76,30,14.88,454.24,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s3",1,100,100,200,1000,6000,1,1,2,0,0,1000,121,128,3,3,100,1.488,1.512,15.1,30,14.88,22.76,30,14.88,454.24,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s4",1,100,100,200,1000,6000,1,1,2,0,0,1000,127,119,3,3,100,1.507,1.493,14.845,30,15.07,22.155,30,15.07,449.06,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s4",1,100,100,200,1000,6000,1,1,2,0,0,1000,127,119,3,3,100,1.507,1.493,14.845,30,15.07,22.155,30,15.07,449.06,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s4",1,100,100,200,1000,6000,1,1,2,0,0,1000,127,119,3,3,100,1.507,1.493,14.845,30,15.07,22.155,30,15.07,449.06,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s4",1,100,100,200,1000,6000,1,1,2,0,0,1000,127,119,3,3,100,1.507,1.493,14.845,30,15.07,22.155,30,15.07,449.06,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s4",1,100,100,200,1000,6000,1,1,2,0,0,1000,127,119,3,3,100,1.507,1.493,14.845,30,15.07,22.155,30,15.07,449.06,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s5",1,100,100,200,1000,6000,1,1,2,0,0,1000,117,114,3,3,100,1.482,1.518,14.84,30,14.82,22.27,30,14.82,446.72,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s5",1,100,100,200,1000,6000,1,1,2,0,0,1000,117,114,3,3,100,1.482,1.518,14.84,30,14.82,22.27,30,14.82,446.72,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s5",1,100,100,200,1000,6000,1,1,2,0,0,1000,117,114,3,3,100,1.482,1.518,14.84,30,14.82,22.27,30,14.82,446.72,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s5",1,100,100,200,1000,6000,1,1,2,0,0,1000,117,114,3,3,100,1.482,1.518,14.84,30,14.82,22.27,30,14.82,446.72,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s5",1,100,100,200,1000,6000,1,1,2,0,0,1000,117,114,3,3,100,1.482,1.518,14.84,30,14.82,22.27,30,14.82,446.72,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s6",1,100,100,200,1000,6000,1,1,2,0,0,1000,109,130,3,3,100,1.54,1.46,15.23,30,15.4,22.76,30,15.4,450.46,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s6",1,100,100,200,1000,6000,1,1,2,0,0,1000,109,130,3,3,100,1.54,1.46,15.23,30,15.4,22.76,30,15.4,450.46,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s6",1,100,100,200,1000,6000,1,1,2,0,0,1000,109,130,3,3,100,1.54,1.46,15.23,30,15.4,22.76,30,15.4,450.46,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s6",1,100,100,200,1000,6000,1,1,2,0,0,1000,109,130,3,3,100,1.54,1.46,15.23,30,15.4,22.76,30,15.4,450.46,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s6",1,100,100,200,1000,6000,1,1,2,0,0,1000,109,130,3,3,100,1.54,1.46,15.23,30,15.4,22.76,30,15.4,450.46,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s7",1,100,100,200,1000,6000,1,1,2,0,0,1000,126,114,3,3,100,1.474,1.526,14.86,30,14.74,22.35,30,14.74,452.42,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s7",1,100,100,200,1000,6000,1,1,2,0,0,1000,126,114,3,3,100,1.474,1.526,14.86,30,14.74,22.35,30,14.74,452.42,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s7",1,100,100,200,1000,6000,1,1,2,0,0,1000,126,114,3,3,100,1.474,1.526,14.86,30,14.74,22.35,30,14.74,452.42,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s7",1,100,100,200,1000,6000,1,1,2,0,0,1000,126,114,3,3,100,1.474,1.526,14.86,30,14.74,22.35,30,14.74,452.42,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s7",1,100,100,200,1000,6000,1,1,2,0,0,1000,126,114,3,3,100,1.474,1.526,14.86,30,14.74,22.35,30,14.74,452.42,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s8",1,100,100,200,1000,6000,1,1,2,0,0,1000,91,122,3,3,100,1.527,1.473,15.23,30,15.27,22.825,30,15.27,446.18,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s8",1,100,100,200,1000,6000,1,1,2,0,0,1000,91,122,3,3,100,1.527,1.473,15.23,30,15.27,22.825,30,15.27,446.18,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s8",1,100,100,200,1000,6000,1,1,2,0,0,1000,91,122,3,3,100,1.527,1.473,15.23,30,15.27,22.825,30,15.27,446.18,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s8",1,100,100,200,1000,6000,1,1,2,0,0,1000,91,122,3,3,100,1.527,1.473,15.23,30,15.27,22.825,30,15.27,446.18,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s8",1,100,100,200,1000,6000,1,1,2,0,0,1000,91,122,3,3,100,1.527,1.473,15.23,30,15.27,22.825,30,15.27,446.18,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1000s9",1,100,100,200,1000,6000,1,1,2,0,0,1000,110,110,3,3,100,1.467,1.533,14.85,30,14.67,22.365,30,14.67,449.98,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1000s9",1,100,100,200,1000,6000,1,1,2,0,0,1000,110,110,3,3,100,1.467,1.533,14.85,30,14.67,22.365,30,14.67,449.98,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"quantor",3600,"memout" "Qq2k3k3v100v100m1000s9",1,100,100,200,1000,6000,1,1,2,0,0,1000,110,110,3,3,100,1.467,1.533,14.85,30,14.67,22.365,30,14.67,449.98,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1000s9",1,100,100,200,1000,6000,1,1,2,0,0,1000,110,110,3,3,100,1.467,1.533,14.85,30,14.67,22.365,30,14.67,449.98,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1000s9",1,100,100,200,1000,6000,1,1,2,0,0,1000,110,110,3,3,100,1.467,1.533,14.85,30,14.67,22.365,30,14.67,449.98,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s1",1,100,100,200,1200,7200,1,1,2,0,0,1200,125,126,3,3,100,1.4925,1.5075,18.12,36,17.91,27.285,36,17.91,648,0.496666666666667,0.254583333333333,0.490833333333333,0.494127516778523,0.25125,0.990049751243781,1.01342281879195,1,0.490833333333333,0.4975,0.490833333333333,0.988255033557047,0.990049751243781,1,0.105,0.494722222222222,0.678888888888889,0.335,0.509166666666667,1.34359538207806,0.6771476698484,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s1",1,100,100,200,1200,7200,1,1,2,0,0,1200,125,126,3,3,100,1.4925,1.5075,18.12,36,17.91,27.285,36,17.91,648,0.496666666666667,0.254583333333333,0.490833333333333,0.494127516778523,0.25125,0.990049751243781,1.01342281879195,1,0.490833333333333,0.4975,0.490833333333333,0.988255033557047,0.990049751243781,1,0.105,0.494722222222222,0.678888888888889,0.335,0.509166666666667,1.34359538207806,0.6771476698484,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s1",1,100,100,200,1200,7200,1,1,2,0,0,1200,125,126,3,3,100,1.4925,1.5075,18.12,36,17.91,27.285,36,17.91,648,0.496666666666667,0.254583333333333,0.490833333333333,0.494127516778523,0.25125,0.990049751243781,1.01342281879195,1,0.490833333333333,0.4975,0.490833333333333,0.988255033557047,0.990049751243781,1,0.105,0.494722222222222,0.678888888888889,0.335,0.509166666666667,1.34359538207806,0.6771476698484,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s1",1,100,100,200,1200,7200,1,1,2,0,0,1200,125,126,3,3,100,1.4925,1.5075,18.12,36,17.91,27.285,36,17.91,648,0.496666666666667,0.254583333333333,0.490833333333333,0.494127516778523,0.25125,0.990049751243781,1.01342281879195,1,0.490833333333333,0.4975,0.490833333333333,0.988255033557047,0.990049751243781,1,0.105,0.494722222222222,0.678888888888889,0.335,0.509166666666667,1.34359538207806,0.6771476698484,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s1",1,100,100,200,1200,7200,1,1,2,0,0,1200,125,126,3,3,100,1.4925,1.5075,18.12,36,17.91,27.285,36,17.91,648,0.496666666666667,0.254583333333333,0.490833333333333,0.494127516778523,0.25125,0.990049751243781,1.01342281879195,1,0.490833333333333,0.4975,0.490833333333333,0.988255033557047,0.990049751243781,1,0.105,0.494722222222222,0.678888888888889,0.335,0.509166666666667,1.34359538207806,0.6771476698484,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s10",1,100,100,200,1200,7200,1,1,2,0,0,1200,126,131,3,3,100,1.5025,1.4975,17.94,36,18.03,26.865,36,18.03,648.76,0.501666666666667,0.247916666666667,0.504166666666667,0.502491694352159,0.249583333333333,1.00333889816361,0.993355481727575,1,0.504166666666667,0.500833333333333,0.504166666666667,1.00498338870432,1.00333889816361,1,0.109166666666667,0.5025,0.661111111111111,0.332777777777778,0.495833333333333,1.32886655499721,0.662244333886125,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s10",1,100,100,200,1200,7200,1,1,2,0,0,1200,126,131,3,3,100,1.5025,1.4975,17.94,36,18.03,26.865,36,18.03,648.76,0.501666666666667,0.247916666666667,0.504166666666667,0.502491694352159,0.249583333333333,1.00333889816361,0.993355481727575,1,0.504166666666667,0.500833333333333,0.504166666666667,1.00498338870432,1.00333889816361,1,0.109166666666667,0.5025,0.661111111111111,0.332777777777778,0.495833333333333,1.32886655499721,0.662244333886125,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s10",1,100,100,200,1200,7200,1,1,2,0,0,1200,126,131,3,3,100,1.5025,1.4975,17.94,36,18.03,26.865,36,18.03,648.76,0.501666666666667,0.247916666666667,0.504166666666667,0.502491694352159,0.249583333333333,1.00333889816361,0.993355481727575,1,0.504166666666667,0.500833333333333,0.504166666666667,1.00498338870432,1.00333889816361,1,0.109166666666667,0.5025,0.661111111111111,0.332777777777778,0.495833333333333,1.32886655499721,0.662244333886125,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s10",1,100,100,200,1200,7200,1,1,2,0,0,1200,126,131,3,3,100,1.5025,1.4975,17.94,36,18.03,26.865,36,18.03,648.76,0.501666666666667,0.247916666666667,0.504166666666667,0.502491694352159,0.249583333333333,1.00333889816361,0.993355481727575,1,0.504166666666667,0.500833333333333,0.504166666666667,1.00498338870432,1.00333889816361,1,0.109166666666667,0.5025,0.661111111111111,0.332777777777778,0.495833333333333,1.32886655499721,0.662244333886125,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s10",1,100,100,200,1200,7200,1,1,2,0,0,1200,126,131,3,3,100,1.5025,1.4975,17.94,36,18.03,26.865,36,18.03,648.76,0.501666666666667,0.247916666666667,0.504166666666667,0.502491694352159,0.249583333333333,1.00333889816361,0.993355481727575,1,0.504166666666667,0.500833333333333,0.504166666666667,1.00498338870432,1.00333889816361,1,0.109166666666667,0.5025,0.661111111111111,0.332777777777778,0.495833333333333,1.32886655499721,0.662244333886125,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s2",1,100,100,200,1200,7200,1,1,2,0,0,1200,153,142,3,3,100,1.47666666666667,1.52333333333333,17.895,36,17.72,26.93,36,17.72,648.66,0.502916666666667,0.250972222222222,0.498055555555556,0.495167080916874,0.253888888888889,0.969365426695842,0.988400994200497,1,0.498055555555556,0.492222222222222,0.498055555555556,0.990334161833748,0.969365426695842,1,0.118333333333333,0.501296296296296,0.669259259259259,0.338518518518519,0.501944444444444,1.34199777200149,0.675286294791282,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s2",1,100,100,200,1200,7200,1,1,2,0,0,1200,153,142,3,3,100,1.47666666666667,1.52333333333333,17.895,36,17.72,26.93,36,17.72,648.66,0.502916666666667,0.250972222222222,0.498055555555556,0.495167080916874,0.253888888888889,0.969365426695842,0.988400994200497,1,0.498055555555556,0.492222222222222,0.498055555555556,0.990334161833748,0.969365426695842,1,0.118333333333333,0.501296296296296,0.669259259259259,0.338518518518519,0.501944444444444,1.34199777200149,0.675286294791282,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s2",1,100,100,200,1200,7200,1,1,2,0,0,1200,153,142,3,3,100,1.47666666666667,1.52333333333333,17.895,36,17.72,26.93,36,17.72,648.66,0.502916666666667,0.250972222222222,0.498055555555556,0.495167080916874,0.253888888888889,0.969365426695842,0.988400994200497,1,0.498055555555556,0.492222222222222,0.498055555555556,0.990334161833748,0.969365426695842,1,0.118333333333333,0.501296296296296,0.669259259259259,0.338518518518519,0.501944444444444,1.34199777200149,0.675286294791282,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s2",1,100,100,200,1200,7200,1,1,2,0,0,1200,153,142,3,3,100,1.47666666666667,1.52333333333333,17.895,36,17.72,26.93,36,17.72,648.66,0.502916666666667,0.250972222222222,0.498055555555556,0.495167080916874,0.253888888888889,0.969365426695842,0.988400994200497,1,0.498055555555556,0.492222222222222,0.498055555555556,0.990334161833748,0.969365426695842,1,0.118333333333333,0.501296296296296,0.669259259259259,0.338518518518519,0.501944444444444,1.34199777200149,0.675286294791282,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s2",1,100,100,200,1200,7200,1,1,2,0,0,1200,153,142,3,3,100,1.47666666666667,1.52333333333333,17.895,36,17.72,26.93,36,17.72,648.66,0.502916666666667,0.250972222222222,0.498055555555556,0.495167080916874,0.253888888888889,0.969365426695842,0.988400994200497,1,0.498055555555556,0.492222222222222,0.498055555555556,0.990334161833748,0.969365426695842,1,0.118333333333333,0.501296296296296,0.669259259259259,0.338518518518519,0.501944444444444,1.34199777200149,0.675286294791282,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s3",1,100,100,200,1200,7200,1,1,2,0,0,1200,146,154,3,3,100,1.485,1.515,18.11,36,17.82,27.31,36,17.82,651.74,0.496944444444444,0.255555555555556,0.488888888888889,0.491894913359419,0.2525,0.98019801980198,1.01229737283399,1,0.488888888888889,0.495,0.488888888888889,0.983789826718837,0.98019801980198,1,0.128333333333333,0.494259259259259,0.681481481481481,0.336666666666667,0.511111111111111,1.34749176125961,0.681153990258524,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s3",1,100,100,200,1200,7200,1,1,2,0,0,1200,146,154,3,3,100,1.485,1.515,18.11,36,17.82,27.31,36,17.82,651.74,0.496944444444444,0.255555555555556,0.488888888888889,0.491894913359419,0.2525,0.98019801980198,1.01229737283399,1,0.488888888888889,0.495,0.488888888888889,0.983789826718837,0.98019801980198,1,0.128333333333333,0.494259259259259,0.681481481481481,0.336666666666667,0.511111111111111,1.34749176125961,0.681153990258524,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s3",1,100,100,200,1200,7200,1,1,2,0,0,1200,146,154,3,3,100,1.485,1.515,18.11,36,17.82,27.31,36,17.82,651.74,0.496944444444444,0.255555555555556,0.488888888888889,0.491894913359419,0.2525,0.98019801980198,1.01229737283399,1,0.488888888888889,0.495,0.488888888888889,0.983789826718837,0.98019801980198,1,0.128333333333333,0.494259259259259,0.681481481481481,0.336666666666667,0.511111111111111,1.34749176125961,0.681153990258524,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s3",1,100,100,200,1200,7200,1,1,2,0,0,1200,146,154,3,3,100,1.485,1.515,18.11,36,17.82,27.31,36,17.82,651.74,0.496944444444444,0.255555555555556,0.488888888888889,0.491894913359419,0.2525,0.98019801980198,1.01229737283399,1,0.488888888888889,0.495,0.488888888888889,0.983789826718837,0.98019801980198,1,0.128333333333333,0.494259259259259,0.681481481481481,0.336666666666667,0.511111111111111,1.34749176125961,0.681153990258524,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s3",1,100,100,200,1200,7200,1,1,2,0,0,1200,146,154,3,3,100,1.485,1.515,18.11,36,17.82,27.31,36,17.82,651.74,0.496944444444444,0.255555555555556,0.488888888888889,0.491894913359419,0.2525,0.98019801980198,1.01229737283399,1,0.488888888888889,0.495,0.488888888888889,0.983789826718837,0.98019801980198,1,0.128333333333333,0.494259259259259,0.681481481481481,0.336666666666667,0.511111111111111,1.34749176125961,0.681153990258524,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s4",1,100,100,200,1200,7200,1,1,2,0,0,1200,149,138,3,3,100,1.5075,1.4925,17.775,36,18.09,26.505,36,18.09,645.68,0.50625,0.2425,0.515,0.508641975308642,0.24875,1.01005025125628,0.975308641975309,1,0.515,0.5025,0.515,1.01728395061728,1.01005025125628,1,0.115,0.509166666666667,0.646666666666667,0.331666666666667,0.485,1.31748726655348,0.65139116202946,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s4",1,100,100,200,1200,7200,1,1,2,0,0,1200,149,138,3,3,100,1.5075,1.4925,17.775,36,18.09,26.505,36,18.09,645.68,0.50625,0.2425,0.515,0.508641975308642,0.24875,1.01005025125628,0.975308641975309,1,0.515,0.5025,0.515,1.01728395061728,1.01005025125628,1,0.115,0.509166666666667,0.646666666666667,0.331666666666667,0.485,1.31748726655348,0.65139116202946,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s4",1,100,100,200,1200,7200,1,1,2,0,0,1200,149,138,3,3,100,1.5075,1.4925,17.775,36,18.09,26.505,36,18.09,645.68,0.50625,0.2425,0.515,0.508641975308642,0.24875,1.01005025125628,0.975308641975309,1,0.515,0.5025,0.515,1.01728395061728,1.01005025125628,1,0.115,0.509166666666667,0.646666666666667,0.331666666666667,0.485,1.31748726655348,0.65139116202946,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s4",1,100,100,200,1200,7200,1,1,2,0,0,1200,149,138,3,3,100,1.5075,1.4925,17.775,36,18.09,26.505,36,18.09,645.68,0.50625,0.2425,0.515,0.508641975308642,0.24875,1.01005025125628,0.975308641975309,1,0.515,0.5025,0.515,1.01728395061728,1.01005025125628,1,0.115,0.509166666666667,0.646666666666667,0.331666666666667,0.485,1.31748726655348,0.65139116202946,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s4",1,100,100,200,1200,7200,1,1,2,0,0,1200,149,138,3,3,100,1.5075,1.4925,17.775,36,18.09,26.505,36,18.09,645.68,0.50625,0.2425,0.515,0.508641975308642,0.24875,1.01005025125628,0.975308641975309,1,0.515,0.5025,0.515,1.01728395061728,1.01005025125628,1,0.115,0.509166666666667,0.646666666666667,0.331666666666667,0.485,1.31748726655348,0.65139116202946,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s5",1,100,100,200,1200,7200,1,1,2,0,0,1200,137,137,3,3,100,1.49166666666667,1.50833333333333,17.8,36,17.9,26.65,36,17.9,645.76,0.505555555555556,0.245833333333333,0.508333333333333,0.502747252747253,0.251388888888889,0.988950276243094,0.978021978021978,1,0.508333333333333,0.497222222222222,0.508333333333333,1.00549450549451,0.988950276243094,1,0.114166666666667,0.506481481481482,0.655555555555556,0.335185185185185,0.491666666666667,1.32833020637899,0.661791590493601,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s5",1,100,100,200,1200,7200,1,1,2,0,0,1200,137,137,3,3,100,1.49166666666667,1.50833333333333,17.8,36,17.9,26.65,36,17.9,645.76,0.505555555555556,0.245833333333333,0.508333333333333,0.502747252747253,0.251388888888889,0.988950276243094,0.978021978021978,1,0.508333333333333,0.497222222222222,0.508333333333333,1.00549450549451,0.988950276243094,1,0.114166666666667,0.506481481481482,0.655555555555556,0.335185185185185,0.491666666666667,1.32833020637899,0.661791590493601,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s5",1,100,100,200,1200,7200,1,1,2,0,0,1200,137,137,3,3,100,1.49166666666667,1.50833333333333,17.8,36,17.9,26.65,36,17.9,645.76,0.505555555555556,0.245833333333333,0.508333333333333,0.502747252747253,0.251388888888889,0.988950276243094,0.978021978021978,1,0.508333333333333,0.497222222222222,0.508333333333333,1.00549450549451,0.988950276243094,1,0.114166666666667,0.506481481481482,0.655555555555556,0.335185185185185,0.491666666666667,1.32833020637899,0.661791590493601,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s5",1,100,100,200,1200,7200,1,1,2,0,0,1200,137,137,3,3,100,1.49166666666667,1.50833333333333,17.8,36,17.9,26.65,36,17.9,645.76,0.505555555555556,0.245833333333333,0.508333333333333,0.502747252747253,0.251388888888889,0.988950276243094,0.978021978021978,1,0.508333333333333,0.497222222222222,0.508333333333333,1.00549450549451,0.988950276243094,1,0.114166666666667,0.506481481481482,0.655555555555556,0.335185185185185,0.491666666666667,1.32833020637899,0.661791590493601,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s5",1,100,100,200,1200,7200,1,1,2,0,0,1200,137,137,3,3,100,1.49166666666667,1.50833333333333,17.8,36,17.9,26.65,36,17.9,645.76,0.505555555555556,0.245833333333333,0.508333333333333,0.502747252747253,0.251388888888889,0.988950276243094,0.978021978021978,1,0.508333333333333,0.497222222222222,0.508333333333333,1.00549450549451,0.988950276243094,1,0.114166666666667,0.506481481481482,0.655555555555556,0.335185185185185,0.491666666666667,1.32833020637899,0.661791590493601,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s6",1,100,100,200,1200,7200,1,1,2,0,0,1200,131,152,3,3,100,1.5275,1.4725,18.21,36,18.33,27.255,36,18.33,651.3,0.494166666666667,0.25125,0.4975,0.503372681281619,0.245416666666667,1.03735144312394,1.02360876897133,1,0.4975,0.509166666666667,0.4975,1.00674536256324,1.03735144312394,1,0.126666666666667,0.495277777777778,0.67,0.327222222222222,0.5025,1.32746285085305,0.660684240044868,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s6",1,100,100,200,1200,7200,1,1,2,0,0,1200,131,152,3,3,100,1.5275,1.4725,18.21,36,18.33,27.255,36,18.33,651.3,0.494166666666667,0.25125,0.4975,0.503372681281619,0.245416666666667,1.03735144312394,1.02360876897133,1,0.4975,0.509166666666667,0.4975,1.00674536256324,1.03735144312394,1,0.126666666666667,0.495277777777778,0.67,0.327222222222222,0.5025,1.32746285085305,0.660684240044868,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s6",1,100,100,200,1200,7200,1,1,2,0,0,1200,131,152,3,3,100,1.5275,1.4725,18.21,36,18.33,27.255,36,18.33,651.3,0.494166666666667,0.25125,0.4975,0.503372681281619,0.245416666666667,1.03735144312394,1.02360876897133,1,0.4975,0.509166666666667,0.4975,1.00674536256324,1.03735144312394,1,0.126666666666667,0.495277777777778,0.67,0.327222222222222,0.5025,1.32746285085305,0.660684240044868,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s6",1,100,100,200,1200,7200,1,1,2,0,0,1200,131,152,3,3,100,1.5275,1.4725,18.21,36,18.33,27.255,36,18.33,651.3,0.494166666666667,0.25125,0.4975,0.503372681281619,0.245416666666667,1.03735144312394,1.02360876897133,1,0.4975,0.509166666666667,0.4975,1.00674536256324,1.03735144312394,1,0.126666666666667,0.495277777777778,0.67,0.327222222222222,0.5025,1.32746285085305,0.660684240044868,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s6",1,100,100,200,1200,7200,1,1,2,0,0,1200,131,152,3,3,100,1.5275,1.4725,18.21,36,18.33,27.255,36,18.33,651.3,0.494166666666667,0.25125,0.4975,0.503372681281619,0.245416666666667,1.03735144312394,1.02360876897133,1,0.4975,0.509166666666667,0.4975,1.00674536256324,1.03735144312394,1,0.126666666666667,0.495277777777778,0.67,0.327222222222222,0.5025,1.32746285085305,0.660684240044868,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s7",1,100,100,200,1200,7200,1,1,2,0,0,1200,141,139,3,3,100,1.50083333333333,1.49916666666667,18.02,36,18.01,27.035,36,18.01,649.58,0.499444444444444,0.250416666666667,0.499166666666667,0.49972191323693,0.249861111111111,1.00111172873819,1.00222469410456,1,0.499166666666667,0.500277777777778,0.499166666666667,0.99944382647386,1.00111172873819,1,0.115833333333333,0.499351851851852,0.667777777777778,0.333148148148148,0.500833333333333,1.33382652117625,0.667161134804376,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s7",1,100,100,200,1200,7200,1,1,2,0,0,1200,141,139,3,3,100,1.50083333333333,1.49916666666667,18.02,36,18.01,27.035,36,18.01,649.58,0.499444444444444,0.250416666666667,0.499166666666667,0.49972191323693,0.249861111111111,1.00111172873819,1.00222469410456,1,0.499166666666667,0.500277777777778,0.499166666666667,0.99944382647386,1.00111172873819,1,0.115833333333333,0.499351851851852,0.667777777777778,0.333148148148148,0.500833333333333,1.33382652117625,0.667161134804376,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s7",1,100,100,200,1200,7200,1,1,2,0,0,1200,141,139,3,3,100,1.50083333333333,1.49916666666667,18.02,36,18.01,27.035,36,18.01,649.58,0.499444444444444,0.250416666666667,0.499166666666667,0.49972191323693,0.249861111111111,1.00111172873819,1.00222469410456,1,0.499166666666667,0.500277777777778,0.499166666666667,0.99944382647386,1.00111172873819,1,0.115833333333333,0.499351851851852,0.667777777777778,0.333148148148148,0.500833333333333,1.33382652117625,0.667161134804376,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s7",1,100,100,200,1200,7200,1,1,2,0,0,1200,141,139,3,3,100,1.50083333333333,1.49916666666667,18.02,36,18.01,27.035,36,18.01,649.58,0.499444444444444,0.250416666666667,0.499166666666667,0.49972191323693,0.249861111111111,1.00111172873819,1.00222469410456,1,0.499166666666667,0.500277777777778,0.499166666666667,0.99944382647386,1.00111172873819,1,0.115833333333333,0.499351851851852,0.667777777777778,0.333148148148148,0.500833333333333,1.33382652117625,0.667161134804376,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s7",1,100,100,200,1200,7200,1,1,2,0,0,1200,141,139,3,3,100,1.50083333333333,1.49916666666667,18.02,36,18.01,27.035,36,18.01,649.58,0.499444444444444,0.250416666666667,0.499166666666667,0.49972191323693,0.249861111111111,1.00111172873819,1.00222469410456,1,0.499166666666667,0.500277777777778,0.499166666666667,0.99944382647386,1.00111172873819,1,0.115833333333333,0.499351851851852,0.667777777777778,0.333148148148148,0.500833333333333,1.33382652117625,0.667161134804376,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s8",1,100,100,200,1200,7200,1,1,2,0,0,1200,103,150,3,3,100,1.53666666666667,1.46333333333333,18.485,36,18.44,27.75,36,18.44,644.7,0.486527777777778,0.257361111111111,0.485277777777778,0.498715386811305,0.243888888888889,1.0501138952164,1.05538110191265,1,0.485277777777778,0.512222222222222,0.485277777777778,0.997430773622609,1.0501138952164,1,0.125,0.486111111111111,0.686296296296296,0.325185185185185,0.514722222222222,1.3354954954955,0.668952380952381,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s8",1,100,100,200,1200,7200,1,1,2,0,0,1200,103,150,3,3,100,1.53666666666667,1.46333333333333,18.485,36,18.44,27.75,36,18.44,644.7,0.486527777777778,0.257361111111111,0.485277777777778,0.498715386811305,0.243888888888889,1.0501138952164,1.05538110191265,1,0.485277777777778,0.512222222222222,0.485277777777778,0.997430773622609,1.0501138952164,1,0.125,0.486111111111111,0.686296296296296,0.325185185185185,0.514722222222222,1.3354954954955,0.668952380952381,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s8",1,100,100,200,1200,7200,1,1,2,0,0,1200,103,150,3,3,100,1.53666666666667,1.46333333333333,18.485,36,18.44,27.75,36,18.44,644.7,0.486527777777778,0.257361111111111,0.485277777777778,0.498715386811305,0.243888888888889,1.0501138952164,1.05538110191265,1,0.485277777777778,0.512222222222222,0.485277777777778,0.997430773622609,1.0501138952164,1,0.125,0.486111111111111,0.686296296296296,0.325185185185185,0.514722222222222,1.3354954954955,0.668952380952381,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s8",1,100,100,200,1200,7200,1,1,2,0,0,1200,103,150,3,3,100,1.53666666666667,1.46333333333333,18.485,36,18.44,27.75,36,18.44,644.7,0.486527777777778,0.257361111111111,0.485277777777778,0.498715386811305,0.243888888888889,1.0501138952164,1.05538110191265,1,0.485277777777778,0.512222222222222,0.485277777777778,0.997430773622609,1.0501138952164,1,0.125,0.486111111111111,0.686296296296296,0.325185185185185,0.514722222222222,1.3354954954955,0.668952380952381,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s8",1,100,100,200,1200,7200,1,1,2,0,0,1200,103,150,3,3,100,1.53666666666667,1.46333333333333,18.485,36,18.44,27.75,36,18.44,644.7,0.486527777777778,0.257361111111111,0.485277777777778,0.498715386811305,0.243888888888889,1.0501138952164,1.05538110191265,1,0.485277777777778,0.512222222222222,0.485277777777778,0.997430773622609,1.0501138952164,1,0.125,0.486111111111111,0.686296296296296,0.325185185185185,0.514722222222222,1.3354954954955,0.668952380952381,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1200s9",1,100,100,200,1200,7200,1,1,2,0,0,1200,135,136,3,3,100,1.45916666666667,1.54083333333333,17.86,36,17.51,26.965,36,17.51,650.28,0.503888888888889,0.252916666666667,0.494166666666667,0.490352811466373,0.256805555555556,0.946998377501352,0.984564498346196,1,0.494166666666667,0.486388888888889,0.494166666666667,0.980705622932745,0.946998377501352,1,0.113333333333333,0.500648148148148,0.674444444444445,0.342407407407407,0.505833333333333,1.35063971815316,0.683928241168855,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1200s9",1,100,100,200,1200,7200,1,1,2,0,0,1200,135,136,3,3,100,1.45916666666667,1.54083333333333,17.86,36,17.51,26.965,36,17.51,650.28,0.503888888888889,0.252916666666667,0.494166666666667,0.490352811466373,0.256805555555556,0.946998377501352,0.984564498346196,1,0.494166666666667,0.486388888888889,0.494166666666667,0.980705622932745,0.946998377501352,1,0.113333333333333,0.500648148148148,0.674444444444445,0.342407407407407,0.505833333333333,1.35063971815316,0.683928241168855,"quantor",3600,"memout" "Qq2k3k3v100v100m1200s9",1,100,100,200,1200,7200,1,1,2,0,0,1200,135,136,3,3,100,1.45916666666667,1.54083333333333,17.86,36,17.51,26.965,36,17.51,650.28,0.503888888888889,0.252916666666667,0.494166666666667,0.490352811466373,0.256805555555556,0.946998377501352,0.984564498346196,1,0.494166666666667,0.486388888888889,0.494166666666667,0.980705622932745,0.946998377501352,1,0.113333333333333,0.500648148148148,0.674444444444445,0.342407407407407,0.505833333333333,1.35063971815316,0.683928241168855,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1200s9",1,100,100,200,1200,7200,1,1,2,0,0,1200,135,136,3,3,100,1.45916666666667,1.54083333333333,17.86,36,17.51,26.965,36,17.51,650.28,0.503888888888889,0.252916666666667,0.494166666666667,0.490352811466373,0.256805555555556,0.946998377501352,0.984564498346196,1,0.494166666666667,0.486388888888889,0.494166666666667,0.980705622932745,0.946998377501352,1,0.113333333333333,0.500648148148148,0.674444444444445,0.342407407407407,0.505833333333333,1.35063971815316,0.683928241168855,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1200s9",1,100,100,200,1200,7200,1,1,2,0,0,1200,135,136,3,3,100,1.45916666666667,1.54083333333333,17.86,36,17.51,26.965,36,17.51,650.28,0.503888888888889,0.252916666666667,0.494166666666667,0.490352811466373,0.256805555555556,0.946998377501352,0.984564498346196,1,0.494166666666667,0.486388888888889,0.494166666666667,0.980705622932745,0.946998377501352,1,0.113333333333333,0.500648148148148,0.674444444444445,0.342407407407407,0.505833333333333,1.35063971815316,0.683928241168855,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s1",1,100,100,200,1400,8400,1,1,2,0,0,1400,156,144,3,3,100,1.46857142857143,1.53142857142857,20.93,42,20.56,31.58,42,20.56,879.66,0.501666666666667,0.253571428571429,0.492857142857143,0.491219743711438,0.255238095238095,0.958955223880597,0.993355481727575,1,0.492857142857143,0.489523809523809,0.492857142857143,0.982439487422876,0.958955223880597,1,0.102857142857143,0.498730158730159,0.676190476190476,0.34031746031746,0.507142857142857,1.34895503483217,0.682367918523234,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s1",1,100,100,200,1400,8400,1,1,2,0,0,1400,156,144,3,3,100,1.46857142857143,1.53142857142857,20.93,42,20.56,31.58,42,20.56,879.66,0.501666666666667,0.253571428571429,0.492857142857143,0.491219743711438,0.255238095238095,0.958955223880597,0.993355481727575,1,0.492857142857143,0.489523809523809,0.492857142857143,0.982439487422876,0.958955223880597,1,0.102857142857143,0.498730158730159,0.676190476190476,0.34031746031746,0.507142857142857,1.34895503483217,0.682367918523234,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s1",1,100,100,200,1400,8400,1,1,2,0,0,1400,156,144,3,3,100,1.46857142857143,1.53142857142857,20.93,42,20.56,31.58,42,20.56,879.66,0.501666666666667,0.253571428571429,0.492857142857143,0.491219743711438,0.255238095238095,0.958955223880597,0.993355481727575,1,0.492857142857143,0.489523809523809,0.492857142857143,0.982439487422876,0.958955223880597,1,0.102857142857143,0.498730158730159,0.676190476190476,0.34031746031746,0.507142857142857,1.34895503483217,0.682367918523234,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s1",1,100,100,200,1400,8400,1,1,2,0,0,1400,156,144,3,3,100,1.46857142857143,1.53142857142857,20.93,42,20.56,31.58,42,20.56,879.66,0.501666666666667,0.253571428571429,0.492857142857143,0.491219743711438,0.255238095238095,0.958955223880597,0.993355481727575,1,0.492857142857143,0.489523809523809,0.492857142857143,0.982439487422876,0.958955223880597,1,0.102857142857143,0.498730158730159,0.676190476190476,0.34031746031746,0.507142857142857,1.34895503483217,0.682367918523234,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s1",1,100,100,200,1400,8400,1,1,2,0,0,1400,156,144,3,3,100,1.46857142857143,1.53142857142857,20.93,42,20.56,31.58,42,20.56,879.66,0.501666666666667,0.253571428571429,0.492857142857143,0.491219743711438,0.255238095238095,0.958955223880597,0.993355481727575,1,0.492857142857143,0.489523809523809,0.492857142857143,0.982439487422876,0.958955223880597,1,0.102857142857143,0.498730158730159,0.676190476190476,0.34031746031746,0.507142857142857,1.34895503483217,0.682367918523234,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s10",1,100,100,200,1400,8400,1,1,2,0,0,1400,150,157,3,3,100,1.51428571428571,1.48571428571429,20.98,42,21.2,31.36,42,21.2,884.98,0.50047619047619,0.247142857142857,0.505714285714286,0.50523311132255,0.247619047619048,1.01923076923077,0.998097050428164,1,0.505714285714286,0.504761904761905,0.505714285714286,1.0104662226451,1.01923076923077,1,0.112142857142857,0.502222222222222,0.659047619047619,0.33015873015873,0.494285714285714,1.32397959183673,0.657395701643489,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s10",1,100,100,200,1400,8400,1,1,2,0,0,1400,150,157,3,3,100,1.51428571428571,1.48571428571429,20.98,42,21.2,31.36,42,21.2,884.98,0.50047619047619,0.247142857142857,0.505714285714286,0.50523311132255,0.247619047619048,1.01923076923077,0.998097050428164,1,0.505714285714286,0.504761904761905,0.505714285714286,1.0104662226451,1.01923076923077,1,0.112142857142857,0.502222222222222,0.659047619047619,0.33015873015873,0.494285714285714,1.32397959183673,0.657395701643489,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s10",1,100,100,200,1400,8400,1,1,2,0,0,1400,150,157,3,3,100,1.51428571428571,1.48571428571429,20.98,42,21.2,31.36,42,21.2,884.98,0.50047619047619,0.247142857142857,0.505714285714286,0.50523311132255,0.247619047619048,1.01923076923077,0.998097050428164,1,0.505714285714286,0.504761904761905,0.505714285714286,1.0104662226451,1.01923076923077,1,0.112142857142857,0.502222222222222,0.659047619047619,0.33015873015873,0.494285714285714,1.32397959183673,0.657395701643489,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s10",1,100,100,200,1400,8400,1,1,2,0,0,1400,150,157,3,3,100,1.51428571428571,1.48571428571429,20.98,42,21.2,31.36,42,21.2,884.98,0.50047619047619,0.247142857142857,0.505714285714286,0.50523311132255,0.247619047619048,1.01923076923077,0.998097050428164,1,0.505714285714286,0.504761904761905,0.505714285714286,1.0104662226451,1.01923076923077,1,0.112142857142857,0.502222222222222,0.659047619047619,0.33015873015873,0.494285714285714,1.32397959183673,0.657395701643489,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s10",1,100,100,200,1400,8400,1,1,2,0,0,1400,150,157,3,3,100,1.51428571428571,1.48571428571429,20.98,42,21.2,31.36,42,21.2,884.98,0.50047619047619,0.247142857142857,0.505714285714286,0.50523311132255,0.247619047619048,1.01923076923077,0.998097050428164,1,0.505714285714286,0.504761904761905,0.505714285714286,1.0104662226451,1.01923076923077,1,0.112142857142857,0.502222222222222,0.659047619047619,0.33015873015873,0.494285714285714,1.32397959183673,0.657395701643489,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s2",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,154,3,3,100,1.46571428571429,1.53428571428571,20.82,42,20.52,31.38,42,20.52,881.76,0.504285714285714,0.251428571428571,0.497142857142857,0.492917847025496,0.255714285714286,0.955307262569832,0.98300283286119,1,0.497142857142857,0.488571428571429,0.497142857142857,0.985835694050991,0.955307262569832,1,0.11,0.501904761904762,0.670476190476191,0.340952380952381,0.502857142857143,1.34608030592734,0.679316888045541,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s2",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,154,3,3,100,1.46571428571429,1.53428571428571,20.82,42,20.52,31.38,42,20.52,881.76,0.504285714285714,0.251428571428571,0.497142857142857,0.492917847025496,0.255714285714286,0.955307262569832,0.98300283286119,1,0.497142857142857,0.488571428571429,0.497142857142857,0.985835694050991,0.955307262569832,1,0.11,0.501904761904762,0.670476190476191,0.340952380952381,0.502857142857143,1.34608030592734,0.679316888045541,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s2",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,154,3,3,100,1.46571428571429,1.53428571428571,20.82,42,20.52,31.38,42,20.52,881.76,0.504285714285714,0.251428571428571,0.497142857142857,0.492917847025496,0.255714285714286,0.955307262569832,0.98300283286119,1,0.497142857142857,0.488571428571429,0.497142857142857,0.985835694050991,0.955307262569832,1,0.11,0.501904761904762,0.670476190476191,0.340952380952381,0.502857142857143,1.34608030592734,0.679316888045541,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s2",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,154,3,3,100,1.46571428571429,1.53428571428571,20.82,42,20.52,31.38,42,20.52,881.76,0.504285714285714,0.251428571428571,0.497142857142857,0.492917847025496,0.255714285714286,0.955307262569832,0.98300283286119,1,0.497142857142857,0.488571428571429,0.497142857142857,0.985835694050991,0.955307262569832,1,0.11,0.501904761904762,0.670476190476191,0.340952380952381,0.502857142857143,1.34608030592734,0.679316888045541,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s2",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,154,3,3,100,1.46571428571429,1.53428571428571,20.82,42,20.52,31.38,42,20.52,881.76,0.504285714285714,0.251428571428571,0.497142857142857,0.492917847025496,0.255714285714286,0.955307262569832,0.98300283286119,1,0.497142857142857,0.488571428571429,0.497142857142857,0.985835694050991,0.955307262569832,1,0.11,0.501904761904762,0.670476190476191,0.340952380952381,0.502857142857143,1.34608030592734,0.679316888045541,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s3",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,178,3,3,100,1.48928571428571,1.51071428571429,21.09,42,20.85,31.755,42,20.85,885.02,0.497857142857143,0.253928571428571,0.492142857142857,0.494261119081779,0.251785714285714,0.98581560283688,1.00860832137733,1,0.492142857142857,0.496428571428571,0.492142857142857,0.988522238163558,0.98581560283688,1,0.127142857142857,0.495952380952381,0.677142857142857,0.335714285714286,0.507857142857143,1.34341048653755,0.676908305328853,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s3",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,178,3,3,100,1.48928571428571,1.51071428571429,21.09,42,20.85,31.755,42,20.85,885.02,0.497857142857143,0.253928571428571,0.492142857142857,0.494261119081779,0.251785714285714,0.98581560283688,1.00860832137733,1,0.492142857142857,0.496428571428571,0.492142857142857,0.988522238163558,0.98581560283688,1,0.127142857142857,0.495952380952381,0.677142857142857,0.335714285714286,0.507857142857143,1.34341048653755,0.676908305328853,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s3",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,178,3,3,100,1.48928571428571,1.51071428571429,21.09,42,20.85,31.755,42,20.85,885.02,0.497857142857143,0.253928571428571,0.492142857142857,0.494261119081779,0.251785714285714,0.98581560283688,1.00860832137733,1,0.492142857142857,0.496428571428571,0.492142857142857,0.988522238163558,0.98581560283688,1,0.127142857142857,0.495952380952381,0.677142857142857,0.335714285714286,0.507857142857143,1.34341048653755,0.676908305328853,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s3",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,178,3,3,100,1.48928571428571,1.51071428571429,21.09,42,20.85,31.755,42,20.85,885.02,0.497857142857143,0.253928571428571,0.492142857142857,0.494261119081779,0.251785714285714,0.98581560283688,1.00860832137733,1,0.492142857142857,0.496428571428571,0.492142857142857,0.988522238163558,0.98581560283688,1,0.127142857142857,0.495952380952381,0.677142857142857,0.335714285714286,0.507857142857143,1.34341048653755,0.676908305328853,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s3",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,178,3,3,100,1.48928571428571,1.51071428571429,21.09,42,20.85,31.755,42,20.85,885.02,0.497857142857143,0.253928571428571,0.492142857142857,0.494261119081779,0.251785714285714,0.98581560283688,1.00860832137733,1,0.492142857142857,0.496428571428571,0.492142857142857,0.988522238163558,0.98581560283688,1,0.127142857142857,0.495952380952381,0.677142857142857,0.335714285714286,0.507857142857143,1.34341048653755,0.676908305328853,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s4",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,156,3,3,100,1.49357142857143,1.50642857142857,20.72,42,20.91,30.985,42,20.91,878.64,0.506666666666667,0.244404761904762,0.511190476190476,0.504464285714286,0.251071428571429,0.991465149359886,0.973684210526316,1,0.511190476190476,0.497857142857143,0.511190476190476,1.00892857142857,0.991465149359886,1,0.111428571428571,0.508174603174603,0.651746031746032,0.334761904761905,0.488809523809524,1.32515733419396,0.658753709198813,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s4",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,156,3,3,100,1.49357142857143,1.50642857142857,20.72,42,20.91,30.985,42,20.91,878.64,0.506666666666667,0.244404761904762,0.511190476190476,0.504464285714286,0.251071428571429,0.991465149359886,0.973684210526316,1,0.511190476190476,0.497857142857143,0.511190476190476,1.00892857142857,0.991465149359886,1,0.111428571428571,0.508174603174603,0.651746031746032,0.334761904761905,0.488809523809524,1.32515733419396,0.658753709198813,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s4",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,156,3,3,100,1.49357142857143,1.50642857142857,20.72,42,20.91,30.985,42,20.91,878.64,0.506666666666667,0.244404761904762,0.511190476190476,0.504464285714286,0.251071428571429,0.991465149359886,0.973684210526316,1,0.511190476190476,0.497857142857143,0.511190476190476,1.00892857142857,0.991465149359886,1,0.111428571428571,0.508174603174603,0.651746031746032,0.334761904761905,0.488809523809524,1.32515733419396,0.658753709198813,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s4",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,156,3,3,100,1.49357142857143,1.50642857142857,20.72,42,20.91,30.985,42,20.91,878.64,0.506666666666667,0.244404761904762,0.511190476190476,0.504464285714286,0.251071428571429,0.991465149359886,0.973684210526316,1,0.511190476190476,0.497857142857143,0.511190476190476,1.00892857142857,0.991465149359886,1,0.111428571428571,0.508174603174603,0.651746031746032,0.334761904761905,0.488809523809524,1.32515733419396,0.658753709198813,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s4",1,100,100,200,1400,8400,1,1,2,0,0,1400,171,156,3,3,100,1.49357142857143,1.50642857142857,20.72,42,20.91,30.985,42,20.91,878.64,0.506666666666667,0.244404761904762,0.511190476190476,0.504464285714286,0.251071428571429,0.991465149359886,0.973684210526316,1,0.511190476190476,0.497857142857143,0.511190476190476,1.00892857142857,0.991465149359886,1,0.111428571428571,0.508174603174603,0.651746031746032,0.334761904761905,0.488809523809524,1.32515733419396,0.658753709198813,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s5",1,100,100,200,1400,8400,1,1,2,0,0,1400,161,169,3,3,100,1.51285714285714,1.48714285714286,20.99,42,21.18,31.39,42,21.18,878.5,0.500238095238095,0.247619047619048,0.504761904761905,0.504521656354117,0.247857142857143,1.01729106628242,0.999048072346502,1,0.504761904761905,0.504285714285714,0.504761904761905,1.00904331270823,1.01729106628242,1,0.120714285714286,0.501746031746032,0.66031746031746,0.33047619047619,0.495238095238095,1.32526282255495,0.65865232521354,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s5",1,100,100,200,1400,8400,1,1,2,0,0,1400,161,169,3,3,100,1.51285714285714,1.48714285714286,20.99,42,21.18,31.39,42,21.18,878.5,0.500238095238095,0.247619047619048,0.504761904761905,0.504521656354117,0.247857142857143,1.01729106628242,0.999048072346502,1,0.504761904761905,0.504285714285714,0.504761904761905,1.00904331270823,1.01729106628242,1,0.120714285714286,0.501746031746032,0.66031746031746,0.33047619047619,0.495238095238095,1.32526282255495,0.65865232521354,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s5",1,100,100,200,1400,8400,1,1,2,0,0,1400,161,169,3,3,100,1.51285714285714,1.48714285714286,20.99,42,21.18,31.39,42,21.18,878.5,0.500238095238095,0.247619047619048,0.504761904761905,0.504521656354117,0.247857142857143,1.01729106628242,0.999048072346502,1,0.504761904761905,0.504285714285714,0.504761904761905,1.00904331270823,1.01729106628242,1,0.120714285714286,0.501746031746032,0.66031746031746,0.33047619047619,0.495238095238095,1.32526282255495,0.65865232521354,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s5",1,100,100,200,1400,8400,1,1,2,0,0,1400,161,169,3,3,100,1.51285714285714,1.48714285714286,20.99,42,21.18,31.39,42,21.18,878.5,0.500238095238095,0.247619047619048,0.504761904761905,0.504521656354117,0.247857142857143,1.01729106628242,0.999048072346502,1,0.504761904761905,0.504285714285714,0.504761904761905,1.00904331270823,1.01729106628242,1,0.120714285714286,0.501746031746032,0.66031746031746,0.33047619047619,0.495238095238095,1.32526282255495,0.65865232521354,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s5",1,100,100,200,1400,8400,1,1,2,0,0,1400,161,169,3,3,100,1.51285714285714,1.48714285714286,20.99,42,21.18,31.39,42,21.18,878.5,0.500238095238095,0.247619047619048,0.504761904761905,0.504521656354117,0.247857142857143,1.01729106628242,0.999048072346502,1,0.504761904761905,0.504285714285714,0.504761904761905,1.00904331270823,1.01729106628242,1,0.120714285714286,0.501746031746032,0.66031746031746,0.33047619047619,0.495238095238095,1.32526282255495,0.65865232521354,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s6",1,100,100,200,1400,8400,1,1,2,0,0,1400,155,176,3,3,100,1.52428571428571,1.47571428571429,21.165,42,21.34,31.66,42,21.34,882.46,0.496071428571429,0.249880952380952,0.500238095238095,0.504199664026878,0.245952380952381,1.03291384317522,1.01583873290137,1,0.500238095238095,0.508095238095238,0.500238095238095,1.00839932805376,1.03291384317522,1,0.125714285714286,0.497460317460317,0.666349206349206,0.327936507936508,0.499761904761905,1.32596336070752,0.659221442246331,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s6",1,100,100,200,1400,8400,1,1,2,0,0,1400,155,176,3,3,100,1.52428571428571,1.47571428571429,21.165,42,21.34,31.66,42,21.34,882.46,0.496071428571429,0.249880952380952,0.500238095238095,0.504199664026878,0.245952380952381,1.03291384317522,1.01583873290137,1,0.500238095238095,0.508095238095238,0.500238095238095,1.00839932805376,1.03291384317522,1,0.125714285714286,0.497460317460317,0.666349206349206,0.327936507936508,0.499761904761905,1.32596336070752,0.659221442246331,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s6",1,100,100,200,1400,8400,1,1,2,0,0,1400,155,176,3,3,100,1.52428571428571,1.47571428571429,21.165,42,21.34,31.66,42,21.34,882.46,0.496071428571429,0.249880952380952,0.500238095238095,0.504199664026878,0.245952380952381,1.03291384317522,1.01583873290137,1,0.500238095238095,0.508095238095238,0.500238095238095,1.00839932805376,1.03291384317522,1,0.125714285714286,0.497460317460317,0.666349206349206,0.327936507936508,0.499761904761905,1.32596336070752,0.659221442246331,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s6",1,100,100,200,1400,8400,1,1,2,0,0,1400,155,176,3,3,100,1.52428571428571,1.47571428571429,21.165,42,21.34,31.66,42,21.34,882.46,0.496071428571429,0.249880952380952,0.500238095238095,0.504199664026878,0.245952380952381,1.03291384317522,1.01583873290137,1,0.500238095238095,0.508095238095238,0.500238095238095,1.00839932805376,1.03291384317522,1,0.125714285714286,0.497460317460317,0.666349206349206,0.327936507936508,0.499761904761905,1.32596336070752,0.659221442246331,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s6",1,100,100,200,1400,8400,1,1,2,0,0,1400,155,176,3,3,100,1.52428571428571,1.47571428571429,21.165,42,21.34,31.66,42,21.34,882.46,0.496071428571429,0.249880952380952,0.500238095238095,0.504199664026878,0.245952380952381,1.03291384317522,1.01583873290137,1,0.500238095238095,0.508095238095238,0.500238095238095,1.00839932805376,1.03291384317522,1,0.125714285714286,0.497460317460317,0.666349206349206,0.327936507936508,0.499761904761905,1.32596336070752,0.659221442246331,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s7",1,100,100,200,1400,8400,1,1,2,0,0,1400,159,166,3,3,100,1.49857142857143,1.50142857142857,21.125,42,20.98,31.76,42,20.98,884.2,0.49702380952381,0.253214285714286,0.493571428571429,0.496526946107784,0.250238095238095,0.998097050428164,1.01197604790419,1,0.493571428571429,0.49952380952381,0.493571428571429,0.993053892215569,0.998097050428164,1,0.118571428571429,0.495873015873016,0.675238095238095,0.333650793650794,0.506428571428571,1.33942065491184,0.672855313700384,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s7",1,100,100,200,1400,8400,1,1,2,0,0,1400,159,166,3,3,100,1.49857142857143,1.50142857142857,21.125,42,20.98,31.76,42,20.98,884.2,0.49702380952381,0.253214285714286,0.493571428571429,0.496526946107784,0.250238095238095,0.998097050428164,1.01197604790419,1,0.493571428571429,0.49952380952381,0.493571428571429,0.993053892215569,0.998097050428164,1,0.118571428571429,0.495873015873016,0.675238095238095,0.333650793650794,0.506428571428571,1.33942065491184,0.672855313700384,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s7",1,100,100,200,1400,8400,1,1,2,0,0,1400,159,166,3,3,100,1.49857142857143,1.50142857142857,21.125,42,20.98,31.76,42,20.98,884.2,0.49702380952381,0.253214285714286,0.493571428571429,0.496526946107784,0.250238095238095,0.998097050428164,1.01197604790419,1,0.493571428571429,0.49952380952381,0.493571428571429,0.993053892215569,0.998097050428164,1,0.118571428571429,0.495873015873016,0.675238095238095,0.333650793650794,0.506428571428571,1.33942065491184,0.672855313700384,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s7",1,100,100,200,1400,8400,1,1,2,0,0,1400,159,166,3,3,100,1.49857142857143,1.50142857142857,21.125,42,20.98,31.76,42,20.98,884.2,0.49702380952381,0.253214285714286,0.493571428571429,0.496526946107784,0.250238095238095,0.998097050428164,1.01197604790419,1,0.493571428571429,0.49952380952381,0.493571428571429,0.993053892215569,0.998097050428164,1,0.118571428571429,0.495873015873016,0.675238095238095,0.333650793650794,0.506428571428571,1.33942065491184,0.672855313700384,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s7",1,100,100,200,1400,8400,1,1,2,0,0,1400,159,166,3,3,100,1.49857142857143,1.50142857142857,21.125,42,20.98,31.76,42,20.98,884.2,0.49702380952381,0.253214285714286,0.493571428571429,0.496526946107784,0.250238095238095,0.998097050428164,1.01197604790419,1,0.493571428571429,0.49952380952381,0.493571428571429,0.993053892215569,0.998097050428164,1,0.118571428571429,0.495873015873016,0.675238095238095,0.333650793650794,0.506428571428571,1.33942065491184,0.672855313700384,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s8",1,100,100,200,1400,8400,1,1,2,0,0,1400,127,161,3,3,100,1.51642857142857,1.48357142857143,21.32,42,21.23,32.025,42,21.23,878.86,0.492380952380952,0.254880952380952,0.490238095238095,0.497823984526112,0.247261904761905,1.02214732787675,1.03094777562863,1,0.490238095238095,0.505476190476191,0.490238095238095,0.995647969052224,1.02214732787675,1,0.115,0.491666666666667,0.67968253968254,0.32968253968254,0.509761904761905,1.33708040593286,0.670540758676352,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s8",1,100,100,200,1400,8400,1,1,2,0,0,1400,127,161,3,3,100,1.51642857142857,1.48357142857143,21.32,42,21.23,32.025,42,21.23,878.86,0.492380952380952,0.254880952380952,0.490238095238095,0.497823984526112,0.247261904761905,1.02214732787675,1.03094777562863,1,0.490238095238095,0.505476190476191,0.490238095238095,0.995647969052224,1.02214732787675,1,0.115,0.491666666666667,0.67968253968254,0.32968253968254,0.509761904761905,1.33708040593286,0.670540758676352,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s8",1,100,100,200,1400,8400,1,1,2,0,0,1400,127,161,3,3,100,1.51642857142857,1.48357142857143,21.32,42,21.23,32.025,42,21.23,878.86,0.492380952380952,0.254880952380952,0.490238095238095,0.497823984526112,0.247261904761905,1.02214732787675,1.03094777562863,1,0.490238095238095,0.505476190476191,0.490238095238095,0.995647969052224,1.02214732787675,1,0.115,0.491666666666667,0.67968253968254,0.32968253968254,0.509761904761905,1.33708040593286,0.670540758676352,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s8",1,100,100,200,1400,8400,1,1,2,0,0,1400,127,161,3,3,100,1.51642857142857,1.48357142857143,21.32,42,21.23,32.025,42,21.23,878.86,0.492380952380952,0.254880952380952,0.490238095238095,0.497823984526112,0.247261904761905,1.02214732787675,1.03094777562863,1,0.490238095238095,0.505476190476191,0.490238095238095,0.995647969052224,1.02214732787675,1,0.115,0.491666666666667,0.67968253968254,0.32968253968254,0.509761904761905,1.33708040593286,0.670540758676352,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s8",1,100,100,200,1400,8400,1,1,2,0,0,1400,127,161,3,3,100,1.51642857142857,1.48357142857143,21.32,42,21.23,32.025,42,21.23,878.86,0.492380952380952,0.254880952380952,0.490238095238095,0.497823984526112,0.247261904761905,1.02214732787675,1.03094777562863,1,0.490238095238095,0.505476190476191,0.490238095238095,0.995647969052224,1.02214732787675,1,0.115,0.491666666666667,0.67968253968254,0.32968253968254,0.509761904761905,1.33708040593286,0.670540758676352,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1400s9",1,100,100,200,1400,8400,1,1,2,0,0,1400,152,155,3,3,100,1.45142857142857,1.54857142857143,20.835,42,20.32,31.51,42,20.32,883.34,0.503928571428571,0.254166666666667,0.491666666666667,0.487833687691944,0.258095238095238,0.937269372693727,0.984408221119773,1,0.491666666666667,0.483809523809524,0.491666666666667,0.975667375383888,0.937269372693727,1,0.110714285714286,0.49984126984127,0.677777777777778,0.344126984126984,0.508333333333333,1.35512535702951,0.68847253096221,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1400s9",1,100,100,200,1400,8400,1,1,2,0,0,1400,152,155,3,3,100,1.45142857142857,1.54857142857143,20.835,42,20.32,31.51,42,20.32,883.34,0.503928571428571,0.254166666666667,0.491666666666667,0.487833687691944,0.258095238095238,0.937269372693727,0.984408221119773,1,0.491666666666667,0.483809523809524,0.491666666666667,0.975667375383888,0.937269372693727,1,0.110714285714286,0.49984126984127,0.677777777777778,0.344126984126984,0.508333333333333,1.35512535702951,0.68847253096221,"quantor",3600,"memout" "Qq2k3k3v100v100m1400s9",1,100,100,200,1400,8400,1,1,2,0,0,1400,152,155,3,3,100,1.45142857142857,1.54857142857143,20.835,42,20.32,31.51,42,20.32,883.34,0.503928571428571,0.254166666666667,0.491666666666667,0.487833687691944,0.258095238095238,0.937269372693727,0.984408221119773,1,0.491666666666667,0.483809523809524,0.491666666666667,0.975667375383888,0.937269372693727,1,0.110714285714286,0.49984126984127,0.677777777777778,0.344126984126984,0.508333333333333,1.35512535702951,0.68847253096221,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1400s9",1,100,100,200,1400,8400,1,1,2,0,0,1400,152,155,3,3,100,1.45142857142857,1.54857142857143,20.835,42,20.32,31.51,42,20.32,883.34,0.503928571428571,0.254166666666667,0.491666666666667,0.487833687691944,0.258095238095238,0.937269372693727,0.984408221119773,1,0.491666666666667,0.483809523809524,0.491666666666667,0.975667375383888,0.937269372693727,1,0.110714285714286,0.49984126984127,0.677777777777778,0.344126984126984,0.508333333333333,1.35512535702951,0.68847253096221,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1400s9",1,100,100,200,1400,8400,1,1,2,0,0,1400,152,155,3,3,100,1.45142857142857,1.54857142857143,20.835,42,20.32,31.51,42,20.32,883.34,0.503928571428571,0.254166666666667,0.491666666666667,0.487833687691944,0.258095238095238,0.937269372693727,0.984408221119773,1,0.491666666666667,0.483809523809524,0.491666666666667,0.975667375383888,0.937269372693727,1,0.110714285714286,0.49984126984127,0.677777777777778,0.344126984126984,0.508333333333333,1.35512535702951,0.68847253096221,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s1",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,165,3,3,100,1.47875,1.52125,23.97,48,23.66,36.11,48,23.66,1151.48,0.500625,0.252916666666667,0.494166666666667,0.493549729504786,0.253541666666667,0.972062448644207,0.997503121098627,1,0.494166666666667,0.492916666666667,0.494166666666667,0.987099459009571,0.972062448644207,1,0.103125,0.498472222222222,0.674444444444445,0.338055555555556,0.505833333333333,1.34477983937967,0.678183337977152,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1600s1",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,165,3,3,100,1.47875,1.52125,23.97,48,23.66,36.11,48,23.66,1151.48,0.500625,0.252916666666667,0.494166666666667,0.493549729504786,0.253541666666667,0.972062448644207,0.997503121098627,1,0.494166666666667,0.492916666666667,0.494166666666667,0.987099459009571,0.972062448644207,1,0.103125,0.498472222222222,0.674444444444445,0.338055555555556,0.505833333333333,1.34477983937967,0.678183337977152,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s1",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,165,3,3,100,1.47875,1.52125,23.97,48,23.66,36.11,48,23.66,1151.48,0.500625,0.252916666666667,0.494166666666667,0.493549729504786,0.253541666666667,0.972062448644207,0.997503121098627,1,0.494166666666667,0.492916666666667,0.494166666666667,0.987099459009571,0.972062448644207,1,0.103125,0.498472222222222,0.674444444444445,0.338055555555556,0.505833333333333,1.34477983937967,0.678183337977152,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s1",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,165,3,3,100,1.47875,1.52125,23.97,48,23.66,36.11,48,23.66,1151.48,0.500625,0.252916666666667,0.494166666666667,0.493549729504786,0.253541666666667,0.972062448644207,0.997503121098627,1,0.494166666666667,0.492916666666667,0.494166666666667,0.987099459009571,0.972062448644207,1,0.103125,0.498472222222222,0.674444444444445,0.338055555555556,0.505833333333333,1.34477983937967,0.678183337977152,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s1",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,165,3,3,100,1.47875,1.52125,23.97,48,23.66,36.11,48,23.66,1151.48,0.500625,0.252916666666667,0.494166666666667,0.493549729504786,0.253541666666667,0.972062448644207,0.997503121098627,1,0.494166666666667,0.492916666666667,0.494166666666667,0.987099459009571,0.972062448644207,1,0.103125,0.498472222222222,0.674444444444445,0.338055555555556,0.505833333333333,1.34477983937967,0.678183337977152,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s10",1,100,100,200,1600,9600,1,1,2,0,0,1600,173,178,3,3,100,1.506875,1.493125,24,48,24.11,35.945,48,24.11,1155.06,0.5,0.248854166666667,0.502291666666667,0.502291666666667,0.248854166666667,1.00920887400586,1,1,0.502291666666667,0.502291666666667,0.502291666666667,1.00458333333333,1.00920887400586,1,0.11125,0.500763888888889,0.663611111111111,0.331805555555556,0.497708333333333,1.32925302545556,0.662598807377618,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1600s10",1,100,100,200,1600,9600,1,1,2,0,0,1600,173,178,3,3,100,1.506875,1.493125,24,48,24.11,35.945,48,24.11,1155.06,0.5,0.248854166666667,0.502291666666667,0.502291666666667,0.248854166666667,1.00920887400586,1,1,0.502291666666667,0.502291666666667,0.502291666666667,1.00458333333333,1.00920887400586,1,0.11125,0.500763888888889,0.663611111111111,0.331805555555556,0.497708333333333,1.32925302545556,0.662598807377618,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s10",1,100,100,200,1600,9600,1,1,2,0,0,1600,173,178,3,3,100,1.506875,1.493125,24,48,24.11,35.945,48,24.11,1155.06,0.5,0.248854166666667,0.502291666666667,0.502291666666667,0.248854166666667,1.00920887400586,1,1,0.502291666666667,0.502291666666667,0.502291666666667,1.00458333333333,1.00920887400586,1,0.11125,0.500763888888889,0.663611111111111,0.331805555555556,0.497708333333333,1.32925302545556,0.662598807377618,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s10",1,100,100,200,1600,9600,1,1,2,0,0,1600,173,178,3,3,100,1.506875,1.493125,24,48,24.11,35.945,48,24.11,1155.06,0.5,0.248854166666667,0.502291666666667,0.502291666666667,0.248854166666667,1.00920887400586,1,1,0.502291666666667,0.502291666666667,0.502291666666667,1.00458333333333,1.00920887400586,1,0.11125,0.500763888888889,0.663611111111111,0.331805555555556,0.497708333333333,1.32925302545556,0.662598807377618,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s10",1,100,100,200,1600,9600,1,1,2,0,0,1600,173,178,3,3,100,1.506875,1.493125,24,48,24.11,35.945,48,24.11,1155.06,0.5,0.248854166666667,0.502291666666667,0.502291666666667,0.248854166666667,1.00920887400586,1,1,0.502291666666667,0.502291666666667,0.502291666666667,1.00458333333333,1.00920887400586,1,0.11125,0.500763888888889,0.663611111111111,0.331805555555556,0.497708333333333,1.32925302545556,0.662598807377618,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s2",1,100,100,200,1600,9600,1,1,2,0,0,1600,194,172,3,3,100,1.456875,1.543125,23.7,48,23.31,35.745,48,23.31,1150.56,0.50625,0.2509375,0.498125,0.491975308641975,0.2571875,0.944106925880923,0.975308641975309,1,0.498125,0.485625,0.498125,0.983950617283951,0.944106925880923,1,0.1075,0.503541666666667,0.669166666666667,0.342916666666667,0.501875,1.34788082249266,0.681009515928837,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1600s2",1,100,100,200,1600,9600,1,1,2,0,0,1600,194,172,3,3,100,1.456875,1.543125,23.7,48,23.31,35.745,48,23.31,1150.56,0.50625,0.2509375,0.498125,0.491975308641975,0.2571875,0.944106925880923,0.975308641975309,1,0.498125,0.485625,0.498125,0.983950617283951,0.944106925880923,1,0.1075,0.503541666666667,0.669166666666667,0.342916666666667,0.501875,1.34788082249266,0.681009515928837,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s2",1,100,100,200,1600,9600,1,1,2,0,0,1600,194,172,3,3,100,1.456875,1.543125,23.7,48,23.31,35.745,48,23.31,1150.56,0.50625,0.2509375,0.498125,0.491975308641975,0.2571875,0.944106925880923,0.975308641975309,1,0.498125,0.485625,0.498125,0.983950617283951,0.944106925880923,1,0.1075,0.503541666666667,0.669166666666667,0.342916666666667,0.501875,1.34788082249266,0.681009515928837,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s2",1,100,100,200,1600,9600,1,1,2,0,0,1600,194,172,3,3,100,1.456875,1.543125,23.7,48,23.31,35.745,48,23.31,1150.56,0.50625,0.2509375,0.498125,0.491975308641975,0.2571875,0.944106925880923,0.975308641975309,1,0.498125,0.485625,0.498125,0.983950617283951,0.944106925880923,1,0.1075,0.503541666666667,0.669166666666667,0.342916666666667,0.501875,1.34788082249266,0.681009515928837,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s2",1,100,100,200,1600,9600,1,1,2,0,0,1600,194,172,3,3,100,1.456875,1.543125,23.7,48,23.31,35.745,48,23.31,1150.56,0.50625,0.2509375,0.498125,0.491975308641975,0.2571875,0.944106925880923,0.975308641975309,1,0.498125,0.485625,0.498125,0.983950617283951,0.944106925880923,1,0.1075,0.503541666666667,0.669166666666667,0.342916666666667,0.501875,1.34788082249266,0.681009515928837,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s3",1,100,100,200,1600,9600,1,1,2,0,0,1600,191,205,3,3,100,1.49375,1.50625,24.115,48,23.9,36.28,48,23.9,1153.18,0.497604166666667,0.2534375,0.493125,0.495499267322587,0.251041666666667,0.991701244813278,1.00962947456563,1,0.493125,0.497916666666667,0.493125,0.990998534645175,0.991701244813278,1,0.128125,0.496111111111111,0.675833333333333,0.334722222222222,0.506875,1.3412348401323,0.674692049272117,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1600s3",1,100,100,200,1600,9600,1,1,2,0,0,1600,191,205,3,3,100,1.49375,1.50625,24.115,48,23.9,36.28,48,23.9,1153.18,0.497604166666667,0.2534375,0.493125,0.495499267322587,0.251041666666667,0.991701244813278,1.00962947456563,1,0.493125,0.497916666666667,0.493125,0.990998534645175,0.991701244813278,1,0.128125,0.496111111111111,0.675833333333333,0.334722222222222,0.506875,1.3412348401323,0.674692049272117,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s3",1,100,100,200,1600,9600,1,1,2,0,0,1600,191,205,3,3,100,1.49375,1.50625,24.115,48,23.9,36.28,48,23.9,1153.18,0.497604166666667,0.2534375,0.493125,0.495499267322587,0.251041666666667,0.991701244813278,1.00962947456563,1,0.493125,0.497916666666667,0.493125,0.990998534645175,0.991701244813278,1,0.128125,0.496111111111111,0.675833333333333,0.334722222222222,0.506875,1.3412348401323,0.674692049272117,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s3",1,100,100,200,1600,9600,1,1,2,0,0,1600,191,205,3,3,100,1.49375,1.50625,24.115,48,23.9,36.28,48,23.9,1153.18,0.497604166666667,0.2534375,0.493125,0.495499267322587,0.251041666666667,0.991701244813278,1.00962947456563,1,0.493125,0.497916666666667,0.493125,0.990998534645175,0.991701244813278,1,0.128125,0.496111111111111,0.675833333333333,0.334722222222222,0.506875,1.3412348401323,0.674692049272117,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s3",1,100,100,200,1600,9600,1,1,2,0,0,1600,191,205,3,3,100,1.49375,1.50625,24.115,48,23.9,36.28,48,23.9,1153.18,0.497604166666667,0.2534375,0.493125,0.495499267322587,0.251041666666667,0.991701244813278,1.00962947456563,1,0.493125,0.497916666666667,0.493125,0.990998534645175,0.991701244813278,1,0.128125,0.496111111111111,0.675833333333333,0.334722222222222,0.506875,1.3412348401323,0.674692049272117,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s4",1,100,100,200,1600,9600,1,1,2,0,0,1600,188,174,3,3,100,1.5,1.5,23.77,48,24,35.54,48,24,1147.74,0.504791666666667,0.245208333333333,0.509583333333333,0.504746182418489,0.25,1,0.981015270326042,1,0.509583333333333,0.5,0.509583333333333,1.00949236483698,1,1,0.10875,0.506388888888889,0.653888888888889,0.333333333333333,0.490416666666667,1.32470455824423,0.65825562260011,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1600s4",1,100,100,200,1600,9600,1,1,2,0,0,1600,188,174,3,3,100,1.5,1.5,23.77,48,24,35.54,48,24,1147.74,0.504791666666667,0.245208333333333,0.509583333333333,0.504746182418489,0.25,1,0.981015270326042,1,0.509583333333333,0.5,0.509583333333333,1.00949236483698,1,1,0.10875,0.506388888888889,0.653888888888889,0.333333333333333,0.490416666666667,1.32470455824423,0.65825562260011,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s4",1,100,100,200,1600,9600,1,1,2,0,0,1600,188,174,3,3,100,1.5,1.5,23.77,48,24,35.54,48,24,1147.74,0.504791666666667,0.245208333333333,0.509583333333333,0.504746182418489,0.25,1,0.981015270326042,1,0.509583333333333,0.5,0.509583333333333,1.00949236483698,1,1,0.10875,0.506388888888889,0.653888888888889,0.333333333333333,0.490416666666667,1.32470455824423,0.65825562260011,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s4",1,100,100,200,1600,9600,1,1,2,0,0,1600,188,174,3,3,100,1.5,1.5,23.77,48,24,35.54,48,24,1147.74,0.504791666666667,0.245208333333333,0.509583333333333,0.504746182418489,0.25,1,0.981015270326042,1,0.509583333333333,0.5,0.509583333333333,1.00949236483698,1,1,0.10875,0.506388888888889,0.653888888888889,0.333333333333333,0.490416666666667,1.32470455824423,0.65825562260011,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s4",1,100,100,200,1600,9600,1,1,2,0,0,1600,188,174,3,3,100,1.5,1.5,23.77,48,24,35.54,48,24,1147.74,0.504791666666667,0.245208333333333,0.509583333333333,0.504746182418489,0.25,1,0.981015270326042,1,0.509583333333333,0.5,0.509583333333333,1.00949236483698,1,1,0.10875,0.506388888888889,0.653888888888889,0.333333333333333,0.490416666666667,1.32470455824423,0.65825562260011,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s5",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,192,3,3,100,1.511875,1.488125,24.075,48,24.19,36.055,48,24.19,1152.64,0.4984375,0.249583333333333,0.500833333333333,0.502403343782654,0.248020833333333,1.01595968080638,1.00626959247649,1,0.500833333333333,0.503958333333333,0.500833333333333,1.00480668756531,1.01595968080638,1,0.12,0.499236111111111,0.665555555555556,0.330694444444444,0.499166666666667,1.32908057134933,0.662400890248992,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1600s5",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,192,3,3,100,1.511875,1.488125,24.075,48,24.19,36.055,48,24.19,1152.64,0.4984375,0.249583333333333,0.500833333333333,0.502403343782654,0.248020833333333,1.01595968080638,1.00626959247649,1,0.500833333333333,0.503958333333333,0.500833333333333,1.00480668756531,1.01595968080638,1,0.12,0.499236111111111,0.665555555555556,0.330694444444444,0.499166666666667,1.32908057134933,0.662400890248992,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s5",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,192,3,3,100,1.511875,1.488125,24.075,48,24.19,36.055,48,24.19,1152.64,0.4984375,0.249583333333333,0.500833333333333,0.502403343782654,0.248020833333333,1.01595968080638,1.00626959247649,1,0.500833333333333,0.503958333333333,0.500833333333333,1.00480668756531,1.01595968080638,1,0.12,0.499236111111111,0.665555555555556,0.330694444444444,0.499166666666667,1.32908057134933,0.662400890248992,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s5",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,192,3,3,100,1.511875,1.488125,24.075,48,24.19,36.055,48,24.19,1152.64,0.4984375,0.249583333333333,0.500833333333333,0.502403343782654,0.248020833333333,1.01595968080638,1.00626959247649,1,0.500833333333333,0.503958333333333,0.500833333333333,1.00480668756531,1.01595968080638,1,0.12,0.499236111111111,0.665555555555556,0.330694444444444,0.499166666666667,1.32908057134933,0.662400890248992,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s5",1,100,100,200,1600,9600,1,1,2,0,0,1600,180,192,3,3,100,1.511875,1.488125,24.075,48,24.19,36.055,48,24.19,1152.64,0.4984375,0.249583333333333,0.500833333333333,0.502403343782654,0.248020833333333,1.01595968080638,1.00626959247649,1,0.500833333333333,0.503958333333333,0.500833333333333,1.00480668756531,1.01595968080638,1,0.12,0.499236111111111,0.665555555555556,0.330694444444444,0.499166666666667,1.32908057134933,0.662400890248992,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s6",1,100,100,200,1600,9600,1,1,2,0,0,1600,177,203,3,3,100,1.51875,1.48125,24.26,48,24.3,36.37,48,24.3,1153.34,0.494583333333333,0.252291666666667,0.495416666666667,0.500842459983151,0.246875,1.0253164556962,1.02190395956192,1,0.495416666666667,0.50625,0.495416666666667,1.0016849199663,1.0253164556962,1,0.126875,0.494861111111111,0.672777777777778,0.329166666666667,0.504583333333333,1.33186692328842,0.665169800729722,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1600s6",1,100,100,200,1600,9600,1,1,2,0,0,1600,177,203,3,3,100,1.51875,1.48125,24.26,48,24.3,36.37,48,24.3,1153.34,0.494583333333333,0.252291666666667,0.495416666666667,0.500842459983151,0.246875,1.0253164556962,1.02190395956192,1,0.495416666666667,0.50625,0.495416666666667,1.0016849199663,1.0253164556962,1,0.126875,0.494861111111111,0.672777777777778,0.329166666666667,0.504583333333333,1.33186692328842,0.665169800729722,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s6",1,100,100,200,1600,9600,1,1,2,0,0,1600,177,203,3,3,100,1.51875,1.48125,24.26,48,24.3,36.37,48,24.3,1153.34,0.494583333333333,0.252291666666667,0.495416666666667,0.500842459983151,0.246875,1.0253164556962,1.02190395956192,1,0.495416666666667,0.50625,0.495416666666667,1.0016849199663,1.0253164556962,1,0.126875,0.494861111111111,0.672777777777778,0.329166666666667,0.504583333333333,1.33186692328842,0.665169800729722,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s6",1,100,100,200,1600,9600,1,1,2,0,0,1600,177,203,3,3,100,1.51875,1.48125,24.26,48,24.3,36.37,48,24.3,1153.34,0.494583333333333,0.252291666666667,0.495416666666667,0.500842459983151,0.246875,1.0253164556962,1.02190395956192,1,0.495416666666667,0.50625,0.495416666666667,1.0016849199663,1.0253164556962,1,0.126875,0.494861111111111,0.672777777777778,0.329166666666667,0.504583333333333,1.33186692328842,0.665169800729722,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s6",1,100,100,200,1600,9600,1,1,2,0,0,1600,177,203,3,3,100,1.51875,1.48125,24.26,48,24.3,36.37,48,24.3,1153.34,0.494583333333333,0.252291666666667,0.495416666666667,0.500842459983151,0.246875,1.0253164556962,1.02190395956192,1,0.495416666666667,0.50625,0.495416666666667,1.0016849199663,1.0253164556962,1,0.126875,0.494861111111111,0.672777777777778,0.329166666666667,0.504583333333333,1.33186692328842,0.665169800729722,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s7",1,100,100,200,1600,9600,1,1,2,0,0,1600,185,190,3,3,100,1.50625,1.49375,24.2,48,24.1,36.35,48,24.1,1152.4,0.495833333333333,0.253125,0.49375,0.497899159663865,0.248958333333333,1.00836820083682,1.01680672268908,1,0.49375,0.502083333333333,0.49375,0.995798319327731,1.00836820083682,1,0.11875,0.495138888888889,0.675,0.331944444444444,0.50625,1.33700137551582,0.670406732117812,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1600s7",1,100,100,200,1600,9600,1,1,2,0,0,1600,185,190,3,3,100,1.50625,1.49375,24.2,48,24.1,36.35,48,24.1,1152.4,0.495833333333333,0.253125,0.49375,0.497899159663865,0.248958333333333,1.00836820083682,1.01680672268908,1,0.49375,0.502083333333333,0.49375,0.995798319327731,1.00836820083682,1,0.11875,0.495138888888889,0.675,0.331944444444444,0.50625,1.33700137551582,0.670406732117812,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s7",1,100,100,200,1600,9600,1,1,2,0,0,1600,185,190,3,3,100,1.50625,1.49375,24.2,48,24.1,36.35,48,24.1,1152.4,0.495833333333333,0.253125,0.49375,0.497899159663865,0.248958333333333,1.00836820083682,1.01680672268908,1,0.49375,0.502083333333333,0.49375,0.995798319327731,1.00836820083682,1,0.11875,0.495138888888889,0.675,0.331944444444444,0.50625,1.33700137551582,0.670406732117812,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s7",1,100,100,200,1600,9600,1,1,2,0,0,1600,185,190,3,3,100,1.50625,1.49375,24.2,48,24.1,36.35,48,24.1,1152.4,0.495833333333333,0.253125,0.49375,0.497899159663865,0.248958333333333,1.00836820083682,1.01680672268908,1,0.49375,0.502083333333333,0.49375,0.995798319327731,1.00836820083682,1,0.11875,0.495138888888889,0.675,0.331944444444444,0.50625,1.33700137551582,0.670406732117812,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s7",1,100,100,200,1600,9600,1,1,2,0,0,1600,185,190,3,3,100,1.50625,1.49375,24.2,48,24.1,36.35,48,24.1,1152.4,0.495833333333333,0.253125,0.49375,0.497899159663865,0.248958333333333,1.00836820083682,1.01680672268908,1,0.49375,0.502083333333333,0.49375,0.995798319327731,1.00836820083682,1,0.11875,0.495138888888889,0.675,0.331944444444444,0.50625,1.33700137551582,0.670406732117812,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s8",1,100,100,200,1600,9600,1,1,2,0,0,1600,151,193,3,3,100,1.5175,1.4825,24.46,48,24.28,36.78,48,24.28,1145.36,0.490416666666667,0.256666666666667,0.486666666666667,0.496176720475786,0.247083333333333,1.02360876897133,1.03908241291419,1,0.486666666666667,0.505833333333333,0.486666666666667,0.992353440951572,1.02360876897133,1,0.120625,0.489166666666667,0.684444444444444,0.329444444444444,0.513333333333333,1.33985861881457,0.673480976717774,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1600s8",1,100,100,200,1600,9600,1,1,2,0,0,1600,151,193,3,3,100,1.5175,1.4825,24.46,48,24.28,36.78,48,24.28,1145.36,0.490416666666667,0.256666666666667,0.486666666666667,0.496176720475786,0.247083333333333,1.02360876897133,1.03908241291419,1,0.486666666666667,0.505833333333333,0.486666666666667,0.992353440951572,1.02360876897133,1,0.120625,0.489166666666667,0.684444444444444,0.329444444444444,0.513333333333333,1.33985861881457,0.673480976717774,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s8",1,100,100,200,1600,9600,1,1,2,0,0,1600,151,193,3,3,100,1.5175,1.4825,24.46,48,24.28,36.78,48,24.28,1145.36,0.490416666666667,0.256666666666667,0.486666666666667,0.496176720475786,0.247083333333333,1.02360876897133,1.03908241291419,1,0.486666666666667,0.505833333333333,0.486666666666667,0.992353440951572,1.02360876897133,1,0.120625,0.489166666666667,0.684444444444444,0.329444444444444,0.513333333333333,1.33985861881457,0.673480976717774,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s8",1,100,100,200,1600,9600,1,1,2,0,0,1600,151,193,3,3,100,1.5175,1.4825,24.46,48,24.28,36.78,48,24.28,1145.36,0.490416666666667,0.256666666666667,0.486666666666667,0.496176720475786,0.247083333333333,1.02360876897133,1.03908241291419,1,0.486666666666667,0.505833333333333,0.486666666666667,0.992353440951572,1.02360876897133,1,0.120625,0.489166666666667,0.684444444444444,0.329444444444444,0.513333333333333,1.33985861881457,0.673480976717774,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s8",1,100,100,200,1600,9600,1,1,2,0,0,1600,151,193,3,3,100,1.5175,1.4825,24.46,48,24.28,36.78,48,24.28,1145.36,0.490416666666667,0.256666666666667,0.486666666666667,0.496176720475786,0.247083333333333,1.02360876897133,1.03908241291419,1,0.486666666666667,0.505833333333333,0.486666666666667,0.992353440951572,1.02360876897133,1,0.120625,0.489166666666667,0.684444444444444,0.329444444444444,0.513333333333333,1.33985861881457,0.673480976717774,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1600s9",1,100,100,200,1600,9600,1,1,2,0,0,1600,172,185,3,3,100,1.461875,1.538125,23.875,48,23.39,36.055,48,23.39,1156.3,0.502604166666667,0.25375,0.4925,0.489948186528497,0.256354166666667,0.950426655830963,0.989637305699482,1,0.4925,0.487291666666667,0.4925,0.979896373056995,0.950426655830963,1,0.115625,0.499236111111111,0.676666666666667,0.341805555555556,0.5075,1.35126889474414,0.684657115036862,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1600s9",1,100,100,200,1600,9600,1,1,2,0,0,1600,172,185,3,3,100,1.461875,1.538125,23.875,48,23.39,36.055,48,23.39,1156.3,0.502604166666667,0.25375,0.4925,0.489948186528497,0.256354166666667,0.950426655830963,0.989637305699482,1,0.4925,0.487291666666667,0.4925,0.979896373056995,0.950426655830963,1,0.115625,0.499236111111111,0.676666666666667,0.341805555555556,0.5075,1.35126889474414,0.684657115036862,"quantor",3600,"memout" "Qq2k3k3v100v100m1600s9",1,100,100,200,1600,9600,1,1,2,0,0,1600,172,185,3,3,100,1.461875,1.538125,23.875,48,23.39,36.055,48,23.39,1156.3,0.502604166666667,0.25375,0.4925,0.489948186528497,0.256354166666667,0.950426655830963,0.989637305699482,1,0.4925,0.487291666666667,0.4925,0.979896373056995,0.950426655830963,1,0.115625,0.499236111111111,0.676666666666667,0.341805555555556,0.5075,1.35126889474414,0.684657115036862,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1600s9",1,100,100,200,1600,9600,1,1,2,0,0,1600,172,185,3,3,100,1.461875,1.538125,23.875,48,23.39,36.055,48,23.39,1156.3,0.502604166666667,0.25375,0.4925,0.489948186528497,0.256354166666667,0.950426655830963,0.989637305699482,1,0.4925,0.487291666666667,0.4925,0.979896373056995,0.950426655830963,1,0.115625,0.499236111111111,0.676666666666667,0.341805555555556,0.5075,1.35126889474414,0.684657115036862,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1600s9",1,100,100,200,1600,9600,1,1,2,0,0,1600,172,185,3,3,100,1.461875,1.538125,23.875,48,23.39,36.055,48,23.39,1156.3,0.502604166666667,0.25375,0.4925,0.489948186528497,0.256354166666667,0.950426655830963,0.989637305699482,1,0.4925,0.487291666666667,0.4925,0.979896373056995,0.950426655830963,1,0.115625,0.499236111111111,0.676666666666667,0.341805555555556,0.5075,1.35126889474414,0.684657115036862,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s1",1,100,100,200,1800,10800,1,1,2,0,0,1800,194,193,3,3,100,1.49166666666667,1.50833333333333,27.135,54,26.85,40.845,54,26.85,1453.88,0.4975,0.253888888888889,0.492222222222222,0.494695700725851,0.251388888888889,0.988950276243094,1.01005025125628,1,0.492222222222222,0.497222222222222,0.492222222222222,0.989391401451703,0.988950276243094,1,0.107222222222222,0.495740740740741,0.677037037037037,0.335185185185185,0.507777777777778,1.34263679764965,0.676129996264475,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s1",1,100,100,200,1800,10800,1,1,2,0,0,1800,194,193,3,3,100,1.49166666666667,1.50833333333333,27.135,54,26.85,40.845,54,26.85,1453.88,0.4975,0.253888888888889,0.492222222222222,0.494695700725851,0.251388888888889,0.988950276243094,1.01005025125628,1,0.492222222222222,0.497222222222222,0.492222222222222,0.989391401451703,0.988950276243094,1,0.107222222222222,0.495740740740741,0.677037037037037,0.335185185185185,0.507777777777778,1.34263679764965,0.676129996264475,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s1",1,100,100,200,1800,10800,1,1,2,0,0,1800,194,193,3,3,100,1.49166666666667,1.50833333333333,27.135,54,26.85,40.845,54,26.85,1453.88,0.4975,0.253888888888889,0.492222222222222,0.494695700725851,0.251388888888889,0.988950276243094,1.01005025125628,1,0.492222222222222,0.497222222222222,0.492222222222222,0.989391401451703,0.988950276243094,1,0.107222222222222,0.495740740740741,0.677037037037037,0.335185185185185,0.507777777777778,1.34263679764965,0.676129996264475,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s1",1,100,100,200,1800,10800,1,1,2,0,0,1800,194,193,3,3,100,1.49166666666667,1.50833333333333,27.135,54,26.85,40.845,54,26.85,1453.88,0.4975,0.253888888888889,0.492222222222222,0.494695700725851,0.251388888888889,0.988950276243094,1.01005025125628,1,0.492222222222222,0.497222222222222,0.492222222222222,0.989391401451703,0.988950276243094,1,0.107222222222222,0.495740740740741,0.677037037037037,0.335185185185185,0.507777777777778,1.34263679764965,0.676129996264475,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s1",1,100,100,200,1800,10800,1,1,2,0,0,1800,194,193,3,3,100,1.49166666666667,1.50833333333333,27.135,54,26.85,40.845,54,26.85,1453.88,0.4975,0.253888888888889,0.492222222222222,0.494695700725851,0.251388888888889,0.988950276243094,1.01005025125628,1,0.492222222222222,0.497222222222222,0.492222222222222,0.989391401451703,0.988950276243094,1,0.107222222222222,0.495740740740741,0.677037037037037,0.335185185185185,0.507777777777778,1.34263679764965,0.676129996264475,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s10",1,100,100,200,1800,10800,1,1,2,0,0,1800,193,197,3,3,100,1.50555555555556,1.49444444444444,26.925,54,27.1,40.3,54,27.1,1463.04,0.501388888888889,0.247685185185185,0.50462962962963,0.503231763619575,0.249074074074074,1.00743494423792,0.994459833795014,1,0.50462962962963,0.501851851851852,0.50462962962963,1.00646352723915,1.00743494423792,1,0.109444444444444,0.502469135802469,0.660493827160494,0.332098765432099,0.49537037037037,1.32754342431762,0.660933660933661,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s10",1,100,100,200,1800,10800,1,1,2,0,0,1800,193,197,3,3,100,1.50555555555556,1.49444444444444,26.925,54,27.1,40.3,54,27.1,1463.04,0.501388888888889,0.247685185185185,0.50462962962963,0.503231763619575,0.249074074074074,1.00743494423792,0.994459833795014,1,0.50462962962963,0.501851851851852,0.50462962962963,1.00646352723915,1.00743494423792,1,0.109444444444444,0.502469135802469,0.660493827160494,0.332098765432099,0.49537037037037,1.32754342431762,0.660933660933661,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s10",1,100,100,200,1800,10800,1,1,2,0,0,1800,193,197,3,3,100,1.50555555555556,1.49444444444444,26.925,54,27.1,40.3,54,27.1,1463.04,0.501388888888889,0.247685185185185,0.50462962962963,0.503231763619575,0.249074074074074,1.00743494423792,0.994459833795014,1,0.50462962962963,0.501851851851852,0.50462962962963,1.00646352723915,1.00743494423792,1,0.109444444444444,0.502469135802469,0.660493827160494,0.332098765432099,0.49537037037037,1.32754342431762,0.660933660933661,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s10",1,100,100,200,1800,10800,1,1,2,0,0,1800,193,197,3,3,100,1.50555555555556,1.49444444444444,26.925,54,27.1,40.3,54,27.1,1463.04,0.501388888888889,0.247685185185185,0.50462962962963,0.503231763619575,0.249074074074074,1.00743494423792,0.994459833795014,1,0.50462962962963,0.501851851851852,0.50462962962963,1.00646352723915,1.00743494423792,1,0.109444444444444,0.502469135802469,0.660493827160494,0.332098765432099,0.49537037037037,1.32754342431762,0.660933660933661,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s10",1,100,100,200,1800,10800,1,1,2,0,0,1800,193,197,3,3,100,1.50555555555556,1.49444444444444,26.925,54,27.1,40.3,54,27.1,1463.04,0.501388888888889,0.247685185185185,0.50462962962963,0.503231763619575,0.249074074074074,1.00743494423792,0.994459833795014,1,0.50462962962963,0.501851851851852,0.50462962962963,1.00646352723915,1.00743494423792,1,0.109444444444444,0.502469135802469,0.660493827160494,0.332098765432099,0.49537037037037,1.32754342431762,0.660933660933661,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s2",1,100,100,200,1800,10800,1,1,2,0,0,1800,213,192,3,3,100,1.45777777777778,1.54222222222222,26.73,54,26.24,40.34,54,26.24,1454.48,0.505,0.252037037037037,0.495925925925926,0.491015768243491,0.257037037037037,0.945244956772334,0.98019801980198,1,0.495925925925926,0.485925925925926,0.495925925925926,0.982031536486982,0.945244956772334,1,0.106666666666667,0.501975308641975,0.672098765432099,0.342716049382716,0.504074074074074,1.3495290034705,0.682734874569602,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m1800s2",1,100,100,200,1800,10800,1,1,2,0,0,1800,213,192,3,3,100,1.45777777777778,1.54222222222222,26.73,54,26.24,40.34,54,26.24,1454.48,0.505,0.252037037037037,0.495925925925926,0.491015768243491,0.257037037037037,0.945244956772334,0.98019801980198,1,0.495925925925926,0.485925925925926,0.495925925925926,0.982031536486982,0.945244956772334,1,0.106666666666667,0.501975308641975,0.672098765432099,0.342716049382716,0.504074074074074,1.3495290034705,0.682734874569602,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s2",1,100,100,200,1800,10800,1,1,2,0,0,1800,213,192,3,3,100,1.45777777777778,1.54222222222222,26.73,54,26.24,40.34,54,26.24,1454.48,0.505,0.252037037037037,0.495925925925926,0.491015768243491,0.257037037037037,0.945244956772334,0.98019801980198,1,0.495925925925926,0.485925925925926,0.495925925925926,0.982031536486982,0.945244956772334,1,0.106666666666667,0.501975308641975,0.672098765432099,0.342716049382716,0.504074074074074,1.3495290034705,0.682734874569602,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s2",1,100,100,200,1800,10800,1,1,2,0,0,1800,213,192,3,3,100,1.45777777777778,1.54222222222222,26.73,54,26.24,40.34,54,26.24,1454.48,0.505,0.252037037037037,0.495925925925926,0.491015768243491,0.257037037037037,0.945244956772334,0.98019801980198,1,0.495925925925926,0.485925925925926,0.495925925925926,0.982031536486982,0.945244956772334,1,0.106666666666667,0.501975308641975,0.672098765432099,0.342716049382716,0.504074074074074,1.3495290034705,0.682734874569602,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s2",1,100,100,200,1800,10800,1,1,2,0,0,1800,213,192,3,3,100,1.45777777777778,1.54222222222222,26.73,54,26.24,40.34,54,26.24,1454.48,0.505,0.252037037037037,0.495925925925926,0.491015768243491,0.257037037037037,0.945244956772334,0.98019801980198,1,0.495925925925926,0.485925925925926,0.495925925925926,0.982031536486982,0.945244956772334,1,0.106666666666667,0.501975308641975,0.672098765432099,0.342716049382716,0.504074074074074,1.3495290034705,0.682734874569602,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s3",1,100,100,200,1800,10800,1,1,2,0,0,1800,215,225,3,3,100,1.495,1.505,27.09,54,26.91,40.725,54,26.91,1458.76,0.498333333333333,0.2525,0.495,0.496655518394649,0.250833333333333,0.993355481727575,1.0066889632107,1,0.495,0.498333333333333,0.495,0.993311036789298,0.993355481727575,1,0.125,0.497222222222222,0.673333333333333,0.334444444444444,0.505,1.33922651933702,0.672625698324022,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s3",1,100,100,200,1800,10800,1,1,2,0,0,1800,215,225,3,3,100,1.495,1.505,27.09,54,26.91,40.725,54,26.91,1458.76,0.498333333333333,0.2525,0.495,0.496655518394649,0.250833333333333,0.993355481727575,1.0066889632107,1,0.495,0.498333333333333,0.495,0.993311036789298,0.993355481727575,1,0.125,0.497222222222222,0.673333333333333,0.334444444444444,0.505,1.33922651933702,0.672625698324022,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s3",1,100,100,200,1800,10800,1,1,2,0,0,1800,215,225,3,3,100,1.495,1.505,27.09,54,26.91,40.725,54,26.91,1458.76,0.498333333333333,0.2525,0.495,0.496655518394649,0.250833333333333,0.993355481727575,1.0066889632107,1,0.495,0.498333333333333,0.495,0.993311036789298,0.993355481727575,1,0.125,0.497222222222222,0.673333333333333,0.334444444444444,0.505,1.33922651933702,0.672625698324022,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s3",1,100,100,200,1800,10800,1,1,2,0,0,1800,215,225,3,3,100,1.495,1.505,27.09,54,26.91,40.725,54,26.91,1458.76,0.498333333333333,0.2525,0.495,0.496655518394649,0.250833333333333,0.993355481727575,1.0066889632107,1,0.495,0.498333333333333,0.495,0.993311036789298,0.993355481727575,1,0.125,0.497222222222222,0.673333333333333,0.334444444444444,0.505,1.33922651933702,0.672625698324022,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s3",1,100,100,200,1800,10800,1,1,2,0,0,1800,215,225,3,3,100,1.495,1.505,27.09,54,26.91,40.725,54,26.91,1458.76,0.498333333333333,0.2525,0.495,0.496655518394649,0.250833333333333,0.993355481727575,1.0066889632107,1,0.495,0.498333333333333,0.495,0.993311036789298,0.993355481727575,1,0.125,0.497222222222222,0.673333333333333,0.334444444444444,0.505,1.33922651933702,0.672625698324022,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s4",1,100,100,200,1800,10800,1,1,2,0,0,1800,209,200,3,3,100,1.50833333333333,1.49166666666667,26.85,54,27.15,40.125,54,27.15,1455.62,0.502777777777778,0.245833333333333,0.508333333333333,0.505524861878453,0.248611111111111,1.01117318435754,0.988950276243094,1,0.508333333333333,0.502777777777778,0.508333333333333,1.01104972375691,1.01117318435754,1,0.111111111111111,0.50462962962963,0.655555555555556,0.331481481481481,0.491666666666667,1.32336448598131,0.656880733944954,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s4",1,100,100,200,1800,10800,1,1,2,0,0,1800,209,200,3,3,100,1.50833333333333,1.49166666666667,26.85,54,27.15,40.125,54,27.15,1455.62,0.502777777777778,0.245833333333333,0.508333333333333,0.505524861878453,0.248611111111111,1.01117318435754,0.988950276243094,1,0.508333333333333,0.502777777777778,0.508333333333333,1.01104972375691,1.01117318435754,1,0.111111111111111,0.50462962962963,0.655555555555556,0.331481481481481,0.491666666666667,1.32336448598131,0.656880733944954,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s4",1,100,100,200,1800,10800,1,1,2,0,0,1800,209,200,3,3,100,1.50833333333333,1.49166666666667,26.85,54,27.15,40.125,54,27.15,1455.62,0.502777777777778,0.245833333333333,0.508333333333333,0.505524861878453,0.248611111111111,1.01117318435754,0.988950276243094,1,0.508333333333333,0.502777777777778,0.508333333333333,1.01104972375691,1.01117318435754,1,0.111111111111111,0.50462962962963,0.655555555555556,0.331481481481481,0.491666666666667,1.32336448598131,0.656880733944954,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s4",1,100,100,200,1800,10800,1,1,2,0,0,1800,209,200,3,3,100,1.50833333333333,1.49166666666667,26.85,54,27.15,40.125,54,27.15,1455.62,0.502777777777778,0.245833333333333,0.508333333333333,0.505524861878453,0.248611111111111,1.01117318435754,0.988950276243094,1,0.508333333333333,0.502777777777778,0.508333333333333,1.01104972375691,1.01117318435754,1,0.111111111111111,0.50462962962963,0.655555555555556,0.331481481481481,0.491666666666667,1.32336448598131,0.656880733944954,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s4",1,100,100,200,1800,10800,1,1,2,0,0,1800,209,200,3,3,100,1.50833333333333,1.49166666666667,26.85,54,27.15,40.125,54,27.15,1455.62,0.502777777777778,0.245833333333333,0.508333333333333,0.505524861878453,0.248611111111111,1.01117318435754,0.988950276243094,1,0.508333333333333,0.502777777777778,0.508333333333333,1.01104972375691,1.01117318435754,1,0.111111111111111,0.50462962962963,0.655555555555556,0.331481481481481,0.491666666666667,1.32336448598131,0.656880733944954,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s5",1,100,100,200,1800,10800,1,1,2,0,0,1800,211,208,3,3,100,1.49888888888889,1.50111111111111,26.835,54,26.98,40.18,54,26.98,1458.46,0.503055555555556,0.24712962962963,0.505740740740741,0.502668875391128,0.250185185185185,0.998519615099926,0.987852015461071,1,0.505740740740741,0.49962962962963,0.505740740740741,1.00533775078226,0.998519615099926,1,0.115555555555556,0.503950617283951,0.659012345679012,0.33358024691358,0.494259259259259,1.32852165256346,0.661930426261636,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s5",1,100,100,200,1800,10800,1,1,2,0,0,1800,211,208,3,3,100,1.49888888888889,1.50111111111111,26.835,54,26.98,40.18,54,26.98,1458.46,0.503055555555556,0.24712962962963,0.505740740740741,0.502668875391128,0.250185185185185,0.998519615099926,0.987852015461071,1,0.505740740740741,0.49962962962963,0.505740740740741,1.00533775078226,0.998519615099926,1,0.115555555555556,0.503950617283951,0.659012345679012,0.33358024691358,0.494259259259259,1.32852165256346,0.661930426261636,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s5",1,100,100,200,1800,10800,1,1,2,0,0,1800,211,208,3,3,100,1.49888888888889,1.50111111111111,26.835,54,26.98,40.18,54,26.98,1458.46,0.503055555555556,0.24712962962963,0.505740740740741,0.502668875391128,0.250185185185185,0.998519615099926,0.987852015461071,1,0.505740740740741,0.49962962962963,0.505740740740741,1.00533775078226,0.998519615099926,1,0.115555555555556,0.503950617283951,0.659012345679012,0.33358024691358,0.494259259259259,1.32852165256346,0.661930426261636,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s5",1,100,100,200,1800,10800,1,1,2,0,0,1800,211,208,3,3,100,1.49888888888889,1.50111111111111,26.835,54,26.98,40.18,54,26.98,1458.46,0.503055555555556,0.24712962962963,0.505740740740741,0.502668875391128,0.250185185185185,0.998519615099926,0.987852015461071,1,0.505740740740741,0.49962962962963,0.505740740740741,1.00533775078226,0.998519615099926,1,0.115555555555556,0.503950617283951,0.659012345679012,0.33358024691358,0.494259259259259,1.32852165256346,0.661930426261636,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s5",1,100,100,200,1800,10800,1,1,2,0,0,1800,211,208,3,3,100,1.49888888888889,1.50111111111111,26.835,54,26.98,40.18,54,26.98,1458.46,0.503055555555556,0.24712962962963,0.505740740740741,0.502668875391128,0.250185185185185,0.998519615099926,0.987852015461071,1,0.505740740740741,0.49962962962963,0.505740740740741,1.00533775078226,0.998519615099926,1,0.115555555555556,0.503950617283951,0.659012345679012,0.33358024691358,0.494259259259259,1.32852165256346,0.661930426261636,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s6",1,100,100,200,1800,10800,1,1,2,0,0,1800,200,223,3,3,100,1.52944444444444,1.47055555555556,27.325,54,27.53,40.885,54,27.53,1456.46,0.493981481481482,0.251111111111111,0.497777777777778,0.503842549203374,0.245092592592593,1.04004533434076,1.02436738519213,1,0.497777777777778,0.509814814814815,0.497777777777778,1.00768509840675,1.04004533434076,1,0.123888888888889,0.495246913580247,0.66962962962963,0.32679012345679,0.502222222222222,1.32664791488321,0.659852922846815,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s6",1,100,100,200,1800,10800,1,1,2,0,0,1800,200,223,3,3,100,1.52944444444444,1.47055555555556,27.325,54,27.53,40.885,54,27.53,1456.46,0.493981481481482,0.251111111111111,0.497777777777778,0.503842549203374,0.245092592592593,1.04004533434076,1.02436738519213,1,0.497777777777778,0.509814814814815,0.497777777777778,1.00768509840675,1.04004533434076,1,0.123888888888889,0.495246913580247,0.66962962962963,0.32679012345679,0.502222222222222,1.32664791488321,0.659852922846815,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s6",1,100,100,200,1800,10800,1,1,2,0,0,1800,200,223,3,3,100,1.52944444444444,1.47055555555556,27.325,54,27.53,40.885,54,27.53,1456.46,0.493981481481482,0.251111111111111,0.497777777777778,0.503842549203374,0.245092592592593,1.04004533434076,1.02436738519213,1,0.497777777777778,0.509814814814815,0.497777777777778,1.00768509840675,1.04004533434076,1,0.123888888888889,0.495246913580247,0.66962962962963,0.32679012345679,0.502222222222222,1.32664791488321,0.659852922846815,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s6",1,100,100,200,1800,10800,1,1,2,0,0,1800,200,223,3,3,100,1.52944444444444,1.47055555555556,27.325,54,27.53,40.885,54,27.53,1456.46,0.493981481481482,0.251111111111111,0.497777777777778,0.503842549203374,0.245092592592593,1.04004533434076,1.02436738519213,1,0.497777777777778,0.509814814814815,0.497777777777778,1.00768509840675,1.04004533434076,1,0.123888888888889,0.495246913580247,0.66962962962963,0.32679012345679,0.502222222222222,1.32664791488321,0.659852922846815,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s6",1,100,100,200,1800,10800,1,1,2,0,0,1800,200,223,3,3,100,1.52944444444444,1.47055555555556,27.325,54,27.53,40.885,54,27.53,1456.46,0.493981481481482,0.251111111111111,0.497777777777778,0.503842549203374,0.245092592592593,1.04004533434076,1.02436738519213,1,0.497777777777778,0.509814814814815,0.497777777777778,1.00768509840675,1.04004533434076,1,0.123888888888889,0.495246913580247,0.66962962962963,0.32679012345679,0.502222222222222,1.32664791488321,0.659852922846815,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s7",1,100,100,200,1800,10800,1,1,2,0,0,1800,204,215,3,3,100,1.50055555555556,1.49944444444444,27.27,54,27.01,41.035,54,27.01,1459.44,0.495,0.254907407407407,0.490185185185185,0.495136550692106,0.249907407407407,1.00074101519081,1.02020202020202,1,0.490185185185185,0.500185185185185,0.490185185185185,0.990273101384212,1.00074101519081,1,0.119444444444444,0.493395061728395,0.679753086419753,0.33320987654321,0.509814814814815,1.34178140611673,0.675340923307894,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s7",1,100,100,200,1800,10800,1,1,2,0,0,1800,204,215,3,3,100,1.50055555555556,1.49944444444444,27.27,54,27.01,41.035,54,27.01,1459.44,0.495,0.254907407407407,0.490185185185185,0.495136550692106,0.249907407407407,1.00074101519081,1.02020202020202,1,0.490185185185185,0.500185185185185,0.490185185185185,0.990273101384212,1.00074101519081,1,0.119444444444444,0.493395061728395,0.679753086419753,0.33320987654321,0.509814814814815,1.34178140611673,0.675340923307894,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s7",1,100,100,200,1800,10800,1,1,2,0,0,1800,204,215,3,3,100,1.50055555555556,1.49944444444444,27.27,54,27.01,41.035,54,27.01,1459.44,0.495,0.254907407407407,0.490185185185185,0.495136550692106,0.249907407407407,1.00074101519081,1.02020202020202,1,0.490185185185185,0.500185185185185,0.490185185185185,0.990273101384212,1.00074101519081,1,0.119444444444444,0.493395061728395,0.679753086419753,0.33320987654321,0.509814814814815,1.34178140611673,0.675340923307894,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s7",1,100,100,200,1800,10800,1,1,2,0,0,1800,204,215,3,3,100,1.50055555555556,1.49944444444444,27.27,54,27.01,41.035,54,27.01,1459.44,0.495,0.254907407407407,0.490185185185185,0.495136550692106,0.249907407407407,1.00074101519081,1.02020202020202,1,0.490185185185185,0.500185185185185,0.490185185185185,0.990273101384212,1.00074101519081,1,0.119444444444444,0.493395061728395,0.679753086419753,0.33320987654321,0.509814814814815,1.34178140611673,0.675340923307894,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s7",1,100,100,200,1800,10800,1,1,2,0,0,1800,204,215,3,3,100,1.50055555555556,1.49944444444444,27.27,54,27.01,41.035,54,27.01,1459.44,0.495,0.254907407407407,0.490185185185185,0.495136550692106,0.249907407407407,1.00074101519081,1.02020202020202,1,0.490185185185185,0.500185185185185,0.490185185185185,0.990273101384212,1.00074101519081,1,0.119444444444444,0.493395061728395,0.679753086419753,0.33320987654321,0.509814814814815,1.34178140611673,0.675340923307894,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s8",1,100,100,200,1800,10800,1,1,2,0,0,1800,168,211,3,3,100,1.51388888888889,1.48611111111111,27.455,54,27.25,41.285,54,27.25,1452.12,0.491574074074074,0.256111111111111,0.487777777777778,0.496138632510831,0.247685185185185,1.01869158878505,1.03428140892823,1,0.487777777777778,0.50462962962963,0.487777777777778,0.992277265021661,1.01869158878505,1,0.117222222222222,0.490308641975309,0.682962962962963,0.330246913580247,0.512222222222222,1.33995397844253,0.673549036887826,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s8",1,100,100,200,1800,10800,1,1,2,0,0,1800,168,211,3,3,100,1.51388888888889,1.48611111111111,27.455,54,27.25,41.285,54,27.25,1452.12,0.491574074074074,0.256111111111111,0.487777777777778,0.496138632510831,0.247685185185185,1.01869158878505,1.03428140892823,1,0.487777777777778,0.50462962962963,0.487777777777778,0.992277265021661,1.01869158878505,1,0.117222222222222,0.490308641975309,0.682962962962963,0.330246913580247,0.512222222222222,1.33995397844253,0.673549036887826,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s8",1,100,100,200,1800,10800,1,1,2,0,0,1800,168,211,3,3,100,1.51388888888889,1.48611111111111,27.455,54,27.25,41.285,54,27.25,1452.12,0.491574074074074,0.256111111111111,0.487777777777778,0.496138632510831,0.247685185185185,1.01869158878505,1.03428140892823,1,0.487777777777778,0.50462962962963,0.487777777777778,0.992277265021661,1.01869158878505,1,0.117222222222222,0.490308641975309,0.682962962962963,0.330246913580247,0.512222222222222,1.33995397844253,0.673549036887826,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s8",1,100,100,200,1800,10800,1,1,2,0,0,1800,168,211,3,3,100,1.51388888888889,1.48611111111111,27.455,54,27.25,41.285,54,27.25,1452.12,0.491574074074074,0.256111111111111,0.487777777777778,0.496138632510831,0.247685185185185,1.01869158878505,1.03428140892823,1,0.487777777777778,0.50462962962963,0.487777777777778,0.992277265021661,1.01869158878505,1,0.117222222222222,0.490308641975309,0.682962962962963,0.330246913580247,0.512222222222222,1.33995397844253,0.673549036887826,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s8",1,100,100,200,1800,10800,1,1,2,0,0,1800,168,211,3,3,100,1.51388888888889,1.48611111111111,27.455,54,27.25,41.285,54,27.25,1452.12,0.491574074074074,0.256111111111111,0.487777777777778,0.496138632510831,0.247685185185185,1.01869158878505,1.03428140892823,1,0.487777777777778,0.50462962962963,0.487777777777778,0.992277265021661,1.01869158878505,1,0.117222222222222,0.490308641975309,0.682962962962963,0.330246913580247,0.512222222222222,1.33995397844253,0.673549036887826,"sSolve",3600,"timeout" "Qq2k3k3v100v100m1800s9",1,100,100,200,1800,10800,1,1,2,0,0,1800,199,207,3,3,100,1.46222222222222,1.53777777777778,26.78,54,26.32,40.4,54,26.32,1459.78,0.504074074074074,0.252222222222222,0.495555555555556,0.491550330639236,0.256296296296296,0.950867052023121,0.98383541513593,1,0.495555555555556,0.487407407407407,0.495555555555556,0.983100661278472,0.950867052023121,1,0.115,0.501234567901235,0.672592592592593,0.341728395061728,0.504444444444444,1.34851485148515,0.681773399014778,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m1800s9",1,100,100,200,1800,10800,1,1,2,0,0,1800,199,207,3,3,100,1.46222222222222,1.53777777777778,26.78,54,26.32,40.4,54,26.32,1459.78,0.504074074074074,0.252222222222222,0.495555555555556,0.491550330639236,0.256296296296296,0.950867052023121,0.98383541513593,1,0.495555555555556,0.487407407407407,0.495555555555556,0.983100661278472,0.950867052023121,1,0.115,0.501234567901235,0.672592592592593,0.341728395061728,0.504444444444444,1.34851485148515,0.681773399014778,"quantor",3600,"memout" "Qq2k3k3v100v100m1800s9",1,100,100,200,1800,10800,1,1,2,0,0,1800,199,207,3,3,100,1.46222222222222,1.53777777777778,26.78,54,26.32,40.4,54,26.32,1459.78,0.504074074074074,0.252222222222222,0.495555555555556,0.491550330639236,0.256296296296296,0.950867052023121,0.98383541513593,1,0.495555555555556,0.487407407407407,0.495555555555556,0.983100661278472,0.950867052023121,1,0.115,0.501234567901235,0.672592592592593,0.341728395061728,0.504444444444444,1.34851485148515,0.681773399014778,"QuBE",3600,"timeout" "Qq2k3k3v100v100m1800s9",1,100,100,200,1800,10800,1,1,2,0,0,1800,199,207,3,3,100,1.46222222222222,1.53777777777778,26.78,54,26.32,40.4,54,26.32,1459.78,0.504074074074074,0.252222222222222,0.495555555555556,0.491550330639236,0.256296296296296,0.950867052023121,0.98383541513593,1,0.495555555555556,0.487407407407407,0.495555555555556,0.983100661278472,0.950867052023121,1,0.115,0.501234567901235,0.672592592592593,0.341728395061728,0.504444444444444,1.34851485148515,0.681773399014778,"sKizzo",3600,"memout" "Qq2k3k3v100v100m1800s9",1,100,100,200,1800,10800,1,1,2,0,0,1800,199,207,3,3,100,1.46222222222222,1.53777777777778,26.78,54,26.32,40.4,54,26.32,1459.78,0.504074074074074,0.252222222222222,0.495555555555556,0.491550330639236,0.256296296296296,0.950867052023121,0.98383541513593,1,0.495555555555556,0.487407407407407,0.495555555555556,0.983100661278472,0.950867052023121,1,0.115,0.501234567901235,0.672592592592593,0.341728395061728,0.504444444444444,1.34851485148515,0.681773399014778,"sSolve",3600,"timeout" "Qq2k3k3v100v100m2000s1",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,214,3,3,100,1.4915,1.5085,30.105,60,29.83,45.295,60,29.83,1798,0.49825,0.253166666666667,0.493666666666667,0.495400568656966,0.251416666666667,0.98873052701359,1.00702458605118,1,0.493666666666667,0.497166666666667,0.493666666666667,0.990801137313932,0.98873052701359,1,0.107,0.496722222222222,0.675111111111111,0.335222222222222,0.506333333333333,1.3414284137322,0.674868582932558,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s1",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,214,3,3,100,1.4915,1.5085,30.105,60,29.83,45.295,60,29.83,1798,0.49825,0.253166666666667,0.493666666666667,0.495400568656966,0.251416666666667,0.98873052701359,1.00702458605118,1,0.493666666666667,0.497166666666667,0.493666666666667,0.990801137313932,0.98873052701359,1,0.107,0.496722222222222,0.675111111111111,0.335222222222222,0.506333333333333,1.3414284137322,0.674868582932558,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s1",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,214,3,3,100,1.4915,1.5085,30.105,60,29.83,45.295,60,29.83,1798,0.49825,0.253166666666667,0.493666666666667,0.495400568656966,0.251416666666667,0.98873052701359,1.00702458605118,1,0.493666666666667,0.497166666666667,0.493666666666667,0.990801137313932,0.98873052701359,1,0.107,0.496722222222222,0.675111111111111,0.335222222222222,0.506333333333333,1.3414284137322,0.674868582932558,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s1",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,214,3,3,100,1.4915,1.5085,30.105,60,29.83,45.295,60,29.83,1798,0.49825,0.253166666666667,0.493666666666667,0.495400568656966,0.251416666666667,0.98873052701359,1.00702458605118,1,0.493666666666667,0.497166666666667,0.493666666666667,0.990801137313932,0.98873052701359,1,0.107,0.496722222222222,0.675111111111111,0.335222222222222,0.506333333333333,1.3414284137322,0.674868582932558,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s1",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,214,3,3,100,1.4915,1.5085,30.105,60,29.83,45.295,60,29.83,1798,0.49825,0.253166666666667,0.493666666666667,0.495400568656966,0.251416666666667,0.98873052701359,1.00702458605118,1,0.493666666666667,0.497166666666667,0.493666666666667,0.990801137313932,0.98873052701359,1,0.107,0.496722222222222,0.675111111111111,0.335222222222222,0.506333333333333,1.3414284137322,0.674868582932558,"sSolve",1245.91,"ok" "Qq2k3k3v100v100m2000s10",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,215,3,3,100,1.496,1.504,29.86,60,29.92,44.76,60,29.92,1803.82,0.502333333333333,0.248333333333333,0.503333333333333,0.500995355009954,0.250666666666667,0.99468085106383,0.9907100199071,1,0.503333333333333,0.498666666666667,0.503333333333333,1.00199071001991,0.99468085106383,1,0.1075,0.502666666666667,0.662222222222222,0.334222222222222,0.496666666666667,1.33154602323503,0.664898320070734,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s10",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,215,3,3,100,1.496,1.504,29.86,60,29.92,44.76,60,29.92,1803.82,0.502333333333333,0.248333333333333,0.503333333333333,0.500995355009954,0.250666666666667,0.99468085106383,0.9907100199071,1,0.503333333333333,0.498666666666667,0.503333333333333,1.00199071001991,0.99468085106383,1,0.1075,0.502666666666667,0.662222222222222,0.334222222222222,0.496666666666667,1.33154602323503,0.664898320070734,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s10",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,215,3,3,100,1.496,1.504,29.86,60,29.92,44.76,60,29.92,1803.82,0.502333333333333,0.248333333333333,0.503333333333333,0.500995355009954,0.250666666666667,0.99468085106383,0.9907100199071,1,0.503333333333333,0.498666666666667,0.503333333333333,1.00199071001991,0.99468085106383,1,0.1075,0.502666666666667,0.662222222222222,0.334222222222222,0.496666666666667,1.33154602323503,0.664898320070734,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s10",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,215,3,3,100,1.496,1.504,29.86,60,29.92,44.76,60,29.92,1803.82,0.502333333333333,0.248333333333333,0.503333333333333,0.500995355009954,0.250666666666667,0.99468085106383,0.9907100199071,1,0.503333333333333,0.498666666666667,0.503333333333333,1.00199071001991,0.99468085106383,1,0.1075,0.502666666666667,0.662222222222222,0.334222222222222,0.496666666666667,1.33154602323503,0.664898320070734,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s10",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,215,3,3,100,1.496,1.504,29.86,60,29.92,44.76,60,29.92,1803.82,0.502333333333333,0.248333333333333,0.503333333333333,0.500995355009954,0.250666666666667,0.99468085106383,0.9907100199071,1,0.503333333333333,0.498666666666667,0.503333333333333,1.00199071001991,0.99468085106383,1,0.1075,0.502666666666667,0.662222222222222,0.334222222222222,0.496666666666667,1.33154602323503,0.664898320070734,"sSolve",3600,"timeout" "Qq2k3k3v100v100m2000s2",1,100,100,200,2000,12000,1,1,2,0,0,2000,241,219,3,3,100,1.461,1.539,29.72,60,29.22,44.83,60,29.22,1795.76,0.504666666666667,0.251833333333333,0.496333333333333,0.491743725231176,0.2565,0.949317738791423,0.981505944517833,1,0.496333333333333,0.487,0.496333333333333,0.983487450462351,0.949317738791423,1,0.1095,0.501888888888889,0.671555555555556,0.342,0.503666666666667,1.34820432745929,0.681425725038743,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s2",1,100,100,200,2000,12000,1,1,2,0,0,2000,241,219,3,3,100,1.461,1.539,29.72,60,29.22,44.83,60,29.22,1795.76,0.504666666666667,0.251833333333333,0.496333333333333,0.491743725231176,0.2565,0.949317738791423,0.981505944517833,1,0.496333333333333,0.487,0.496333333333333,0.983487450462351,0.949317738791423,1,0.1095,0.501888888888889,0.671555555555556,0.342,0.503666666666667,1.34820432745929,0.681425725038743,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s2",1,100,100,200,2000,12000,1,1,2,0,0,2000,241,219,3,3,100,1.461,1.539,29.72,60,29.22,44.83,60,29.22,1795.76,0.504666666666667,0.251833333333333,0.496333333333333,0.491743725231176,0.2565,0.949317738791423,0.981505944517833,1,0.496333333333333,0.487,0.496333333333333,0.983487450462351,0.949317738791423,1,0.1095,0.501888888888889,0.671555555555556,0.342,0.503666666666667,1.34820432745929,0.681425725038743,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s2",1,100,100,200,2000,12000,1,1,2,0,0,2000,241,219,3,3,100,1.461,1.539,29.72,60,29.22,44.83,60,29.22,1795.76,0.504666666666667,0.251833333333333,0.496333333333333,0.491743725231176,0.2565,0.949317738791423,0.981505944517833,1,0.496333333333333,0.487,0.496333333333333,0.983487450462351,0.949317738791423,1,0.1095,0.501888888888889,0.671555555555556,0.342,0.503666666666667,1.34820432745929,0.681425725038743,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s2",1,100,100,200,2000,12000,1,1,2,0,0,2000,241,219,3,3,100,1.461,1.539,29.72,60,29.22,44.83,60,29.22,1795.76,0.504666666666667,0.251833333333333,0.496333333333333,0.491743725231176,0.2565,0.949317738791423,0.981505944517833,1,0.496333333333333,0.487,0.496333333333333,0.983487450462351,0.949317738791423,1,0.1095,0.501888888888889,0.671555555555556,0.342,0.503666666666667,1.34820432745929,0.681425725038743,"sSolve",3600,"timeout" "Qq2k3k3v100v100m2000s3",1,100,100,200,2000,12000,1,1,2,0,0,2000,239,240,3,3,100,1.483,1.517,29.975,60,29.66,45.12,60,29.66,1800.06,0.500416666666667,0.252416666666667,0.495166666666667,0.494754371357202,0.252833333333333,0.977587343441002,0.998334721065779,1,0.495166666666667,0.494333333333333,0.495166666666667,0.989508742714405,0.977587343441002,1,0.12,0.498666666666667,0.673111111111111,0.337111111111111,0.504833333333333,1.34264184397163,0.67602495543672,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s3",1,100,100,200,2000,12000,1,1,2,0,0,2000,239,240,3,3,100,1.483,1.517,29.975,60,29.66,45.12,60,29.66,1800.06,0.500416666666667,0.252416666666667,0.495166666666667,0.494754371357202,0.252833333333333,0.977587343441002,0.998334721065779,1,0.495166666666667,0.494333333333333,0.495166666666667,0.989508742714405,0.977587343441002,1,0.12,0.498666666666667,0.673111111111111,0.337111111111111,0.504833333333333,1.34264184397163,0.67602495543672,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s3",1,100,100,200,2000,12000,1,1,2,0,0,2000,239,240,3,3,100,1.483,1.517,29.975,60,29.66,45.12,60,29.66,1800.06,0.500416666666667,0.252416666666667,0.495166666666667,0.494754371357202,0.252833333333333,0.977587343441002,0.998334721065779,1,0.495166666666667,0.494333333333333,0.495166666666667,0.989508742714405,0.977587343441002,1,0.12,0.498666666666667,0.673111111111111,0.337111111111111,0.504833333333333,1.34264184397163,0.67602495543672,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s3",1,100,100,200,2000,12000,1,1,2,0,0,2000,239,240,3,3,100,1.483,1.517,29.975,60,29.66,45.12,60,29.66,1800.06,0.500416666666667,0.252416666666667,0.495166666666667,0.494754371357202,0.252833333333333,0.977587343441002,0.998334721065779,1,0.495166666666667,0.494333333333333,0.495166666666667,0.989508742714405,0.977587343441002,1,0.12,0.498666666666667,0.673111111111111,0.337111111111111,0.504833333333333,1.34264184397163,0.67602495543672,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s3",1,100,100,200,2000,12000,1,1,2,0,0,2000,239,240,3,3,100,1.483,1.517,29.975,60,29.66,45.12,60,29.66,1800.06,0.500416666666667,0.252416666666667,0.495166666666667,0.494754371357202,0.252833333333333,0.977587343441002,0.998334721065779,1,0.495166666666667,0.494333333333333,0.495166666666667,0.989508742714405,0.977587343441002,1,0.12,0.498666666666667,0.673111111111111,0.337111111111111,0.504833333333333,1.34264184397163,0.67602495543672,"sSolve",725.16,"ok" "Qq2k3k3v100v100m2000s4",1,100,100,200,2000,12000,1,1,2,0,0,2000,236,220,3,3,100,1.503,1.497,29.74,60,30.06,44.45,60,30.06,1800.88,0.504333333333333,0.245166666666667,0.509666666666667,0.505287508261732,0.2495,1.00400801603206,0.982815598149372,1,0.509666666666667,0.501,0.509666666666667,1.01057501652346,1.00400801603206,1,0.11,0.506111111111111,0.653777777777778,0.332666666666667,0.490333333333333,1.32373453318335,0.657299670691548,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s4",1,100,100,200,2000,12000,1,1,2,0,0,2000,236,220,3,3,100,1.503,1.497,29.74,60,30.06,44.45,60,30.06,1800.88,0.504333333333333,0.245166666666667,0.509666666666667,0.505287508261732,0.2495,1.00400801603206,0.982815598149372,1,0.509666666666667,0.501,0.509666666666667,1.01057501652346,1.00400801603206,1,0.11,0.506111111111111,0.653777777777778,0.332666666666667,0.490333333333333,1.32373453318335,0.657299670691548,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s4",1,100,100,200,2000,12000,1,1,2,0,0,2000,236,220,3,3,100,1.503,1.497,29.74,60,30.06,44.45,60,30.06,1800.88,0.504333333333333,0.245166666666667,0.509666666666667,0.505287508261732,0.2495,1.00400801603206,0.982815598149372,1,0.509666666666667,0.501,0.509666666666667,1.01057501652346,1.00400801603206,1,0.11,0.506111111111111,0.653777777777778,0.332666666666667,0.490333333333333,1.32373453318335,0.657299670691548,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s4",1,100,100,200,2000,12000,1,1,2,0,0,2000,236,220,3,3,100,1.503,1.497,29.74,60,30.06,44.45,60,30.06,1800.88,0.504333333333333,0.245166666666667,0.509666666666667,0.505287508261732,0.2495,1.00400801603206,0.982815598149372,1,0.509666666666667,0.501,0.509666666666667,1.01057501652346,1.00400801603206,1,0.11,0.506111111111111,0.653777777777778,0.332666666666667,0.490333333333333,1.32373453318335,0.657299670691548,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s4",1,100,100,200,2000,12000,1,1,2,0,0,2000,236,220,3,3,100,1.503,1.497,29.74,60,30.06,44.45,60,30.06,1800.88,0.504333333333333,0.245166666666667,0.509666666666667,0.505287508261732,0.2495,1.00400801603206,0.982815598149372,1,0.509666666666667,0.501,0.509666666666667,1.01057501652346,1.00400801603206,1,0.11,0.506111111111111,0.653777777777778,0.332666666666667,0.490333333333333,1.32373453318335,0.657299670691548,"sSolve",925.04,"ok" "Qq2k3k3v100v100m2000s5",1,100,100,200,2000,12000,1,1,2,0,0,2000,226,231,3,3,100,1.5045,1.4955,29.935,60,30.09,44.825,60,30.09,1801.98,0.501083333333333,0.248166666666667,0.503666666666667,0.502577748212207,0.24925,1.00601805416249,0.995676035256943,1,0.503666666666667,0.5015,0.503666666666667,1.00515549642441,1.00601805416249,1,0.1155,0.501944444444444,0.661777777777778,0.332333333333333,0.496333333333333,1.3287228109314,0.662091864969563,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s5",1,100,100,200,2000,12000,1,1,2,0,0,2000,226,231,3,3,100,1.5045,1.4955,29.935,60,30.09,44.825,60,30.09,1801.98,0.501083333333333,0.248166666666667,0.503666666666667,0.502577748212207,0.24925,1.00601805416249,0.995676035256943,1,0.503666666666667,0.5015,0.503666666666667,1.00515549642441,1.00601805416249,1,0.1155,0.501944444444444,0.661777777777778,0.332333333333333,0.496333333333333,1.3287228109314,0.662091864969563,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s5",1,100,100,200,2000,12000,1,1,2,0,0,2000,226,231,3,3,100,1.5045,1.4955,29.935,60,30.09,44.825,60,30.09,1801.98,0.501083333333333,0.248166666666667,0.503666666666667,0.502577748212207,0.24925,1.00601805416249,0.995676035256943,1,0.503666666666667,0.5015,0.503666666666667,1.00515549642441,1.00601805416249,1,0.1155,0.501944444444444,0.661777777777778,0.332333333333333,0.496333333333333,1.3287228109314,0.662091864969563,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s5",1,100,100,200,2000,12000,1,1,2,0,0,2000,226,231,3,3,100,1.5045,1.4955,29.935,60,30.09,44.825,60,30.09,1801.98,0.501083333333333,0.248166666666667,0.503666666666667,0.502577748212207,0.24925,1.00601805416249,0.995676035256943,1,0.503666666666667,0.5015,0.503666666666667,1.00515549642441,1.00601805416249,1,0.1155,0.501944444444444,0.661777777777778,0.332333333333333,0.496333333333333,1.3287228109314,0.662091864969563,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s5",1,100,100,200,2000,12000,1,1,2,0,0,2000,226,231,3,3,100,1.5045,1.4955,29.935,60,30.09,44.825,60,30.09,1801.98,0.501083333333333,0.248166666666667,0.503666666666667,0.502577748212207,0.24925,1.00601805416249,0.995676035256943,1,0.503666666666667,0.5015,0.503666666666667,1.00515549642441,1.00601805416249,1,0.1155,0.501944444444444,0.661777777777778,0.332333333333333,0.496333333333333,1.3287228109314,0.662091864969563,"sSolve",695.45,"ok" "Qq2k3k3v100v100m2000s6",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,245,3,3,100,1.5275,1.4725,30.445,60,30.55,45.615,60,30.55,1797.82,0.492583333333333,0.252833333333333,0.494333333333333,0.501776349179496,0.245416666666667,1.03735144312394,1.03011334799526,1,0.494333333333333,0.509166666666667,0.494333333333333,1.00355269835899,1.03735144312394,1,0.1225,0.493166666666667,0.674222222222222,0.327222222222222,0.505666666666667,1.33026416748876,0.663512447899065,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s6",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,245,3,3,100,1.5275,1.4725,30.445,60,30.55,45.615,60,30.55,1797.82,0.492583333333333,0.252833333333333,0.494333333333333,0.501776349179496,0.245416666666667,1.03735144312394,1.03011334799526,1,0.494333333333333,0.509166666666667,0.494333333333333,1.00355269835899,1.03735144312394,1,0.1225,0.493166666666667,0.674222222222222,0.327222222222222,0.505666666666667,1.33026416748876,0.663512447899065,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s6",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,245,3,3,100,1.5275,1.4725,30.445,60,30.55,45.615,60,30.55,1797.82,0.492583333333333,0.252833333333333,0.494333333333333,0.501776349179496,0.245416666666667,1.03735144312394,1.03011334799526,1,0.494333333333333,0.509166666666667,0.494333333333333,1.00355269835899,1.03735144312394,1,0.1225,0.493166666666667,0.674222222222222,0.327222222222222,0.505666666666667,1.33026416748876,0.663512447899065,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s6",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,245,3,3,100,1.5275,1.4725,30.445,60,30.55,45.615,60,30.55,1797.82,0.492583333333333,0.252833333333333,0.494333333333333,0.501776349179496,0.245416666666667,1.03735144312394,1.03011334799526,1,0.494333333333333,0.509166666666667,0.494333333333333,1.00355269835899,1.03735144312394,1,0.1225,0.493166666666667,0.674222222222222,0.327222222222222,0.505666666666667,1.33026416748876,0.663512447899065,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s6",1,100,100,200,2000,12000,1,1,2,0,0,2000,214,245,3,3,100,1.5275,1.4725,30.445,60,30.55,45.615,60,30.55,1797.82,0.492583333333333,0.252833333333333,0.494333333333333,0.501776349179496,0.245416666666667,1.03735144312394,1.03011334799526,1,0.494333333333333,0.509166666666667,0.494333333333333,1.00355269835899,1.03735144312394,1,0.1225,0.493166666666667,0.674222222222222,0.327222222222222,0.505666666666667,1.33026416748876,0.663512447899065,"sSolve",785.01,"ok" "Qq2k3k3v100v100m2000s7",1,100,100,200,2000,12000,1,1,2,0,0,2000,227,239,3,3,100,1.4955,1.5045,30.205,60,29.91,45.455,60,29.91,1805.38,0.496583333333333,0.254166666666667,0.491666666666667,0.495049504950495,0.25075,0.994017946161516,1.01376069810371,1,0.491666666666667,0.4985,0.491666666666667,0.99009900990099,0.994017946161516,1,0.1195,0.494944444444444,0.677777777777778,0.334333333333333,0.508333333333333,1.3419865801342,0.675496688741722,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s7",1,100,100,200,2000,12000,1,1,2,0,0,2000,227,239,3,3,100,1.4955,1.5045,30.205,60,29.91,45.455,60,29.91,1805.38,0.496583333333333,0.254166666666667,0.491666666666667,0.495049504950495,0.25075,0.994017946161516,1.01376069810371,1,0.491666666666667,0.4985,0.491666666666667,0.99009900990099,0.994017946161516,1,0.1195,0.494944444444444,0.677777777777778,0.334333333333333,0.508333333333333,1.3419865801342,0.675496688741722,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s7",1,100,100,200,2000,12000,1,1,2,0,0,2000,227,239,3,3,100,1.4955,1.5045,30.205,60,29.91,45.455,60,29.91,1805.38,0.496583333333333,0.254166666666667,0.491666666666667,0.495049504950495,0.25075,0.994017946161516,1.01376069810371,1,0.491666666666667,0.4985,0.491666666666667,0.99009900990099,0.994017946161516,1,0.1195,0.494944444444444,0.677777777777778,0.334333333333333,0.508333333333333,1.3419865801342,0.675496688741722,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s7",1,100,100,200,2000,12000,1,1,2,0,0,2000,227,239,3,3,100,1.4955,1.5045,30.205,60,29.91,45.455,60,29.91,1805.38,0.496583333333333,0.254166666666667,0.491666666666667,0.495049504950495,0.25075,0.994017946161516,1.01376069810371,1,0.491666666666667,0.4985,0.491666666666667,0.99009900990099,0.994017946161516,1,0.1195,0.494944444444444,0.677777777777778,0.334333333333333,0.508333333333333,1.3419865801342,0.675496688741722,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s7",1,100,100,200,2000,12000,1,1,2,0,0,2000,227,239,3,3,100,1.4955,1.5045,30.205,60,29.91,45.455,60,29.91,1805.38,0.496583333333333,0.254166666666667,0.491666666666667,0.495049504950495,0.25075,0.994017946161516,1.01376069810371,1,0.491666666666667,0.4985,0.491666666666667,0.99009900990099,0.994017946161516,1,0.1195,0.494944444444444,0.677777777777778,0.334333333333333,0.508333333333333,1.3419865801342,0.675496688741722,"sSolve",11.65,"ok" "Qq2k3k3v100v100m2000s8",1,100,100,200,2000,12000,1,1,2,0,0,2000,183,230,3,3,100,1.511,1.489,30.475,60,30.22,45.84,60,30.22,1794.56,0.492083333333333,0.256083333333333,0.487833333333333,0.495681625740898,0.248166666666667,1.01477501678979,1.03217612193057,1,0.487833333333333,0.503666666666667,0.487833333333333,0.991363251481795,1.01477501678979,1,0.115,0.490666666666667,0.682888888888889,0.330888888888889,0.512166666666667,1.34075043630017,0.674365942028986,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s8",1,100,100,200,2000,12000,1,1,2,0,0,2000,183,230,3,3,100,1.511,1.489,30.475,60,30.22,45.84,60,30.22,1794.56,0.492083333333333,0.256083333333333,0.487833333333333,0.495681625740898,0.248166666666667,1.01477501678979,1.03217612193057,1,0.487833333333333,0.503666666666667,0.487833333333333,0.991363251481795,1.01477501678979,1,0.115,0.490666666666667,0.682888888888889,0.330888888888889,0.512166666666667,1.34075043630017,0.674365942028986,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s8",1,100,100,200,2000,12000,1,1,2,0,0,2000,183,230,3,3,100,1.511,1.489,30.475,60,30.22,45.84,60,30.22,1794.56,0.492083333333333,0.256083333333333,0.487833333333333,0.495681625740898,0.248166666666667,1.01477501678979,1.03217612193057,1,0.487833333333333,0.503666666666667,0.487833333333333,0.991363251481795,1.01477501678979,1,0.115,0.490666666666667,0.682888888888889,0.330888888888889,0.512166666666667,1.34075043630017,0.674365942028986,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s8",1,100,100,200,2000,12000,1,1,2,0,0,2000,183,230,3,3,100,1.511,1.489,30.475,60,30.22,45.84,60,30.22,1794.56,0.492083333333333,0.256083333333333,0.487833333333333,0.495681625740898,0.248166666666667,1.01477501678979,1.03217612193057,1,0.487833333333333,0.503666666666667,0.487833333333333,0.991363251481795,1.01477501678979,1,0.115,0.490666666666667,0.682888888888889,0.330888888888889,0.512166666666667,1.34075043630017,0.674365942028986,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s8",1,100,100,200,2000,12000,1,1,2,0,0,2000,183,230,3,3,100,1.511,1.489,30.475,60,30.22,45.84,60,30.22,1794.56,0.492083333333333,0.256083333333333,0.487833333333333,0.495681625740898,0.248166666666667,1.01477501678979,1.03217612193057,1,0.487833333333333,0.503666666666667,0.487833333333333,0.991363251481795,1.01477501678979,1,0.115,0.490666666666667,0.682888888888889,0.330888888888889,0.512166666666667,1.34075043630017,0.674365942028986,"sSolve",55.22,"ok" "Qq2k3k3v100v100m2000s9",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,233,3,3,100,1.4735,1.5265,29.895,60,29.47,45.055,60,29.47,1799.64,0.50175,0.252666666666667,0.494666666666667,0.492941371865139,0.254416666666667,0.965280052407468,0.993024414549078,1,0.494666666666667,0.491166666666667,0.494666666666667,0.985882743730277,0.965280052407468,1,0.1165,0.499388888888889,0.673777777777778,0.339222222222222,0.505333333333333,1.34591055376762,0.679274669039938,"X2clsQ",3600,"memout" "Qq2k3k3v100v100m2000s9",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,233,3,3,100,1.4735,1.5265,29.895,60,29.47,45.055,60,29.47,1799.64,0.50175,0.252666666666667,0.494666666666667,0.492941371865139,0.254416666666667,0.965280052407468,0.993024414549078,1,0.494666666666667,0.491166666666667,0.494666666666667,0.985882743730277,0.965280052407468,1,0.1165,0.499388888888889,0.673777777777778,0.339222222222222,0.505333333333333,1.34591055376762,0.679274669039938,"quantor",3600,"memout" "Qq2k3k3v100v100m2000s9",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,233,3,3,100,1.4735,1.5265,29.895,60,29.47,45.055,60,29.47,1799.64,0.50175,0.252666666666667,0.494666666666667,0.492941371865139,0.254416666666667,0.965280052407468,0.993024414549078,1,0.494666666666667,0.491166666666667,0.494666666666667,0.985882743730277,0.965280052407468,1,0.1165,0.499388888888889,0.673777777777778,0.339222222222222,0.505333333333333,1.34591055376762,0.679274669039938,"QuBE",3600,"timeout" "Qq2k3k3v100v100m2000s9",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,233,3,3,100,1.4735,1.5265,29.895,60,29.47,45.055,60,29.47,1799.64,0.50175,0.252666666666667,0.494666666666667,0.492941371865139,0.254416666666667,0.965280052407468,0.993024414549078,1,0.494666666666667,0.491166666666667,0.494666666666667,0.985882743730277,0.965280052407468,1,0.1165,0.499388888888889,0.673777777777778,0.339222222222222,0.505333333333333,1.34591055376762,0.679274669039938,"sKizzo",3600,"memout" "Qq2k3k3v100v100m2000s9",1,100,100,200,2000,12000,1,1,2,0,0,2000,219,233,3,3,100,1.4735,1.5265,29.895,60,29.47,45.055,60,29.47,1799.64,0.50175,0.252666666666667,0.494666666666667,0.492941371865139,0.254416666666667,0.965280052407468,0.993024414549078,1,0.494666666666667,0.491166666666667,0.494666666666667,0.985882743730277,0.965280052407468,1,0.1165,0.499388888888889,0.673777777777778,0.339222222222222,0.505333333333333,1.34591055376762,0.679274669039938,"sSolve",826.09,"ok" "Qq2k3k3v100v100m400s1",1,100,100,200,400,2400,1,1,2,0,0,400,42,44,3,3,100,1.4825,1.5175,6.09,12,5.93,9.215,12,5.93,71.76,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s1",1,100,100,200,400,2400,1,1,2,0,0,400,42,44,3,3,100,1.4825,1.5175,6.09,12,5.93,9.215,12,5.93,71.76,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"quantor",3600,"memout" "Qq2k3k3v100v100m400s1",1,100,100,200,400,2400,1,1,2,0,0,400,42,44,3,3,100,1.4825,1.5175,6.09,12,5.93,9.215,12,5.93,71.76,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s1",1,100,100,200,400,2400,1,1,2,0,0,400,42,44,3,3,100,1.4825,1.5175,6.09,12,5.93,9.215,12,5.93,71.76,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s1",1,100,100,200,400,2400,1,1,2,0,0,400,42,44,3,3,100,1.4825,1.5175,6.09,12,5.93,9.215,12,5.93,71.76,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"sSolve",0.76,"ok" "Qq2k3k3v100v100m400s10",1,100,100,200,400,2400,1,1,2,0,0,400,45,41,3,3,100,1.5,1.5,5.975,12,6,8.95,12,6,68.96,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s10",1,100,100,200,400,2400,1,1,2,0,0,400,45,41,3,3,100,1.5,1.5,5.975,12,6,8.95,12,6,68.96,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"quantor",3600,"memout" "Qq2k3k3v100v100m400s10",1,100,100,200,400,2400,1,1,2,0,0,400,45,41,3,3,100,1.5,1.5,5.975,12,6,8.95,12,6,68.96,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s10",1,100,100,200,400,2400,1,1,2,0,0,400,45,41,3,3,100,1.5,1.5,5.975,12,6,8.95,12,6,68.96,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s10",1,100,100,200,400,2400,1,1,2,0,0,400,45,41,3,3,100,1.5,1.5,5.975,12,6,8.95,12,6,68.96,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"sSolve",0.83,"ok" "Qq2k3k3v100v100m400s2",1,100,100,200,400,2400,1,1,2,0,0,400,48,47,3,3,100,1.505,1.495,6.05,12,6.02,9.09,12,6.02,72.68,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s2",1,100,100,200,400,2400,1,1,2,0,0,400,48,47,3,3,100,1.505,1.495,6.05,12,6.02,9.09,12,6.02,72.68,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"quantor",3600,"memout" "Qq2k3k3v100v100m400s2",1,100,100,200,400,2400,1,1,2,0,0,400,48,47,3,3,100,1.505,1.495,6.05,12,6.02,9.09,12,6.02,72.68,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s2",1,100,100,200,400,2400,1,1,2,0,0,400,48,47,3,3,100,1.505,1.495,6.05,12,6.02,9.09,12,6.02,72.68,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s2",1,100,100,200,400,2400,1,1,2,0,0,400,48,47,3,3,100,1.505,1.495,6.05,12,6.02,9.09,12,6.02,72.68,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"sSolve",0.76,"ok" "Qq2k3k3v100v100m400s3",1,100,100,200,400,2400,1,1,2,0,0,400,49,53,3,3,100,1.4925,1.5075,6.085,12,5.97,9.185,12,5.97,72.62,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s3",1,100,100,200,400,2400,1,1,2,0,0,400,49,53,3,3,100,1.4925,1.5075,6.085,12,5.97,9.185,12,5.97,72.62,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"quantor",3600,"memout" "Qq2k3k3v100v100m400s3",1,100,100,200,400,2400,1,1,2,0,0,400,49,53,3,3,100,1.4925,1.5075,6.085,12,5.97,9.185,12,5.97,72.62,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s3",1,100,100,200,400,2400,1,1,2,0,0,400,49,53,3,3,100,1.4925,1.5075,6.085,12,5.97,9.185,12,5.97,72.62,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s3",1,100,100,200,400,2400,1,1,2,0,0,400,49,53,3,3,100,1.4925,1.5075,6.085,12,5.97,9.185,12,5.97,72.62,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"sSolve",0.77,"ok" "Qq2k3k3v100v100m400s4",1,100,100,200,400,2400,1,1,2,0,0,400,53,45,3,3,100,1.4975,1.5025,5.825,12,5.99,8.655,12,5.99,70.64,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s4",1,100,100,200,400,2400,1,1,2,0,0,400,53,45,3,3,100,1.4975,1.5025,5.825,12,5.99,8.655,12,5.99,70.64,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"quantor",3600,"memout" "Qq2k3k3v100v100m400s4",1,100,100,200,400,2400,1,1,2,0,0,400,53,45,3,3,100,1.4975,1.5025,5.825,12,5.99,8.655,12,5.99,70.64,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s4",1,100,100,200,400,2400,1,1,2,0,0,400,53,45,3,3,100,1.4975,1.5025,5.825,12,5.99,8.655,12,5.99,70.64,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s4",1,100,100,200,400,2400,1,1,2,0,0,400,53,45,3,3,100,1.4975,1.5025,5.825,12,5.99,8.655,12,5.99,70.64,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"sSolve",0.76,"ok" "Qq2k3k3v100v100m400s5",1,100,100,200,400,2400,1,1,2,0,0,400,46,40,3,3,100,1.4825,1.5175,5.905,12,5.93,8.845,12,5.93,70.7,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s5",1,100,100,200,400,2400,1,1,2,0,0,400,46,40,3,3,100,1.4825,1.5175,5.905,12,5.93,8.845,12,5.93,70.7,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"quantor",3600,"memout" "Qq2k3k3v100v100m400s5",1,100,100,200,400,2400,1,1,2,0,0,400,46,40,3,3,100,1.4825,1.5175,5.905,12,5.93,8.845,12,5.93,70.7,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s5",1,100,100,200,400,2400,1,1,2,0,0,400,46,40,3,3,100,1.4825,1.5175,5.905,12,5.93,8.845,12,5.93,70.7,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s5",1,100,100,200,400,2400,1,1,2,0,0,400,46,40,3,3,100,1.4825,1.5175,5.905,12,5.93,8.845,12,5.93,70.7,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"sSolve",0.9,"ok" "Qq2k3k3v100v100m400s6",1,100,100,200,400,2400,1,1,2,0,0,400,45,54,3,3,100,1.555,1.445,6.11,12,6.22,9.11,12,6.22,72.46,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s6",1,100,100,200,400,2400,1,1,2,0,0,400,45,54,3,3,100,1.555,1.445,6.11,12,6.22,9.11,12,6.22,72.46,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"quantor",3600,"memout" "Qq2k3k3v100v100m400s6",1,100,100,200,400,2400,1,1,2,0,0,400,45,54,3,3,100,1.555,1.445,6.11,12,6.22,9.11,12,6.22,72.46,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s6",1,100,100,200,400,2400,1,1,2,0,0,400,45,54,3,3,100,1.555,1.445,6.11,12,6.22,9.11,12,6.22,72.46,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s6",1,100,100,200,400,2400,1,1,2,0,0,400,45,54,3,3,100,1.555,1.445,6.11,12,6.22,9.11,12,6.22,72.46,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"sSolve",0.78,"ok" "Qq2k3k3v100v100m400s7",1,100,100,200,400,2400,1,1,2,0,0,400,47,48,3,3,100,1.4825,1.5175,5.99,12,5.93,9.015,12,5.93,72.64,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s7",1,100,100,200,400,2400,1,1,2,0,0,400,47,48,3,3,100,1.4825,1.5175,5.99,12,5.93,9.015,12,5.93,72.64,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"quantor",3600,"memout" "Qq2k3k3v100v100m400s7",1,100,100,200,400,2400,1,1,2,0,0,400,47,48,3,3,100,1.4825,1.5175,5.99,12,5.93,9.015,12,5.93,72.64,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s7",1,100,100,200,400,2400,1,1,2,0,0,400,47,48,3,3,100,1.4825,1.5175,5.99,12,5.93,9.015,12,5.93,72.64,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s7",1,100,100,200,400,2400,1,1,2,0,0,400,47,48,3,3,100,1.4825,1.5175,5.99,12,5.93,9.015,12,5.93,72.64,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"sSolve",0.87,"ok" "Qq2k3k3v100v100m400s8",1,100,100,200,400,2400,1,1,2,0,0,400,39,51,3,3,100,1.515,1.485,5.95,12,6.06,8.87,12,6.06,71.8,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s8",1,100,100,200,400,2400,1,1,2,0,0,400,39,51,3,3,100,1.515,1.485,5.95,12,6.06,8.87,12,6.06,71.8,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"quantor",3600,"memout" "Qq2k3k3v100v100m400s8",1,100,100,200,400,2400,1,1,2,0,0,400,39,51,3,3,100,1.515,1.485,5.95,12,6.06,8.87,12,6.06,71.8,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s8",1,100,100,200,400,2400,1,1,2,0,0,400,39,51,3,3,100,1.515,1.485,5.95,12,6.06,8.87,12,6.06,71.8,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"sKizzo",2.44,"ok" "Qq2k3k3v100v100m400s8",1,100,100,200,400,2400,1,1,2,0,0,400,39,51,3,3,100,1.515,1.485,5.95,12,6.06,8.87,12,6.06,71.8,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"sSolve",0.83,"ok" "Qq2k3k3v100v100m400s9",1,100,100,200,400,2400,1,1,2,0,0,400,46,44,3,3,100,1.5075,1.4925,5.96,12,6.03,8.905,12,6.03,70.78,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m400s9",1,100,100,200,400,2400,1,1,2,0,0,400,46,44,3,3,100,1.5075,1.4925,5.96,12,6.03,8.905,12,6.03,70.78,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"quantor",3600,"memout" "Qq2k3k3v100v100m400s9",1,100,100,200,400,2400,1,1,2,0,0,400,46,44,3,3,100,1.5075,1.4925,5.96,12,6.03,8.905,12,6.03,70.78,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"QuBE",3600,"timeout" "Qq2k3k3v100v100m400s9",1,100,100,200,400,2400,1,1,2,0,0,400,46,44,3,3,100,1.5075,1.4925,5.96,12,6.03,8.905,12,6.03,70.78,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"sKizzo",3600,"memout" "Qq2k3k3v100v100m400s9",1,100,100,200,400,2400,1,1,2,0,0,400,46,44,3,3,100,1.5075,1.4925,5.96,12,6.03,8.905,12,6.03,70.78,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"sSolve",0.77,"ok" "Qq2k3k3v100v100m600s1",1,100,100,200,600,3600,1,1,2,0,0,600,68,60,3,3,100,1.47833333333333,1.52166666666667,8.98,18,8.87,13.525,18,8.87,161.78,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s1",1,100,100,200,600,3600,1,1,2,0,0,600,68,60,3,3,100,1.47833333333333,1.52166666666667,8.98,18,8.87,13.525,18,8.87,161.78,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"quantor",3600,"memout" "Qq2k3k3v100v100m600s1",1,100,100,200,600,3600,1,1,2,0,0,600,68,60,3,3,100,1.47833333333333,1.52166666666667,8.98,18,8.87,13.525,18,8.87,161.78,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s1",1,100,100,200,600,3600,1,1,2,0,0,600,68,60,3,3,100,1.47833333333333,1.52166666666667,8.98,18,8.87,13.525,18,8.87,161.78,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s1",1,100,100,200,600,3600,1,1,2,0,0,600,68,60,3,3,100,1.47833333333333,1.52166666666667,8.98,18,8.87,13.525,18,8.87,161.78,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"sSolve",399.18,"ok" "Qq2k3k3v100v100m600s10",1,100,100,200,600,3600,1,1,2,0,0,600,68,64,3,3,100,1.505,1.495,9.01,18,9.03,13.505,18,9.03,160.38,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s10",1,100,100,200,600,3600,1,1,2,0,0,600,68,64,3,3,100,1.505,1.495,9.01,18,9.03,13.505,18,9.03,160.38,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"quantor",3600,"memout" "Qq2k3k3v100v100m600s10",1,100,100,200,600,3600,1,1,2,0,0,600,68,64,3,3,100,1.505,1.495,9.01,18,9.03,13.505,18,9.03,160.38,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s10",1,100,100,200,600,3600,1,1,2,0,0,600,68,64,3,3,100,1.505,1.495,9.01,18,9.03,13.505,18,9.03,160.38,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s10",1,100,100,200,600,3600,1,1,2,0,0,600,68,64,3,3,100,1.505,1.495,9.01,18,9.03,13.505,18,9.03,160.38,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"sSolve",160.76,"ok" "Qq2k3k3v100v100m600s2",1,100,100,200,600,3600,1,1,2,0,0,600,79,66,3,3,100,1.46833333333333,1.53166666666667,8.835,18,8.81,13.265,18,8.81,163.68,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s2",1,100,100,200,600,3600,1,1,2,0,0,600,79,66,3,3,100,1.46833333333333,1.53166666666667,8.835,18,8.81,13.265,18,8.81,163.68,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"quantor",3600,"memout" "Qq2k3k3v100v100m600s2",1,100,100,200,600,3600,1,1,2,0,0,600,79,66,3,3,100,1.46833333333333,1.53166666666667,8.835,18,8.81,13.265,18,8.81,163.68,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s2",1,100,100,200,600,3600,1,1,2,0,0,600,79,66,3,3,100,1.46833333333333,1.53166666666667,8.835,18,8.81,13.265,18,8.81,163.68,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s2",1,100,100,200,600,3600,1,1,2,0,0,600,79,66,3,3,100,1.46833333333333,1.53166666666667,8.835,18,8.81,13.265,18,8.81,163.68,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"sSolve",316.2,"ok" "Qq2k3k3v100v100m600s3",1,100,100,200,600,3600,1,1,2,0,0,600,69,73,3,3,100,1.515,1.485,9.065,18,9.09,13.585,18,9.09,162.54,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s3",1,100,100,200,600,3600,1,1,2,0,0,600,69,73,3,3,100,1.515,1.485,9.065,18,9.09,13.585,18,9.09,162.54,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"quantor",3600,"memout" "Qq2k3k3v100v100m600s3",1,100,100,200,600,3600,1,1,2,0,0,600,69,73,3,3,100,1.515,1.485,9.065,18,9.09,13.585,18,9.09,162.54,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s3",1,100,100,200,600,3600,1,1,2,0,0,600,69,73,3,3,100,1.515,1.485,9.065,18,9.09,13.585,18,9.09,162.54,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s3",1,100,100,200,600,3600,1,1,2,0,0,600,69,73,3,3,100,1.515,1.485,9.065,18,9.09,13.585,18,9.09,162.54,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"sSolve",381.62,"ok" "Qq2k3k3v100v100m600s4",1,100,100,200,600,3600,1,1,2,0,0,600,74,70,3,3,100,1.51,1.49,8.885,18,9.06,13.24,18,9.06,161.6,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s4",1,100,100,200,600,3600,1,1,2,0,0,600,74,70,3,3,100,1.51,1.49,8.885,18,9.06,13.24,18,9.06,161.6,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"quantor",3600,"memout" "Qq2k3k3v100v100m600s4",1,100,100,200,600,3600,1,1,2,0,0,600,74,70,3,3,100,1.51,1.49,8.885,18,9.06,13.24,18,9.06,161.6,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s4",1,100,100,200,600,3600,1,1,2,0,0,600,74,70,3,3,100,1.51,1.49,8.885,18,9.06,13.24,18,9.06,161.6,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s4",1,100,100,200,600,3600,1,1,2,0,0,600,74,70,3,3,100,1.51,1.49,8.885,18,9.06,13.24,18,9.06,161.6,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"sSolve",1490.56,"ok" "Qq2k3k3v100v100m600s5",1,100,100,200,600,3600,1,1,2,0,0,600,63,70,3,3,100,1.51,1.49,8.98,18,9.06,13.43,18,9.06,160.72,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s5",1,100,100,200,600,3600,1,1,2,0,0,600,63,70,3,3,100,1.51,1.49,8.98,18,9.06,13.43,18,9.06,160.72,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"quantor",3600,"memout" "Qq2k3k3v100v100m600s5",1,100,100,200,600,3600,1,1,2,0,0,600,63,70,3,3,100,1.51,1.49,8.98,18,9.06,13.43,18,9.06,160.72,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s5",1,100,100,200,600,3600,1,1,2,0,0,600,63,70,3,3,100,1.51,1.49,8.98,18,9.06,13.43,18,9.06,160.72,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s5",1,100,100,200,600,3600,1,1,2,0,0,600,63,70,3,3,100,1.51,1.49,8.98,18,9.06,13.43,18,9.06,160.72,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"sSolve",1785.41,"ok" "Qq2k3k3v100v100m600s6",1,100,100,200,600,3600,1,1,2,0,0,600,65,75,3,3,100,1.55333333333333,1.44666666666667,9.135,18,9.32,13.61,18,9.32,161.22,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s6",1,100,100,200,600,3600,1,1,2,0,0,600,65,75,3,3,100,1.55333333333333,1.44666666666667,9.135,18,9.32,13.61,18,9.32,161.22,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"quantor",3600,"memout" "Qq2k3k3v100v100m600s6",1,100,100,200,600,3600,1,1,2,0,0,600,65,75,3,3,100,1.55333333333333,1.44666666666667,9.135,18,9.32,13.61,18,9.32,161.22,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s6",1,100,100,200,600,3600,1,1,2,0,0,600,65,75,3,3,100,1.55333333333333,1.44666666666667,9.135,18,9.32,13.61,18,9.32,161.22,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s6",1,100,100,200,600,3600,1,1,2,0,0,600,65,75,3,3,100,1.55333333333333,1.44666666666667,9.135,18,9.32,13.61,18,9.32,161.22,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"sSolve",255.03,"ok" "Qq2k3k3v100v100m600s7",1,100,100,200,600,3600,1,1,2,0,0,600,73,70,3,3,100,1.485,1.515,8.965,18,8.91,13.475,18,8.91,164.58,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s7",1,100,100,200,600,3600,1,1,2,0,0,600,73,70,3,3,100,1.485,1.515,8.965,18,8.91,13.475,18,8.91,164.58,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"quantor",3600,"memout" "Qq2k3k3v100v100m600s7",1,100,100,200,600,3600,1,1,2,0,0,600,73,70,3,3,100,1.485,1.515,8.965,18,8.91,13.475,18,8.91,164.58,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s7",1,100,100,200,600,3600,1,1,2,0,0,600,73,70,3,3,100,1.485,1.515,8.965,18,8.91,13.475,18,8.91,164.58,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s7",1,100,100,200,600,3600,1,1,2,0,0,600,73,70,3,3,100,1.485,1.515,8.965,18,8.91,13.475,18,8.91,164.58,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"sSolve",569.64,"ok" "Qq2k3k3v100v100m600s8",1,100,100,200,600,3600,1,1,2,0,0,600,51,79,3,3,100,1.535,1.465,9.095,18,9.21,13.585,18,9.21,162.12,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s8",1,100,100,200,600,3600,1,1,2,0,0,600,51,79,3,3,100,1.535,1.465,9.095,18,9.21,13.585,18,9.21,162.12,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"quantor",3600,"memout" "Qq2k3k3v100v100m600s8",1,100,100,200,600,3600,1,1,2,0,0,600,51,79,3,3,100,1.535,1.465,9.095,18,9.21,13.585,18,9.21,162.12,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s8",1,100,100,200,600,3600,1,1,2,0,0,600,51,79,3,3,100,1.535,1.465,9.095,18,9.21,13.585,18,9.21,162.12,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s8",1,100,100,200,600,3600,1,1,2,0,0,600,51,79,3,3,100,1.535,1.465,9.095,18,9.21,13.585,18,9.21,162.12,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"sSolve",20.8,"ok" "Qq2k3k3v100v100m600s9",1,100,100,200,600,3600,1,1,2,0,0,600,64,73,3,3,100,1.50333333333333,1.49666666666667,8.995,18,9.02,13.48,18,9.02,161.5,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m600s9",1,100,100,200,600,3600,1,1,2,0,0,600,64,73,3,3,100,1.50333333333333,1.49666666666667,8.995,18,9.02,13.48,18,9.02,161.5,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"quantor",3600,"memout" "Qq2k3k3v100v100m600s9",1,100,100,200,600,3600,1,1,2,0,0,600,64,73,3,3,100,1.50333333333333,1.49666666666667,8.995,18,9.02,13.48,18,9.02,161.5,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"QuBE",3600,"timeout" "Qq2k3k3v100v100m600s9",1,100,100,200,600,3600,1,1,2,0,0,600,64,73,3,3,100,1.50333333333333,1.49666666666667,8.995,18,9.02,13.48,18,9.02,161.5,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"sKizzo",3600,"memout" "Qq2k3k3v100v100m600s9",1,100,100,200,600,3600,1,1,2,0,0,600,64,73,3,3,100,1.50333333333333,1.49666666666667,8.995,18,9.02,13.48,18,9.02,161.5,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"sSolve",360.87,"ok" "Qq2k3k3v100v100m800s1",1,100,100,200,800,4800,1,1,2,0,0,800,85,76,3,3,100,1.47125,1.52875,11.985,24,11.77,18.085,24,11.77,288.76,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s1",1,100,100,200,800,4800,1,1,2,0,0,800,85,76,3,3,100,1.47125,1.52875,11.985,24,11.77,18.085,24,11.77,288.76,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"quantor",3600,"memout" "Qq2k3k3v100v100m800s1",1,100,100,200,800,4800,1,1,2,0,0,800,85,76,3,3,100,1.47125,1.52875,11.985,24,11.77,18.085,24,11.77,288.76,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s1",1,100,100,200,800,4800,1,1,2,0,0,800,85,76,3,3,100,1.47125,1.52875,11.985,24,11.77,18.085,24,11.77,288.76,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s1",1,100,100,200,800,4800,1,1,2,0,0,800,85,76,3,3,100,1.47125,1.52875,11.985,24,11.77,18.085,24,11.77,288.76,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s10",1,100,100,200,800,4800,1,1,2,0,0,800,88,88,3,3,100,1.51875,1.48125,12.055,24,12.15,18.035,24,12.15,287.24,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s10",1,100,100,200,800,4800,1,1,2,0,0,800,88,88,3,3,100,1.51875,1.48125,12.055,24,12.15,18.035,24,12.15,287.24,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"quantor",3600,"memout" "Qq2k3k3v100v100m800s10",1,100,100,200,800,4800,1,1,2,0,0,800,88,88,3,3,100,1.51875,1.48125,12.055,24,12.15,18.035,24,12.15,287.24,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s10",1,100,100,200,800,4800,1,1,2,0,0,800,88,88,3,3,100,1.51875,1.48125,12.055,24,12.15,18.035,24,12.15,287.24,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s10",1,100,100,200,800,4800,1,1,2,0,0,800,88,88,3,3,100,1.51875,1.48125,12.055,24,12.15,18.035,24,12.15,287.24,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s2",1,100,100,200,800,4800,1,1,2,0,0,800,103,87,3,3,100,1.45875,1.54125,11.77,24,11.67,17.705,24,11.67,285.78,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s2",1,100,100,200,800,4800,1,1,2,0,0,800,103,87,3,3,100,1.45875,1.54125,11.77,24,11.67,17.705,24,11.67,285.78,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"quantor",3600,"memout" "Qq2k3k3v100v100m800s2",1,100,100,200,800,4800,1,1,2,0,0,800,103,87,3,3,100,1.45875,1.54125,11.77,24,11.67,17.705,24,11.67,285.78,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s2",1,100,100,200,800,4800,1,1,2,0,0,800,103,87,3,3,100,1.45875,1.54125,11.77,24,11.67,17.705,24,11.67,285.78,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s2",1,100,100,200,800,4800,1,1,2,0,0,800,103,87,3,3,100,1.45875,1.54125,11.77,24,11.67,17.705,24,11.67,285.78,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s3",1,100,100,200,800,4800,1,1,2,0,0,800,91,105,3,3,100,1.5075,1.4925,12.215,24,12.06,18.4,24,12.06,291.22,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s3",1,100,100,200,800,4800,1,1,2,0,0,800,91,105,3,3,100,1.5075,1.4925,12.215,24,12.06,18.4,24,12.06,291.22,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"quantor",3600,"memout" "Qq2k3k3v100v100m800s3",1,100,100,200,800,4800,1,1,2,0,0,800,91,105,3,3,100,1.5075,1.4925,12.215,24,12.06,18.4,24,12.06,291.22,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s3",1,100,100,200,800,4800,1,1,2,0,0,800,91,105,3,3,100,1.5075,1.4925,12.215,24,12.06,18.4,24,12.06,291.22,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s3",1,100,100,200,800,4800,1,1,2,0,0,800,91,105,3,3,100,1.5075,1.4925,12.215,24,12.06,18.4,24,12.06,291.22,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s4",1,100,100,200,800,4800,1,1,2,0,0,800,103,100,3,3,100,1.505,1.495,11.925,24,12.04,17.83,24,12.04,289.24,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s4",1,100,100,200,800,4800,1,1,2,0,0,800,103,100,3,3,100,1.505,1.495,11.925,24,12.04,17.83,24,12.04,289.24,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"quantor",3600,"memout" "Qq2k3k3v100v100m800s4",1,100,100,200,800,4800,1,1,2,0,0,800,103,100,3,3,100,1.505,1.495,11.925,24,12.04,17.83,24,12.04,289.24,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s4",1,100,100,200,800,4800,1,1,2,0,0,800,103,100,3,3,100,1.505,1.495,11.925,24,12.04,17.83,24,12.04,289.24,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s4",1,100,100,200,800,4800,1,1,2,0,0,800,103,100,3,3,100,1.505,1.495,11.925,24,12.04,17.83,24,12.04,289.24,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s5",1,100,100,200,800,4800,1,1,2,0,0,800,86,90,3,3,100,1.48875,1.51125,11.905,24,11.91,17.855,24,11.91,285.88,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s5",1,100,100,200,800,4800,1,1,2,0,0,800,86,90,3,3,100,1.48875,1.51125,11.905,24,11.91,17.855,24,11.91,285.88,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"quantor",3600,"memout" "Qq2k3k3v100v100m800s5",1,100,100,200,800,4800,1,1,2,0,0,800,86,90,3,3,100,1.48875,1.51125,11.905,24,11.91,17.855,24,11.91,285.88,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s5",1,100,100,200,800,4800,1,1,2,0,0,800,86,90,3,3,100,1.48875,1.51125,11.905,24,11.91,17.855,24,11.91,285.88,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s5",1,100,100,200,800,4800,1,1,2,0,0,800,86,90,3,3,100,1.48875,1.51125,11.905,24,11.91,17.855,24,11.91,285.88,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s6",1,100,100,200,800,4800,1,1,2,0,0,800,84,98,3,3,100,1.54,1.46,12.175,24,12.32,18.19,24,12.32,289.46,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s6",1,100,100,200,800,4800,1,1,2,0,0,800,84,98,3,3,100,1.54,1.46,12.175,24,12.32,18.19,24,12.32,289.46,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"quantor",3600,"memout" "Qq2k3k3v100v100m800s6",1,100,100,200,800,4800,1,1,2,0,0,800,84,98,3,3,100,1.54,1.46,12.175,24,12.32,18.19,24,12.32,289.46,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s6",1,100,100,200,800,4800,1,1,2,0,0,800,84,98,3,3,100,1.54,1.46,12.175,24,12.32,18.19,24,12.32,289.46,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s6",1,100,100,200,800,4800,1,1,2,0,0,800,84,98,3,3,100,1.54,1.46,12.175,24,12.32,18.19,24,12.32,289.46,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s7",1,100,100,200,800,4800,1,1,2,0,0,800,99,92,3,3,100,1.47625,1.52375,11.89,24,11.81,17.875,24,11.81,289.82,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s7",1,100,100,200,800,4800,1,1,2,0,0,800,99,92,3,3,100,1.47625,1.52375,11.89,24,11.81,17.875,24,11.81,289.82,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"quantor",3600,"memout" "Qq2k3k3v100v100m800s7",1,100,100,200,800,4800,1,1,2,0,0,800,99,92,3,3,100,1.47625,1.52375,11.89,24,11.81,17.875,24,11.81,289.82,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s7",1,100,100,200,800,4800,1,1,2,0,0,800,99,92,3,3,100,1.47625,1.52375,11.89,24,11.81,17.875,24,11.81,289.82,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s7",1,100,100,200,800,4800,1,1,2,0,0,800,99,92,3,3,100,1.47625,1.52375,11.89,24,11.81,17.875,24,11.81,289.82,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s8",1,100,100,200,800,4800,1,1,2,0,0,800,76,100,3,3,100,1.51875,1.48125,12.105,24,12.15,18.135,24,12.15,287.1,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s8",1,100,100,200,800,4800,1,1,2,0,0,800,76,100,3,3,100,1.51875,1.48125,12.105,24,12.15,18.135,24,12.15,287.1,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"quantor",3600,"memout" "Qq2k3k3v100v100m800s8",1,100,100,200,800,4800,1,1,2,0,0,800,76,100,3,3,100,1.51875,1.48125,12.105,24,12.15,18.135,24,12.15,287.1,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s8",1,100,100,200,800,4800,1,1,2,0,0,800,76,100,3,3,100,1.51875,1.48125,12.105,24,12.15,18.135,24,12.15,287.1,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s8",1,100,100,200,800,4800,1,1,2,0,0,800,76,100,3,3,100,1.51875,1.48125,12.105,24,12.15,18.135,24,12.15,287.1,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"sSolve",3600,"timeout" "Qq2k3k3v100v100m800s9",1,100,100,200,800,4800,1,1,2,0,0,800,88,92,3,3,100,1.4725,1.5275,11.89,24,11.78,17.89,24,11.78,287.64,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"X2clsQ",3600,"timeout" "Qq2k3k3v100v100m800s9",1,100,100,200,800,4800,1,1,2,0,0,800,88,92,3,3,100,1.4725,1.5275,11.89,24,11.78,17.89,24,11.78,287.64,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"quantor",3600,"memout" "Qq2k3k3v100v100m800s9",1,100,100,200,800,4800,1,1,2,0,0,800,88,92,3,3,100,1.4725,1.5275,11.89,24,11.78,17.89,24,11.78,287.64,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"QuBE",3600,"timeout" "Qq2k3k3v100v100m800s9",1,100,100,200,800,4800,1,1,2,0,0,800,88,92,3,3,100,1.4725,1.5275,11.89,24,11.78,17.89,24,11.78,287.64,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"sKizzo",3600,"memout" "Qq2k3k3v100v100m800s9",1,100,100,200,800,4800,1,1,2,0,0,800,88,92,3,3,100,1.4725,1.5275,11.89,24,11.78,17.89,24,11.78,287.64,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"sSolve",3600,"timeout" "Qq2k3k3v20v20m120s1",1,20,20,40,120,720,1,1,2,0,0,120,9,16,3,3,20,1.50833333333333,1.49166666666667,9.4,18,9.05,14.275,18,9.05,166.1,0.477777777777778,0.270833333333333,0.458333333333333,0.479651162790698,0.248611111111111,1.01117318435754,1.09302325581395,1,0.458333333333333,0.502777777777778,0.458333333333333,0.959302325581395,1.01117318435754,1,0.133333333333333,0.471296296296296,0.722222222222222,0.331481481481481,0.541666666666667,1.36602451838879,0.703339882121807,"X2clsQ",0.45,"ok" "Qq2k3k3v20v20m120s1",1,20,20,40,120,720,1,1,2,0,0,120,9,16,3,3,20,1.50833333333333,1.49166666666667,9.4,18,9.05,14.275,18,9.05,166.1,0.477777777777778,0.270833333333333,0.458333333333333,0.479651162790698,0.248611111111111,1.01117318435754,1.09302325581395,1,0.458333333333333,0.502777777777778,0.458333333333333,0.959302325581395,1.01117318435754,1,0.133333333333333,0.471296296296296,0.722222222222222,0.331481481481481,0.541666666666667,1.36602451838879,0.703339882121807,"quantor",0.11,"ok" "Qq2k3k3v20v20m120s1",1,20,20,40,120,720,1,1,2,0,0,120,9,16,3,3,20,1.50833333333333,1.49166666666667,9.4,18,9.05,14.275,18,9.05,166.1,0.477777777777778,0.270833333333333,0.458333333333333,0.479651162790698,0.248611111111111,1.01117318435754,1.09302325581395,1,0.458333333333333,0.502777777777778,0.458333333333333,0.959302325581395,1.01117318435754,1,0.133333333333333,0.471296296296296,0.722222222222222,0.331481481481481,0.541666666666667,1.36602451838879,0.703339882121807,"QuBE",0.12,"ok" "Qq2k3k3v20v20m120s1",1,20,20,40,120,720,1,1,2,0,0,120,9,16,3,3,20,1.50833333333333,1.49166666666667,9.4,18,9.05,14.275,18,9.05,166.1,0.477777777777778,0.270833333333333,0.458333333333333,0.479651162790698,0.248611111111111,1.01117318435754,1.09302325581395,1,0.458333333333333,0.502777777777778,0.458333333333333,0.959302325581395,1.01117318435754,1,0.133333333333333,0.471296296296296,0.722222222222222,0.331481481481481,0.541666666666667,1.36602451838879,0.703339882121807,"sKizzo",0.31,"ok" "Qq2k3k3v20v20m120s1",1,20,20,40,120,720,1,1,2,0,0,120,9,16,3,3,20,1.50833333333333,1.49166666666667,9.4,18,9.05,14.275,18,9.05,166.1,0.477777777777778,0.270833333333333,0.458333333333333,0.479651162790698,0.248611111111111,1.01117318435754,1.09302325581395,1,0.458333333333333,0.502777777777778,0.458333333333333,0.959302325581395,1.01117318435754,1,0.133333333333333,0.471296296296296,0.722222222222222,0.331481481481481,0.541666666666667,1.36602451838879,0.703339882121807,"sSolve",0.85,"ok" "Qq2k3k3v20v20m120s10",1,20,20,40,120,720,1,1,2,0,0,120,16,9,3,3,20,1.475,1.525,8.525,18,8.85,12.625,18,8.85,156.9,0.526388888888889,0.227777777777778,0.544444444444444,0.517150395778364,0.254166666666667,0.967213114754098,0.899736147757256,1,0.544444444444445,0.491666666666667,0.544444444444445,1.03430079155673,0.967213114754098,1,0.075,0.532407407407407,0.607407407407407,0.338888888888889,0.455555555555555,1.2990099009901,0.636521739130435,"X2clsQ",0.2,"ok" "Qq2k3k3v20v20m120s10",1,20,20,40,120,720,1,1,2,0,0,120,16,9,3,3,20,1.475,1.525,8.525,18,8.85,12.625,18,8.85,156.9,0.526388888888889,0.227777777777778,0.544444444444444,0.517150395778364,0.254166666666667,0.967213114754098,0.899736147757256,1,0.544444444444445,0.491666666666667,0.544444444444445,1.03430079155673,0.967213114754098,1,0.075,0.532407407407407,0.607407407407407,0.338888888888889,0.455555555555555,1.2990099009901,0.636521739130435,"quantor",0.16,"ok" "Qq2k3k3v20v20m120s10",1,20,20,40,120,720,1,1,2,0,0,120,16,9,3,3,20,1.475,1.525,8.525,18,8.85,12.625,18,8.85,156.9,0.526388888888889,0.227777777777778,0.544444444444444,0.517150395778364,0.254166666666667,0.967213114754098,0.899736147757256,1,0.544444444444445,0.491666666666667,0.544444444444445,1.03430079155673,0.967213114754098,1,0.075,0.532407407407407,0.607407407407407,0.338888888888889,0.455555555555555,1.2990099009901,0.636521739130435,"QuBE",0.07,"ok" "Qq2k3k3v20v20m120s10",1,20,20,40,120,720,1,1,2,0,0,120,16,9,3,3,20,1.475,1.525,8.525,18,8.85,12.625,18,8.85,156.9,0.526388888888889,0.227777777777778,0.544444444444444,0.517150395778364,0.254166666666667,0.967213114754098,0.899736147757256,1,0.544444444444445,0.491666666666667,0.544444444444445,1.03430079155673,0.967213114754098,1,0.075,0.532407407407407,0.607407407407407,0.338888888888889,0.455555555555555,1.2990099009901,0.636521739130435,"sKizzo",0.1,"ok" "Qq2k3k3v20v20m120s10",1,20,20,40,120,720,1,1,2,0,0,120,16,9,3,3,20,1.475,1.525,8.525,18,8.85,12.625,18,8.85,156.9,0.526388888888889,0.227777777777778,0.544444444444444,0.517150395778364,0.254166666666667,0.967213114754098,0.899736147757256,1,0.544444444444445,0.491666666666667,0.544444444444445,1.03430079155673,0.967213114754098,1,0.075,0.532407407407407,0.607407407407407,0.338888888888889,0.455555555555555,1.2990099009901,0.636521739130435,"sSolve",0.76,"ok" "Qq2k3k3v20v20m120s2",1,20,20,40,120,720,1,1,2,0,0,120,14,10,3,3,20,1.475,1.525,8.95,18,8.85,13.475,18,8.85,162.8,0.502777777777778,0.251388888888889,0.497222222222222,0.494475138121547,0.254166666666667,0.967213114754098,0.988950276243094,1,0.497222222222222,0.491666666666667,0.497222222222222,0.988950276243094,0.967213114754098,1,0.0833333333333333,0.500925925925926,0.67037037037037,0.338888888888889,0.502777777777778,1.34322820037106,0.676524953789279,"X2clsQ",0.57,"ok" "Qq2k3k3v20v20m120s2",1,20,20,40,120,720,1,1,2,0,0,120,14,10,3,3,20,1.475,1.525,8.95,18,8.85,13.475,18,8.85,162.8,0.502777777777778,0.251388888888889,0.497222222222222,0.494475138121547,0.254166666666667,0.967213114754098,0.988950276243094,1,0.497222222222222,0.491666666666667,0.497222222222222,0.988950276243094,0.967213114754098,1,0.0833333333333333,0.500925925925926,0.67037037037037,0.338888888888889,0.502777777777778,1.34322820037106,0.676524953789279,"quantor",0.12,"ok" "Qq2k3k3v20v20m120s2",1,20,20,40,120,720,1,1,2,0,0,120,14,10,3,3,20,1.475,1.525,8.95,18,8.85,13.475,18,8.85,162.8,0.502777777777778,0.251388888888889,0.497222222222222,0.494475138121547,0.254166666666667,0.967213114754098,0.988950276243094,1,0.497222222222222,0.491666666666667,0.497222222222222,0.988950276243094,0.967213114754098,1,0.0833333333333333,0.500925925925926,0.67037037037037,0.338888888888889,0.502777777777778,1.34322820037106,0.676524953789279,"QuBE",0.12,"ok" "Qq2k3k3v20v20m120s2",1,20,20,40,120,720,1,1,2,0,0,120,14,10,3,3,20,1.475,1.525,8.95,18,8.85,13.475,18,8.85,162.8,0.502777777777778,0.251388888888889,0.497222222222222,0.494475138121547,0.254166666666667,0.967213114754098,0.988950276243094,1,0.497222222222222,0.491666666666667,0.497222222222222,0.988950276243094,0.967213114754098,1,0.0833333333333333,0.500925925925926,0.67037037037037,0.338888888888889,0.502777777777778,1.34322820037106,0.676524953789279,"sKizzo",0,"ok" "Qq2k3k3v20v20m120s2",1,20,20,40,120,720,1,1,2,0,0,120,14,10,3,3,20,1.475,1.525,8.95,18,8.85,13.475,18,8.85,162.8,0.502777777777778,0.251388888888889,0.497222222222222,0.494475138121547,0.254166666666667,0.967213114754098,0.988950276243094,1,0.497222222222222,0.491666666666667,0.497222222222222,0.988950276243094,0.967213114754098,1,0.0833333333333333,0.500925925925926,0.67037037037037,0.338888888888889,0.502777777777778,1.34322820037106,0.676524953789279,"sSolve",0.77,"ok" "Qq2k3k3v20v20m120s3",1,20,20,40,120,720,1,1,2,0,0,120,14,17,3,3,20,1.51666666666667,1.48333333333333,9.175,18,9.1,13.8,18,9.1,166.4,0.490277777777778,0.256944444444444,0.486111111111111,0.495750708215297,0.247222222222222,1.02247191011236,1.03966005665722,1,0.486111111111111,0.505555555555556,0.486111111111111,0.991501416430595,1.02247191011236,1,0.141666666666667,0.488888888888889,0.685185185185185,0.32962962962963,0.513888888888889,1.34057971014493,0.674242424242424,"X2clsQ",0.13,"ok" "Qq2k3k3v20v20m120s3",1,20,20,40,120,720,1,1,2,0,0,120,14,17,3,3,20,1.51666666666667,1.48333333333333,9.175,18,9.1,13.8,18,9.1,166.4,0.490277777777778,0.256944444444444,0.486111111111111,0.495750708215297,0.247222222222222,1.02247191011236,1.03966005665722,1,0.486111111111111,0.505555555555556,0.486111111111111,0.991501416430595,1.02247191011236,1,0.141666666666667,0.488888888888889,0.685185185185185,0.32962962962963,0.513888888888889,1.34057971014493,0.674242424242424,"quantor",0.04,"ok" "Qq2k3k3v20v20m120s3",1,20,20,40,120,720,1,1,2,0,0,120,14,17,3,3,20,1.51666666666667,1.48333333333333,9.175,18,9.1,13.8,18,9.1,166.4,0.490277777777778,0.256944444444444,0.486111111111111,0.495750708215297,0.247222222222222,1.02247191011236,1.03966005665722,1,0.486111111111111,0.505555555555556,0.486111111111111,0.991501416430595,1.02247191011236,1,0.141666666666667,0.488888888888889,0.685185185185185,0.32962962962963,0.513888888888889,1.34057971014493,0.674242424242424,"QuBE",0.07,"ok" "Qq2k3k3v20v20m120s3",1,20,20,40,120,720,1,1,2,0,0,120,14,17,3,3,20,1.51666666666667,1.48333333333333,9.175,18,9.1,13.8,18,9.1,166.4,0.490277777777778,0.256944444444444,0.486111111111111,0.495750708215297,0.247222222222222,1.02247191011236,1.03966005665722,1,0.486111111111111,0.505555555555556,0.486111111111111,0.991501416430595,1.02247191011236,1,0.141666666666667,0.488888888888889,0.685185185185185,0.32962962962963,0.513888888888889,1.34057971014493,0.674242424242424,"sKizzo",0,"ok" "Qq2k3k3v20v20m120s3",1,20,20,40,120,720,1,1,2,0,0,120,14,17,3,3,20,1.51666666666667,1.48333333333333,9.175,18,9.1,13.8,18,9.1,166.4,0.490277777777778,0.256944444444444,0.486111111111111,0.495750708215297,0.247222222222222,1.02247191011236,1.03966005665722,1,0.486111111111111,0.505555555555556,0.486111111111111,0.991501416430595,1.02247191011236,1,0.141666666666667,0.488888888888889,0.685185185185185,0.32962962962963,0.513888888888889,1.34057971014493,0.674242424242424,"sSolve",0.77,"ok" "Qq2k3k3v20v20m120s4",1,20,20,40,120,720,1,1,2,0,0,120,15,10,3,3,20,1.38333333333333,1.61666666666667,8.475,18,8.3,12.8,18,8.3,165.8,0.529166666666667,0.240277777777778,0.519444444444444,0.490813648293963,0.269444444444444,0.855670103092783,0.889763779527559,1,0.519444444444444,0.461111111111111,0.519444444444444,0.981627296587926,0.855670103092784,1,0.0833333333333333,0.525925925925926,0.640740740740741,0.359259259259259,0.480555555555556,1.3515625,0.683098591549296,"X2clsQ",0.31,"ok" "Qq2k3k3v20v20m120s4",1,20,20,40,120,720,1,1,2,0,0,120,15,10,3,3,20,1.38333333333333,1.61666666666667,8.475,18,8.3,12.8,18,8.3,165.8,0.529166666666667,0.240277777777778,0.519444444444444,0.490813648293963,0.269444444444444,0.855670103092783,0.889763779527559,1,0.519444444444444,0.461111111111111,0.519444444444444,0.981627296587926,0.855670103092784,1,0.0833333333333333,0.525925925925926,0.640740740740741,0.359259259259259,0.480555555555556,1.3515625,0.683098591549296,"quantor",0.06,"ok" "Qq2k3k3v20v20m120s4",1,20,20,40,120,720,1,1,2,0,0,120,15,10,3,3,20,1.38333333333333,1.61666666666667,8.475,18,8.3,12.8,18,8.3,165.8,0.529166666666667,0.240277777777778,0.519444444444444,0.490813648293963,0.269444444444444,0.855670103092783,0.889763779527559,1,0.519444444444444,0.461111111111111,0.519444444444444,0.981627296587926,0.855670103092784,1,0.0833333333333333,0.525925925925926,0.640740740740741,0.359259259259259,0.480555555555556,1.3515625,0.683098591549296,"QuBE",0.11,"ok" "Qq2k3k3v20v20m120s4",1,20,20,40,120,720,1,1,2,0,0,120,15,10,3,3,20,1.38333333333333,1.61666666666667,8.475,18,8.3,12.8,18,8.3,165.8,0.529166666666667,0.240277777777778,0.519444444444444,0.490813648293963,0.269444444444444,0.855670103092783,0.889763779527559,1,0.519444444444444,0.461111111111111,0.519444444444444,0.981627296587926,0.855670103092784,1,0.0833333333333333,0.525925925925926,0.640740740740741,0.359259259259259,0.480555555555556,1.3515625,0.683098591549296,"sKizzo",0.05,"ok" "Qq2k3k3v20v20m120s4",1,20,20,40,120,720,1,1,2,0,0,120,15,10,3,3,20,1.38333333333333,1.61666666666667,8.475,18,8.3,12.8,18,8.3,165.8,0.529166666666667,0.240277777777778,0.519444444444444,0.490813648293963,0.269444444444444,0.855670103092783,0.889763779527559,1,0.519444444444444,0.461111111111111,0.519444444444444,0.981627296587926,0.855670103092784,1,0.0833333333333333,0.525925925925926,0.640740740740741,0.359259259259259,0.480555555555556,1.3515625,0.683098591549296,"sSolve",0.81,"ok" "Qq2k3k3v20v20m120s5",1,20,20,40,120,720,1,1,2,0,0,120,12,10,3,3,20,1.40833333333333,1.59166666666667,8.6,18,8.45,12.975,18,8.45,158.3,0.522222222222222,0.243055555555556,0.513888888888889,0.492021276595745,0.265277777777778,0.884816753926702,0.914893617021276,1,0.513888888888889,0.469444444444444,0.513888888888889,0.984042553191489,0.884816753926701,1,0.0833333333333333,0.519444444444444,0.648148148148148,0.353703703703704,0.486111111111111,1.34874759152216,0.680926916221034,"X2clsQ",0.23,"ok" "Qq2k3k3v20v20m120s5",1,20,20,40,120,720,1,1,2,0,0,120,12,10,3,3,20,1.40833333333333,1.59166666666667,8.6,18,8.45,12.975,18,8.45,158.3,0.522222222222222,0.243055555555556,0.513888888888889,0.492021276595745,0.265277777777778,0.884816753926702,0.914893617021276,1,0.513888888888889,0.469444444444444,0.513888888888889,0.984042553191489,0.884816753926701,1,0.0833333333333333,0.519444444444444,0.648148148148148,0.353703703703704,0.486111111111111,1.34874759152216,0.680926916221034,"quantor",0.14,"ok" "Qq2k3k3v20v20m120s5",1,20,20,40,120,720,1,1,2,0,0,120,12,10,3,3,20,1.40833333333333,1.59166666666667,8.6,18,8.45,12.975,18,8.45,158.3,0.522222222222222,0.243055555555556,0.513888888888889,0.492021276595745,0.265277777777778,0.884816753926702,0.914893617021276,1,0.513888888888889,0.469444444444444,0.513888888888889,0.984042553191489,0.884816753926701,1,0.0833333333333333,0.519444444444444,0.648148148148148,0.353703703703704,0.486111111111111,1.34874759152216,0.680926916221034,"QuBE",0.09,"ok" "Qq2k3k3v20v20m120s5",1,20,20,40,120,720,1,1,2,0,0,120,12,10,3,3,20,1.40833333333333,1.59166666666667,8.6,18,8.45,12.975,18,8.45,158.3,0.522222222222222,0.243055555555556,0.513888888888889,0.492021276595745,0.265277777777778,0.884816753926702,0.914893617021276,1,0.513888888888889,0.469444444444444,0.513888888888889,0.984042553191489,0.884816753926701,1,0.0833333333333333,0.519444444444444,0.648148148148148,0.353703703703704,0.486111111111111,1.34874759152216,0.680926916221034,"sKizzo",0.01,"ok" "Qq2k3k3v20v20m120s5",1,20,20,40,120,720,1,1,2,0,0,120,12,10,3,3,20,1.40833333333333,1.59166666666667,8.6,18,8.45,12.975,18,8.45,158.3,0.522222222222222,0.243055555555556,0.513888888888889,0.492021276595745,0.265277777777778,0.884816753926702,0.914893617021276,1,0.513888888888889,0.469444444444444,0.513888888888889,0.984042553191489,0.884816753926701,1,0.0833333333333333,0.519444444444444,0.648148148148148,0.353703703703704,0.486111111111111,1.34874759152216,0.680926916221034,"sSolve",0.75,"ok" "Qq2k3k3v20v20m120s6",1,20,20,40,120,720,1,1,2,0,0,120,15,13,3,3,20,1.45833333333333,1.54166666666667,8.8,18,8.75,13.225,18,8.75,164.7,0.511111111111111,0.245833333333333,0.508333333333333,0.497282608695652,0.256944444444444,0.945945945945946,0.956521739130435,1,0.508333333333333,0.486111111111111,0.508333333333333,0.994565217391304,0.945945945945946,1,0.108333333333333,0.510185185185185,0.655555555555556,0.342592592592593,0.491666666666667,1.33837429111531,0.671506352087114,"X2clsQ",0.12,"ok" "Qq2k3k3v20v20m120s6",1,20,20,40,120,720,1,1,2,0,0,120,15,13,3,3,20,1.45833333333333,1.54166666666667,8.8,18,8.75,13.225,18,8.75,164.7,0.511111111111111,0.245833333333333,0.508333333333333,0.497282608695652,0.256944444444444,0.945945945945946,0.956521739130435,1,0.508333333333333,0.486111111111111,0.508333333333333,0.994565217391304,0.945945945945946,1,0.108333333333333,0.510185185185185,0.655555555555556,0.342592592592593,0.491666666666667,1.33837429111531,0.671506352087114,"quantor",0.12,"ok" "Qq2k3k3v20v20m120s6",1,20,20,40,120,720,1,1,2,0,0,120,15,13,3,3,20,1.45833333333333,1.54166666666667,8.8,18,8.75,13.225,18,8.75,164.7,0.511111111111111,0.245833333333333,0.508333333333333,0.497282608695652,0.256944444444444,0.945945945945946,0.956521739130435,1,0.508333333333333,0.486111111111111,0.508333333333333,0.994565217391304,0.945945945945946,1,0.108333333333333,0.510185185185185,0.655555555555556,0.342592592592593,0.491666666666667,1.33837429111531,0.671506352087114,"QuBE",0.09,"ok" "Qq2k3k3v20v20m120s6",1,20,20,40,120,720,1,1,2,0,0,120,15,13,3,3,20,1.45833333333333,1.54166666666667,8.8,18,8.75,13.225,18,8.75,164.7,0.511111111111111,0.245833333333333,0.508333333333333,0.497282608695652,0.256944444444444,0.945945945945946,0.956521739130435,1,0.508333333333333,0.486111111111111,0.508333333333333,0.994565217391304,0.945945945945946,1,0.108333333333333,0.510185185185185,0.655555555555556,0.342592592592593,0.491666666666667,1.33837429111531,0.671506352087114,"sKizzo",0.25,"ok" "Qq2k3k3v20v20m120s6",1,20,20,40,120,720,1,1,2,0,0,120,15,13,3,3,20,1.45833333333333,1.54166666666667,8.8,18,8.75,13.225,18,8.75,164.7,0.511111111111111,0.245833333333333,0.508333333333333,0.497282608695652,0.256944444444444,0.945945945945946,0.956521739130435,1,0.508333333333333,0.486111111111111,0.508333333333333,0.994565217391304,0.945945945945946,1,0.108333333333333,0.510185185185185,0.655555555555556,0.342592592592593,0.491666666666667,1.33837429111531,0.671506352087114,"sSolve",0.8,"ok" "Qq2k3k3v20v20m120s7",1,20,20,40,120,720,1,1,2,0,0,120,11,15,3,3,20,1.41666666666667,1.58333333333333,8.9,18,8.5,13.55,18,8.5,162.3,0.505555555555556,0.258333333333333,0.483333333333333,0.478021978021978,0.263888888888889,0.894736842105263,0.978021978021978,1,0.483333333333333,0.472222222222222,0.483333333333333,0.956043956043956,0.894736842105263,1,0.125,0.498148148148148,0.688888888888889,0.351851851851852,0.516666666666667,1.37269372693727,0.706319702602231,"X2clsQ",0.09,"ok" "Qq2k3k3v20v20m120s7",1,20,20,40,120,720,1,1,2,0,0,120,11,15,3,3,20,1.41666666666667,1.58333333333333,8.9,18,8.5,13.55,18,8.5,162.3,0.505555555555556,0.258333333333333,0.483333333333333,0.478021978021978,0.263888888888889,0.894736842105263,0.978021978021978,1,0.483333333333333,0.472222222222222,0.483333333333333,0.956043956043956,0.894736842105263,1,0.125,0.498148148148148,0.688888888888889,0.351851851851852,0.516666666666667,1.37269372693727,0.706319702602231,"quantor",0.07,"ok" "Qq2k3k3v20v20m120s7",1,20,20,40,120,720,1,1,2,0,0,120,11,15,3,3,20,1.41666666666667,1.58333333333333,8.9,18,8.5,13.55,18,8.5,162.3,0.505555555555556,0.258333333333333,0.483333333333333,0.478021978021978,0.263888888888889,0.894736842105263,0.978021978021978,1,0.483333333333333,0.472222222222222,0.483333333333333,0.956043956043956,0.894736842105263,1,0.125,0.498148148148148,0.688888888888889,0.351851851851852,0.516666666666667,1.37269372693727,0.706319702602231,"QuBE",0.09,"ok" "Qq2k3k3v20v20m120s7",1,20,20,40,120,720,1,1,2,0,0,120,11,15,3,3,20,1.41666666666667,1.58333333333333,8.9,18,8.5,13.55,18,8.5,162.3,0.505555555555556,0.258333333333333,0.483333333333333,0.478021978021978,0.263888888888889,0.894736842105263,0.978021978021978,1,0.483333333333333,0.472222222222222,0.483333333333333,0.956043956043956,0.894736842105263,1,0.125,0.498148148148148,0.688888888888889,0.351851851851852,0.516666666666667,1.37269372693727,0.706319702602231,"sKizzo",0.01,"ok" "Qq2k3k3v20v20m120s7",1,20,20,40,120,720,1,1,2,0,0,120,11,15,3,3,20,1.41666666666667,1.58333333333333,8.9,18,8.5,13.55,18,8.5,162.3,0.505555555555556,0.258333333333333,0.483333333333333,0.478021978021978,0.263888888888889,0.894736842105263,0.978021978021978,1,0.483333333333333,0.472222222222222,0.483333333333333,0.956043956043956,0.894736842105263,1,0.125,0.498148148148148,0.688888888888889,0.351851851851852,0.516666666666667,1.37269372693727,0.706319702602231,"sSolve",0.83,"ok" "Qq2k3k3v20v20m120s8",1,20,20,40,120,720,1,1,2,0,0,120,15,17,3,3,20,1.575,1.425,9.025,18,9.45,13.325,18,9.45,162.5,0.498611111111111,0.238888888888889,0.522222222222222,0.523676880222841,0.2375,1.10526315789474,1.00557103064067,1,0.522222222222222,0.525,0.522222222222222,1.04735376044568,1.10526315789474,1,0.141666666666667,0.506481481481482,0.637037037037037,0.316666666666667,0.477777777777778,1.29080675422139,0.625228519195612,"X2clsQ",0.46,"ok" "Qq2k3k3v20v20m120s8",1,20,20,40,120,720,1,1,2,0,0,120,15,17,3,3,20,1.575,1.425,9.025,18,9.45,13.325,18,9.45,162.5,0.498611111111111,0.238888888888889,0.522222222222222,0.523676880222841,0.2375,1.10526315789474,1.00557103064067,1,0.522222222222222,0.525,0.522222222222222,1.04735376044568,1.10526315789474,1,0.141666666666667,0.506481481481482,0.637037037037037,0.316666666666667,0.477777777777778,1.29080675422139,0.625228519195612,"quantor",0.13,"ok" "Qq2k3k3v20v20m120s8",1,20,20,40,120,720,1,1,2,0,0,120,15,17,3,3,20,1.575,1.425,9.025,18,9.45,13.325,18,9.45,162.5,0.498611111111111,0.238888888888889,0.522222222222222,0.523676880222841,0.2375,1.10526315789474,1.00557103064067,1,0.522222222222222,0.525,0.522222222222222,1.04735376044568,1.10526315789474,1,0.141666666666667,0.506481481481482,0.637037037037037,0.316666666666667,0.477777777777778,1.29080675422139,0.625228519195612,"QuBE",0.14,"ok" "Qq2k3k3v20v20m120s8",1,20,20,40,120,720,1,1,2,0,0,120,15,17,3,3,20,1.575,1.425,9.025,18,9.45,13.325,18,9.45,162.5,0.498611111111111,0.238888888888889,0.522222222222222,0.523676880222841,0.2375,1.10526315789474,1.00557103064067,1,0.522222222222222,0.525,0.522222222222222,1.04735376044568,1.10526315789474,1,0.141666666666667,0.506481481481482,0.637037037037037,0.316666666666667,0.477777777777778,1.29080675422139,0.625228519195612,"sKizzo",0.02,"ok" "Qq2k3k3v20v20m120s8",1,20,20,40,120,720,1,1,2,0,0,120,15,17,3,3,20,1.575,1.425,9.025,18,9.45,13.325,18,9.45,162.5,0.498611111111111,0.238888888888889,0.522222222222222,0.523676880222841,0.2375,1.10526315789474,1.00557103064067,1,0.522222222222222,0.525,0.522222222222222,1.04735376044568,1.10526315789474,1,0.141666666666667,0.506481481481482,0.637037037037037,0.316666666666667,0.477777777777778,1.29080675422139,0.625228519195612,"sSolve",0.75,"ok" "Qq2k3k3v20v20m120s9",1,20,20,40,120,720,1,1,2,0,0,120,12,12,3,3,20,1.50833333333333,1.49166666666667,8.9,18,9.05,13.275,18,9.05,162.5,0.505555555555556,0.243055555555556,0.513888888888889,0.508241758241758,0.248611111111111,1.01117318435754,0.978021978021978,1,0.513888888888889,0.502777777777778,0.513888888888889,1.01648351648352,1.01117318435754,1,0.1,0.508333333333333,0.648148148148148,0.331481481481481,0.486111111111111,1.31826741996234,0.652094717668488,"X2clsQ",0.38,"ok" "Qq2k3k3v20v20m120s9",1,20,20,40,120,720,1,1,2,0,0,120,12,12,3,3,20,1.50833333333333,1.49166666666667,8.9,18,9.05,13.275,18,9.05,162.5,0.505555555555556,0.243055555555556,0.513888888888889,0.508241758241758,0.248611111111111,1.01117318435754,0.978021978021978,1,0.513888888888889,0.502777777777778,0.513888888888889,1.01648351648352,1.01117318435754,1,0.1,0.508333333333333,0.648148148148148,0.331481481481481,0.486111111111111,1.31826741996234,0.652094717668488,"quantor",0.25,"ok" "Qq2k3k3v20v20m120s9",1,20,20,40,120,720,1,1,2,0,0,120,12,12,3,3,20,1.50833333333333,1.49166666666667,8.9,18,9.05,13.275,18,9.05,162.5,0.505555555555556,0.243055555555556,0.513888888888889,0.508241758241758,0.248611111111111,1.01117318435754,0.978021978021978,1,0.513888888888889,0.502777777777778,0.513888888888889,1.01648351648352,1.01117318435754,1,0.1,0.508333333333333,0.648148148148148,0.331481481481481,0.486111111111111,1.31826741996234,0.652094717668488,"QuBE",0.13,"ok" "Qq2k3k3v20v20m120s9",1,20,20,40,120,720,1,1,2,0,0,120,12,12,3,3,20,1.50833333333333,1.49166666666667,8.9,18,9.05,13.275,18,9.05,162.5,0.505555555555556,0.243055555555556,0.513888888888889,0.508241758241758,0.248611111111111,1.01117318435754,0.978021978021978,1,0.513888888888889,0.502777777777778,0.513888888888889,1.01648351648352,1.01117318435754,1,0.1,0.508333333333333,0.648148148148148,0.331481481481481,0.486111111111111,1.31826741996234,0.652094717668488,"sKizzo",0.06,"ok" "Qq2k3k3v20v20m120s9",1,20,20,40,120,720,1,1,2,0,0,120,12,12,3,3,20,1.50833333333333,1.49166666666667,8.9,18,9.05,13.275,18,9.05,162.5,0.505555555555556,0.243055555555556,0.513888888888889,0.508241758241758,0.248611111111111,1.01117318435754,0.978021978021978,1,0.513888888888889,0.502777777777778,0.513888888888889,1.01648351648352,1.01117318435754,1,0.1,0.508333333333333,0.648148148148148,0.331481481481481,0.486111111111111,1.31826741996234,0.652094717668488,"sSolve",0.87,"ok" "Qq2k3k3v20v20m160s1",1,20,20,40,160,960,1,1,2,0,0,160,11,20,3,3,20,1.51875,1.48125,12.675,24,12.15,19.275,24,12.15,293.5,0.471875,0.275,0.45,0.47682119205298,0.246875,1.0253164556962,1.11920529801325,1,0.45,0.50625,0.45,0.95364238410596,1.0253164556962,1,0.125,0.464583333333333,0.733333333333333,0.329166666666667,0.55,1.36964980544747,0.708520179372197,"X2clsQ",1.07,"ok" "Qq2k3k3v20v20m160s1",1,20,20,40,160,960,1,1,2,0,0,160,11,20,3,3,20,1.51875,1.48125,12.675,24,12.15,19.275,24,12.15,293.5,0.471875,0.275,0.45,0.47682119205298,0.246875,1.0253164556962,1.11920529801325,1,0.45,0.50625,0.45,0.95364238410596,1.0253164556962,1,0.125,0.464583333333333,0.733333333333333,0.329166666666667,0.55,1.36964980544747,0.708520179372197,"quantor",1.56,"ok" "Qq2k3k3v20v20m160s1",1,20,20,40,160,960,1,1,2,0,0,160,11,20,3,3,20,1.51875,1.48125,12.675,24,12.15,19.275,24,12.15,293.5,0.471875,0.275,0.45,0.47682119205298,0.246875,1.0253164556962,1.11920529801325,1,0.45,0.50625,0.45,0.95364238410596,1.0253164556962,1,0.125,0.464583333333333,0.733333333333333,0.329166666666667,0.55,1.36964980544747,0.708520179372197,"QuBE",0.48,"ok" "Qq2k3k3v20v20m160s1",1,20,20,40,160,960,1,1,2,0,0,160,11,20,3,3,20,1.51875,1.48125,12.675,24,12.15,19.275,24,12.15,293.5,0.471875,0.275,0.45,0.47682119205298,0.246875,1.0253164556962,1.11920529801325,1,0.45,0.50625,0.45,0.95364238410596,1.0253164556962,1,0.125,0.464583333333333,0.733333333333333,0.329166666666667,0.55,1.36964980544747,0.708520179372197,"sKizzo",3.78,"ok" "Qq2k3k3v20v20m160s1",1,20,20,40,160,960,1,1,2,0,0,160,11,20,3,3,20,1.51875,1.48125,12.675,24,12.15,19.275,24,12.15,293.5,0.471875,0.275,0.45,0.47682119205298,0.246875,1.0253164556962,1.11920529801325,1,0.45,0.50625,0.45,0.95364238410596,1.0253164556962,1,0.125,0.464583333333333,0.733333333333333,0.329166666666667,0.55,1.36964980544747,0.708520179372197,"sSolve",0.91,"ok" "Qq2k3k3v20v20m160s10",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4625,1.5375,11.525,24,11.7,17.2,24,11.7,279.8,0.519791666666667,0.236458333333333,0.527083333333333,0.507014028056112,0.25625,0.951219512195122,0.923847695390782,1,0.527083333333333,0.4875,0.527083333333333,1.01402805611222,0.951219512195122,1,0.08125,0.522222222222222,0.630555555555556,0.341666666666667,0.472916666666667,1.31976744186047,0.654255319148936,"X2clsQ",1.36,"ok" "Qq2k3k3v20v20m160s10",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4625,1.5375,11.525,24,11.7,17.2,24,11.7,279.8,0.519791666666667,0.236458333333333,0.527083333333333,0.507014028056112,0.25625,0.951219512195122,0.923847695390782,1,0.527083333333333,0.4875,0.527083333333333,1.01402805611222,0.951219512195122,1,0.08125,0.522222222222222,0.630555555555556,0.341666666666667,0.472916666666667,1.31976744186047,0.654255319148936,"quantor",3.25,"ok" "Qq2k3k3v20v20m160s10",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4625,1.5375,11.525,24,11.7,17.2,24,11.7,279.8,0.519791666666667,0.236458333333333,0.527083333333333,0.507014028056112,0.25625,0.951219512195122,0.923847695390782,1,0.527083333333333,0.4875,0.527083333333333,1.01402805611222,0.951219512195122,1,0.08125,0.522222222222222,0.630555555555556,0.341666666666667,0.472916666666667,1.31976744186047,0.654255319148936,"QuBE",0.64,"ok" "Qq2k3k3v20v20m160s10",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4625,1.5375,11.525,24,11.7,17.2,24,11.7,279.8,0.519791666666667,0.236458333333333,0.527083333333333,0.507014028056112,0.25625,0.951219512195122,0.923847695390782,1,0.527083333333333,0.4875,0.527083333333333,1.01402805611222,0.951219512195122,1,0.08125,0.522222222222222,0.630555555555556,0.341666666666667,0.472916666666667,1.31976744186047,0.654255319148936,"sKizzo",1.9,"ok" "Qq2k3k3v20v20m160s10",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4625,1.5375,11.525,24,11.7,17.2,24,11.7,279.8,0.519791666666667,0.236458333333333,0.527083333333333,0.507014028056112,0.25625,0.951219512195122,0.923847695390782,1,0.527083333333333,0.4875,0.527083333333333,1.01402805611222,0.951219512195122,1,0.08125,0.522222222222222,0.630555555555556,0.341666666666667,0.472916666666667,1.31976744186047,0.654255319148936,"sSolve",0.76,"ok" "Qq2k3k3v20v20m160s2",1,20,20,40,160,960,1,1,2,0,0,160,22,16,3,3,20,1.45,1.55,11.875,24,11.6,17.95,24,11.6,292.8,0.505208333333333,0.253125,0.49375,0.488659793814433,0.258333333333333,0.935483870967742,0.979381443298969,1,0.49375,0.483333333333333,0.49375,0.977319587628866,0.935483870967742,1,0.1,0.501388888888889,0.675,0.344444444444444,0.50625,1.35376044568245,0.686980609418283,"X2clsQ",2.32,"ok" "Qq2k3k3v20v20m160s2",1,20,20,40,160,960,1,1,2,0,0,160,22,16,3,3,20,1.45,1.55,11.875,24,11.6,17.95,24,11.6,292.8,0.505208333333333,0.253125,0.49375,0.488659793814433,0.258333333333333,0.935483870967742,0.979381443298969,1,0.49375,0.483333333333333,0.49375,0.977319587628866,0.935483870967742,1,0.1,0.501388888888889,0.675,0.344444444444444,0.50625,1.35376044568245,0.686980609418283,"quantor",1.67,"ok" "Qq2k3k3v20v20m160s2",1,20,20,40,160,960,1,1,2,0,0,160,22,16,3,3,20,1.45,1.55,11.875,24,11.6,17.95,24,11.6,292.8,0.505208333333333,0.253125,0.49375,0.488659793814433,0.258333333333333,0.935483870967742,0.979381443298969,1,0.49375,0.483333333333333,0.49375,0.977319587628866,0.935483870967742,1,0.1,0.501388888888889,0.675,0.344444444444444,0.50625,1.35376044568245,0.686980609418283,"QuBE",0.62,"ok" "Qq2k3k3v20v20m160s2",1,20,20,40,160,960,1,1,2,0,0,160,22,16,3,3,20,1.45,1.55,11.875,24,11.6,17.95,24,11.6,292.8,0.505208333333333,0.253125,0.49375,0.488659793814433,0.258333333333333,0.935483870967742,0.979381443298969,1,0.49375,0.483333333333333,0.49375,0.977319587628866,0.935483870967742,1,0.1,0.501388888888889,0.675,0.344444444444444,0.50625,1.35376044568245,0.686980609418283,"sKizzo",0.52,"ok" "Qq2k3k3v20v20m160s2",1,20,20,40,160,960,1,1,2,0,0,160,22,16,3,3,20,1.45,1.55,11.875,24,11.6,17.95,24,11.6,292.8,0.505208333333333,0.253125,0.49375,0.488659793814433,0.258333333333333,0.935483870967742,0.979381443298969,1,0.49375,0.483333333333333,0.49375,0.977319587628866,0.935483870967742,1,0.1,0.501388888888889,0.675,0.344444444444444,0.50625,1.35376044568245,0.686980609418283,"sSolve",0.85,"ok" "Qq2k3k3v20v20m160s3",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.50625,1.49375,12.025,24,12.05,18.025,24,12.05,287.9,0.498958333333333,0.25,0.5,0.501043841336117,0.248958333333333,1.00836820083682,1.00417536534447,1,0.5,0.502083333333333,0.5,1.00208768267223,1.00836820083682,1,0.125,0.499305555555556,0.666666666666667,0.331944444444444,0.5,1.33148404993065,0.66481223922114,"X2clsQ",0.72,"ok" "Qq2k3k3v20v20m160s3",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.50625,1.49375,12.025,24,12.05,18.025,24,12.05,287.9,0.498958333333333,0.25,0.5,0.501043841336117,0.248958333333333,1.00836820083682,1.00417536534447,1,0.5,0.502083333333333,0.5,1.00208768267223,1.00836820083682,1,0.125,0.499305555555556,0.666666666666667,0.331944444444444,0.5,1.33148404993065,0.66481223922114,"quantor",1.48,"ok" "Qq2k3k3v20v20m160s3",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.50625,1.49375,12.025,24,12.05,18.025,24,12.05,287.9,0.498958333333333,0.25,0.5,0.501043841336117,0.248958333333333,1.00836820083682,1.00417536534447,1,0.5,0.502083333333333,0.5,1.00208768267223,1.00836820083682,1,0.125,0.499305555555556,0.666666666666667,0.331944444444444,0.5,1.33148404993065,0.66481223922114,"QuBE",0.21,"ok" "Qq2k3k3v20v20m160s3",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.50625,1.49375,12.025,24,12.05,18.025,24,12.05,287.9,0.498958333333333,0.25,0.5,0.501043841336117,0.248958333333333,1.00836820083682,1.00417536534447,1,0.5,0.502083333333333,0.5,1.00208768267223,1.00836820083682,1,0.125,0.499305555555556,0.666666666666667,0.331944444444444,0.5,1.33148404993065,0.66481223922114,"sKizzo",0.43,"ok" "Qq2k3k3v20v20m160s3",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.50625,1.49375,12.025,24,12.05,18.025,24,12.05,287.9,0.498958333333333,0.25,0.5,0.501043841336117,0.248958333333333,1.00836820083682,1.00417536534447,1,0.5,0.502083333333333,0.5,1.00208768267223,1.00836820083682,1,0.125,0.499305555555556,0.666666666666667,0.331944444444444,0.5,1.33148404993065,0.66481223922114,"sSolve",0.76,"ok" "Qq2k3k3v20v20m160s4",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4375,1.5625,11.425,24,11.5,17.1,24,11.5,293.4,0.523958333333333,0.236458333333333,0.527083333333333,0.502982107355865,0.260416666666667,0.92,0.908548707753479,1,0.527083333333333,0.479166666666667,0.527083333333333,1.00596421471173,0.92,1,0.08125,0.525,0.630555555555556,0.347222222222222,0.472916666666667,1.32748538011696,0.661375661375661,"X2clsQ",1.46,"ok" "Qq2k3k3v20v20m160s4",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4375,1.5625,11.425,24,11.5,17.1,24,11.5,293.4,0.523958333333333,0.236458333333333,0.527083333333333,0.502982107355865,0.260416666666667,0.92,0.908548707753479,1,0.527083333333333,0.479166666666667,0.527083333333333,1.00596421471173,0.92,1,0.08125,0.525,0.630555555555556,0.347222222222222,0.472916666666667,1.32748538011696,0.661375661375661,"quantor",1.16,"ok" "Qq2k3k3v20v20m160s4",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4375,1.5625,11.425,24,11.5,17.1,24,11.5,293.4,0.523958333333333,0.236458333333333,0.527083333333333,0.502982107355865,0.260416666666667,0.92,0.908548707753479,1,0.527083333333333,0.479166666666667,0.527083333333333,1.00596421471173,0.92,1,0.08125,0.525,0.630555555555556,0.347222222222222,0.472916666666667,1.32748538011696,0.661375661375661,"QuBE",0.61,"ok" "Qq2k3k3v20v20m160s4",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4375,1.5625,11.425,24,11.5,17.1,24,11.5,293.4,0.523958333333333,0.236458333333333,0.527083333333333,0.502982107355865,0.260416666666667,0.92,0.908548707753479,1,0.527083333333333,0.479166666666667,0.527083333333333,1.00596421471173,0.92,1,0.08125,0.525,0.630555555555556,0.347222222222222,0.472916666666667,1.32748538011696,0.661375661375661,"sKizzo",2.71,"ok" "Qq2k3k3v20v20m160s4",1,20,20,40,160,960,1,1,2,0,0,160,20,13,3,3,20,1.4375,1.5625,11.425,24,11.5,17.1,24,11.5,293.4,0.523958333333333,0.236458333333333,0.527083333333333,0.502982107355865,0.260416666666667,0.92,0.908548707753479,1,0.527083333333333,0.479166666666667,0.527083333333333,1.00596421471173,0.92,1,0.08125,0.525,0.630555555555556,0.347222222222222,0.472916666666667,1.32748538011696,0.661375661375661,"sSolve",0.78,"ok" "Qq2k3k3v20v20m160s5",1,20,20,40,160,960,1,1,2,0,0,160,17,11,3,3,20,1.45,1.55,11.45,24,11.6,17.1,24,11.6,285.8,0.522916666666667,0.235416666666667,0.529166666666667,0.50597609561753,0.258333333333333,0.935483870967742,0.912350597609562,1,0.529166666666667,0.483333333333333,0.529166666666667,1.01195219123506,0.935483870967742,1,0.06875,0.525,0.627777777777778,0.344444444444444,0.470833333333333,1.32163742690058,0.656084656084656,"X2clsQ",1.9,"ok" "Qq2k3k3v20v20m160s5",1,20,20,40,160,960,1,1,2,0,0,160,17,11,3,3,20,1.45,1.55,11.45,24,11.6,17.1,24,11.6,285.8,0.522916666666667,0.235416666666667,0.529166666666667,0.50597609561753,0.258333333333333,0.935483870967742,0.912350597609562,1,0.529166666666667,0.483333333333333,0.529166666666667,1.01195219123506,0.935483870967742,1,0.06875,0.525,0.627777777777778,0.344444444444444,0.470833333333333,1.32163742690058,0.656084656084656,"quantor",2.16,"ok" "Qq2k3k3v20v20m160s5",1,20,20,40,160,960,1,1,2,0,0,160,17,11,3,3,20,1.45,1.55,11.45,24,11.6,17.1,24,11.6,285.8,0.522916666666667,0.235416666666667,0.529166666666667,0.50597609561753,0.258333333333333,0.935483870967742,0.912350597609562,1,0.529166666666667,0.483333333333333,0.529166666666667,1.01195219123506,0.935483870967742,1,0.06875,0.525,0.627777777777778,0.344444444444444,0.470833333333333,1.32163742690058,0.656084656084656,"QuBE",0.51,"ok" "Qq2k3k3v20v20m160s5",1,20,20,40,160,960,1,1,2,0,0,160,17,11,3,3,20,1.45,1.55,11.45,24,11.6,17.1,24,11.6,285.8,0.522916666666667,0.235416666666667,0.529166666666667,0.50597609561753,0.258333333333333,0.935483870967742,0.912350597609562,1,0.529166666666667,0.483333333333333,0.529166666666667,1.01195219123506,0.935483870967742,1,0.06875,0.525,0.627777777777778,0.344444444444444,0.470833333333333,1.32163742690058,0.656084656084656,"sKizzo",2.62,"ok" "Qq2k3k3v20v20m160s5",1,20,20,40,160,960,1,1,2,0,0,160,17,11,3,3,20,1.45,1.55,11.45,24,11.6,17.1,24,11.6,285.8,0.522916666666667,0.235416666666667,0.529166666666667,0.50597609561753,0.258333333333333,0.935483870967742,0.912350597609562,1,0.529166666666667,0.483333333333333,0.529166666666667,1.01195219123506,0.935483870967742,1,0.06875,0.525,0.627777777777778,0.344444444444444,0.470833333333333,1.32163742690058,0.656084656084656,"sSolve",0.77,"ok" "Qq2k3k3v20v20m160s6",1,20,20,40,160,960,1,1,2,0,0,160,23,16,3,3,20,1.44375,1.55625,11.65,24,11.55,17.525,24,11.55,287.4,0.514583333333333,0.244791666666667,0.510416666666667,0.495951417004049,0.259375,0.927710843373494,0.94331983805668,1,0.510416666666667,0.48125,0.510416666666667,0.991902834008097,0.927710843373494,1,0.1,0.513194444444445,0.652777777777778,0.345833333333333,0.489583333333333,1.34094151212554,0.673883626522327,"X2clsQ",1.73,"ok" "Qq2k3k3v20v20m160s6",1,20,20,40,160,960,1,1,2,0,0,160,23,16,3,3,20,1.44375,1.55625,11.65,24,11.55,17.525,24,11.55,287.4,0.514583333333333,0.244791666666667,0.510416666666667,0.495951417004049,0.259375,0.927710843373494,0.94331983805668,1,0.510416666666667,0.48125,0.510416666666667,0.991902834008097,0.927710843373494,1,0.1,0.513194444444445,0.652777777777778,0.345833333333333,0.489583333333333,1.34094151212554,0.673883626522327,"quantor",3.35,"ok" "Qq2k3k3v20v20m160s6",1,20,20,40,160,960,1,1,2,0,0,160,23,16,3,3,20,1.44375,1.55625,11.65,24,11.55,17.525,24,11.55,287.4,0.514583333333333,0.244791666666667,0.510416666666667,0.495951417004049,0.259375,0.927710843373494,0.94331983805668,1,0.510416666666667,0.48125,0.510416666666667,0.991902834008097,0.927710843373494,1,0.1,0.513194444444445,0.652777777777778,0.345833333333333,0.489583333333333,1.34094151212554,0.673883626522327,"QuBE",0.79,"ok" "Qq2k3k3v20v20m160s6",1,20,20,40,160,960,1,1,2,0,0,160,23,16,3,3,20,1.44375,1.55625,11.65,24,11.55,17.525,24,11.55,287.4,0.514583333333333,0.244791666666667,0.510416666666667,0.495951417004049,0.259375,0.927710843373494,0.94331983805668,1,0.510416666666667,0.48125,0.510416666666667,0.991902834008097,0.927710843373494,1,0.1,0.513194444444445,0.652777777777778,0.345833333333333,0.489583333333333,1.34094151212554,0.673883626522327,"sKizzo",1.16,"ok" "Qq2k3k3v20v20m160s6",1,20,20,40,160,960,1,1,2,0,0,160,23,16,3,3,20,1.44375,1.55625,11.65,24,11.55,17.525,24,11.55,287.4,0.514583333333333,0.244791666666667,0.510416666666667,0.495951417004049,0.259375,0.927710843373494,0.94331983805668,1,0.510416666666667,0.48125,0.510416666666667,0.991902834008097,0.927710843373494,1,0.1,0.513194444444445,0.652777777777778,0.345833333333333,0.489583333333333,1.34094151212554,0.673883626522327,"sSolve",0.9,"ok" "Qq2k3k3v20v20m160s7",1,20,20,40,160,960,1,1,2,0,0,160,13,21,3,3,20,1.475,1.525,12.075,24,11.8,18.25,24,11.8,289.1,0.496875,0.257291666666667,0.485416666666667,0.488469601677149,0.254166666666667,0.967213114754098,1.0125786163522,1,0.485416666666667,0.491666666666667,0.485416666666667,0.976939203354298,0.967213114754098,1,0.13125,0.493055555555556,0.686111111111111,0.338888888888889,0.514583333333333,1.35342465753425,0.687323943661972,"X2clsQ",1.01,"ok" "Qq2k3k3v20v20m160s7",1,20,20,40,160,960,1,1,2,0,0,160,13,21,3,3,20,1.475,1.525,12.075,24,11.8,18.25,24,11.8,289.1,0.496875,0.257291666666667,0.485416666666667,0.488469601677149,0.254166666666667,0.967213114754098,1.0125786163522,1,0.485416666666667,0.491666666666667,0.485416666666667,0.976939203354298,0.967213114754098,1,0.13125,0.493055555555556,0.686111111111111,0.338888888888889,0.514583333333333,1.35342465753425,0.687323943661972,"quantor",0.81,"ok" "Qq2k3k3v20v20m160s7",1,20,20,40,160,960,1,1,2,0,0,160,13,21,3,3,20,1.475,1.525,12.075,24,11.8,18.25,24,11.8,289.1,0.496875,0.257291666666667,0.485416666666667,0.488469601677149,0.254166666666667,0.967213114754098,1.0125786163522,1,0.485416666666667,0.491666666666667,0.485416666666667,0.976939203354298,0.967213114754098,1,0.13125,0.493055555555556,0.686111111111111,0.338888888888889,0.514583333333333,1.35342465753425,0.687323943661972,"QuBE",0.36,"ok" "Qq2k3k3v20v20m160s7",1,20,20,40,160,960,1,1,2,0,0,160,13,21,3,3,20,1.475,1.525,12.075,24,11.8,18.25,24,11.8,289.1,0.496875,0.257291666666667,0.485416666666667,0.488469601677149,0.254166666666667,0.967213114754098,1.0125786163522,1,0.485416666666667,0.491666666666667,0.485416666666667,0.976939203354298,0.967213114754098,1,0.13125,0.493055555555556,0.686111111111111,0.338888888888889,0.514583333333333,1.35342465753425,0.687323943661972,"sKizzo",0.48,"ok" "Qq2k3k3v20v20m160s7",1,20,20,40,160,960,1,1,2,0,0,160,13,21,3,3,20,1.475,1.525,12.075,24,11.8,18.25,24,11.8,289.1,0.496875,0.257291666666667,0.485416666666667,0.488469601677149,0.254166666666667,0.967213114754098,1.0125786163522,1,0.485416666666667,0.491666666666667,0.485416666666667,0.976939203354298,0.967213114754098,1,0.13125,0.493055555555556,0.686111111111111,0.338888888888889,0.514583333333333,1.35342465753425,0.687323943661972,"sSolve",0.77,"ok" "Qq2k3k3v20v20m160s8",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.5625,1.4375,11.775,24,12.5,17.3,24,12.5,282.4,0.509375,0.230208333333333,0.539583333333333,0.529652351738241,0.239583333333333,1.08695652173913,0.96319018404908,1,0.539583333333333,0.520833333333333,0.539583333333333,1.05930470347648,1.08695652173913,1,0.125,0.519444444444444,0.613888888888889,0.319444444444444,0.460416666666667,1.27745664739884,0.614973262032086,"X2clsQ",1.65,"ok" "Qq2k3k3v20v20m160s8",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.5625,1.4375,11.775,24,12.5,17.3,24,12.5,282.4,0.509375,0.230208333333333,0.539583333333333,0.529652351738241,0.239583333333333,1.08695652173913,0.96319018404908,1,0.539583333333333,0.520833333333333,0.539583333333333,1.05930470347648,1.08695652173913,1,0.125,0.519444444444444,0.613888888888889,0.319444444444444,0.460416666666667,1.27745664739884,0.614973262032086,"quantor",2.91,"ok" "Qq2k3k3v20v20m160s8",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.5625,1.4375,11.775,24,12.5,17.3,24,12.5,282.4,0.509375,0.230208333333333,0.539583333333333,0.529652351738241,0.239583333333333,1.08695652173913,0.96319018404908,1,0.539583333333333,0.520833333333333,0.539583333333333,1.05930470347648,1.08695652173913,1,0.125,0.519444444444444,0.613888888888889,0.319444444444444,0.460416666666667,1.27745664739884,0.614973262032086,"QuBE",0.68,"ok" "Qq2k3k3v20v20m160s8",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.5625,1.4375,11.775,24,12.5,17.3,24,12.5,282.4,0.509375,0.230208333333333,0.539583333333333,0.529652351738241,0.239583333333333,1.08695652173913,0.96319018404908,1,0.539583333333333,0.520833333333333,0.539583333333333,1.05930470347648,1.08695652173913,1,0.125,0.519444444444444,0.613888888888889,0.319444444444444,0.460416666666667,1.27745664739884,0.614973262032086,"sKizzo",9.75,"ok" "Qq2k3k3v20v20m160s8",1,20,20,40,160,960,1,1,2,0,0,160,20,20,3,3,20,1.5625,1.4375,11.775,24,12.5,17.3,24,12.5,282.4,0.509375,0.230208333333333,0.539583333333333,0.529652351738241,0.239583333333333,1.08695652173913,0.96319018404908,1,0.539583333333333,0.520833333333333,0.539583333333333,1.05930470347648,1.08695652173913,1,0.125,0.519444444444444,0.613888888888889,0.319444444444444,0.460416666666667,1.27745664739884,0.614973262032086,"sSolve",0.98,"ok" "Qq2k3k3v20v20m160s9",1,20,20,40,160,960,1,1,2,0,0,160,19,17,3,3,20,1.4875,1.5125,11.875,24,11.9,17.8,24,11.9,283.9,0.505208333333333,0.246875,0.50625,0.501030927835052,0.252083333333333,0.983471074380165,0.979381443298969,1,0.50625,0.495833333333333,0.50625,1.0020618556701,0.983471074380165,1,0.10625,0.505555555555556,0.658333333333333,0.336111111111111,0.49375,1.3314606741573,0.664835164835165,"X2clsQ",0.96,"ok" "Qq2k3k3v20v20m160s9",1,20,20,40,160,960,1,1,2,0,0,160,19,17,3,3,20,1.4875,1.5125,11.875,24,11.9,17.8,24,11.9,283.9,0.505208333333333,0.246875,0.50625,0.501030927835052,0.252083333333333,0.983471074380165,0.979381443298969,1,0.50625,0.495833333333333,0.50625,1.0020618556701,0.983471074380165,1,0.10625,0.505555555555556,0.658333333333333,0.336111111111111,0.49375,1.3314606741573,0.664835164835165,"quantor",1.92,"ok" "Qq2k3k3v20v20m160s9",1,20,20,40,160,960,1,1,2,0,0,160,19,17,3,3,20,1.4875,1.5125,11.875,24,11.9,17.8,24,11.9,283.9,0.505208333333333,0.246875,0.50625,0.501030927835052,0.252083333333333,0.983471074380165,0.979381443298969,1,0.50625,0.495833333333333,0.50625,1.0020618556701,0.983471074380165,1,0.10625,0.505555555555556,0.658333333333333,0.336111111111111,0.49375,1.3314606741573,0.664835164835165,"QuBE",0.42,"ok" "Qq2k3k3v20v20m160s9",1,20,20,40,160,960,1,1,2,0,0,160,19,17,3,3,20,1.4875,1.5125,11.875,24,11.9,17.8,24,11.9,283.9,0.505208333333333,0.246875,0.50625,0.501030927835052,0.252083333333333,0.983471074380165,0.979381443298969,1,0.50625,0.495833333333333,0.50625,1.0020618556701,0.983471074380165,1,0.10625,0.505555555555556,0.658333333333333,0.336111111111111,0.49375,1.3314606741573,0.664835164835165,"sKizzo",1.1,"ok" "Qq2k3k3v20v20m160s9",1,20,20,40,160,960,1,1,2,0,0,160,19,17,3,3,20,1.4875,1.5125,11.875,24,11.9,17.8,24,11.9,283.9,0.505208333333333,0.246875,0.50625,0.501030927835052,0.252083333333333,0.983471074380165,0.979381443298969,1,0.50625,0.495833333333333,0.50625,1.0020618556701,0.983471074380165,1,0.10625,0.505555555555556,0.658333333333333,0.336111111111111,0.49375,1.3314606741573,0.664835164835165,"sSolve",0.85,"ok" "Qq2k3k3v20v20m200s1",1,20,20,40,200,1200,1,1,2,0,0,200,18,23,3,3,20,1.51,1.49,15.45,30,15.1,23.35,30,15.1,461.3,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"X2clsQ",3.84,"ok" "Qq2k3k3v20v20m200s1",1,20,20,40,200,1200,1,1,2,0,0,200,18,23,3,3,20,1.51,1.49,15.45,30,15.1,23.35,30,15.1,461.3,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"quantor",11.52,"ok" "Qq2k3k3v20v20m200s1",1,20,20,40,200,1200,1,1,2,0,0,200,18,23,3,3,20,1.51,1.49,15.45,30,15.1,23.35,30,15.1,461.3,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"QuBE",3.35,"ok" "Qq2k3k3v20v20m200s1",1,20,20,40,200,1200,1,1,2,0,0,200,18,23,3,3,20,1.51,1.49,15.45,30,15.1,23.35,30,15.1,461.3,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"sKizzo",17.06,"ok" "Qq2k3k3v20v20m200s1",1,20,20,40,200,1200,1,1,2,0,0,200,18,23,3,3,20,1.51,1.49,15.45,30,15.1,23.35,30,15.1,461.3,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"sSolve",0.91,"ok" "Qq2k3k3v20v20m200s10",1,20,20,40,200,1200,1,1,2,0,0,200,25,19,3,3,20,1.475,1.525,14.525,30,14.75,21.675,30,14.75,441.2,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"X2clsQ",4.79,"ok" "Qq2k3k3v20v20m200s10",1,20,20,40,200,1200,1,1,2,0,0,200,25,19,3,3,20,1.475,1.525,14.525,30,14.75,21.675,30,14.75,441.2,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"quantor",11.06,"ok" "Qq2k3k3v20v20m200s10",1,20,20,40,200,1200,1,1,2,0,0,200,25,19,3,3,20,1.475,1.525,14.525,30,14.75,21.675,30,14.75,441.2,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"QuBE",3.97,"ok" "Qq2k3k3v20v20m200s10",1,20,20,40,200,1200,1,1,2,0,0,200,25,19,3,3,20,1.475,1.525,14.525,30,14.75,21.675,30,14.75,441.2,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"sKizzo",21.99,"ok" "Qq2k3k3v20v20m200s10",1,20,20,40,200,1200,1,1,2,0,0,200,25,19,3,3,20,1.475,1.525,14.525,30,14.75,21.675,30,14.75,441.2,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"sSolve",0.87,"ok" "Qq2k3k3v20v20m200s2",1,20,20,40,200,1200,1,1,2,0,0,200,27,19,3,3,20,1.44,1.56,14.8,30,14.4,22.4,30,14.4,452.2,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"X2clsQ",5.25,"ok" "Qq2k3k3v20v20m200s2",1,20,20,40,200,1200,1,1,2,0,0,200,27,19,3,3,20,1.44,1.56,14.8,30,14.4,22.4,30,14.4,452.2,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"quantor",11.89,"ok" "Qq2k3k3v20v20m200s2",1,20,20,40,200,1200,1,1,2,0,0,200,27,19,3,3,20,1.44,1.56,14.8,30,14.4,22.4,30,14.4,452.2,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"QuBE",2.65,"ok" "Qq2k3k3v20v20m200s2",1,20,20,40,200,1200,1,1,2,0,0,200,27,19,3,3,20,1.44,1.56,14.8,30,14.4,22.4,30,14.4,452.2,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"sKizzo",5.53,"ok" "Qq2k3k3v20v20m200s2",1,20,20,40,200,1200,1,1,2,0,0,200,27,19,3,3,20,1.44,1.56,14.8,30,14.4,22.4,30,14.4,452.2,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"sSolve",0.78,"ok" "Qq2k3k3v20v20m200s3",1,20,20,40,200,1200,1,1,2,0,0,200,28,25,3,3,20,1.475,1.525,14.925,30,14.75,22.475,30,14.75,441.4,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"X2clsQ",2.59,"ok" "Qq2k3k3v20v20m200s3",1,20,20,40,200,1200,1,1,2,0,0,200,28,25,3,3,20,1.475,1.525,14.925,30,14.75,22.475,30,14.75,441.4,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"quantor",10.55,"ok" "Qq2k3k3v20v20m200s3",1,20,20,40,200,1200,1,1,2,0,0,200,28,25,3,3,20,1.475,1.525,14.925,30,14.75,22.475,30,14.75,441.4,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"QuBE",1.33,"ok" "Qq2k3k3v20v20m200s3",1,20,20,40,200,1200,1,1,2,0,0,200,28,25,3,3,20,1.475,1.525,14.925,30,14.75,22.475,30,14.75,441.4,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"sKizzo",9.86,"ok" "Qq2k3k3v20v20m200s3",1,20,20,40,200,1200,1,1,2,0,0,200,28,25,3,3,20,1.475,1.525,14.925,30,14.75,22.475,30,14.75,441.4,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"sSolve",0.86,"ok" "Qq2k3k3v20v20m200s4",1,20,20,40,200,1200,1,1,2,0,0,200,23,19,3,3,20,1.51,1.49,14.625,30,15.1,21.7,30,15.1,455.4,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540773,"X2clsQ",3.63,"ok" "Qq2k3k3v20v20m200s4",1,20,20,40,200,1200,1,1,2,0,0,200,23,19,3,3,20,1.51,1.49,14.625,30,15.1,21.7,30,15.1,455.4,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540773,"quantor",8.81,"ok" "Qq2k3k3v20v20m200s4",1,20,20,40,200,1200,1,1,2,0,0,200,23,19,3,3,20,1.51,1.49,14.625,30,15.1,21.7,30,15.1,455.4,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540773,"QuBE",4.59,"ok" "Qq2k3k3v20v20m200s4",1,20,20,40,200,1200,1,1,2,0,0,200,23,19,3,3,20,1.51,1.49,14.625,30,15.1,21.7,30,15.1,455.4,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540773,"sKizzo",23.73,"ok" "Qq2k3k3v20v20m200s4",1,20,20,40,200,1200,1,1,2,0,0,200,23,19,3,3,20,1.51,1.49,14.625,30,15.1,21.7,30,15.1,455.4,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540773,"sSolve",0.79,"ok" "Qq2k3k3v20v20m200s5",1,20,20,40,200,1200,1,1,2,0,0,200,21,16,3,3,20,1.465,1.535,14.6,30,14.65,21.875,30,14.65,443.4,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"X2clsQ",4.05,"ok" "Qq2k3k3v20v20m200s5",1,20,20,40,200,1200,1,1,2,0,0,200,21,16,3,3,20,1.465,1.535,14.6,30,14.65,21.875,30,14.65,443.4,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"quantor",12.36,"ok" "Qq2k3k3v20v20m200s5",1,20,20,40,200,1200,1,1,2,0,0,200,21,16,3,3,20,1.465,1.535,14.6,30,14.65,21.875,30,14.65,443.4,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"QuBE",3,"ok" "Qq2k3k3v20v20m200s5",1,20,20,40,200,1200,1,1,2,0,0,200,21,16,3,3,20,1.465,1.535,14.6,30,14.65,21.875,30,14.65,443.4,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"sKizzo",9.65,"ok" "Qq2k3k3v20v20m200s5",1,20,20,40,200,1200,1,1,2,0,0,200,21,16,3,3,20,1.465,1.535,14.6,30,14.65,21.875,30,14.65,443.4,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"sSolve",0.9,"ok" "Qq2k3k3v20v20m200s6",1,20,20,40,200,1200,1,1,2,0,0,200,27,20,3,3,20,1.48,1.52,14.65,30,14.8,21.9,30,14.8,453.8,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"X2clsQ",6.08,"ok" "Qq2k3k3v20v20m200s6",1,20,20,40,200,1200,1,1,2,0,0,200,27,20,3,3,20,1.48,1.52,14.65,30,14.8,21.9,30,14.8,453.8,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"quantor",16.99,"ok" "Qq2k3k3v20v20m200s6",1,20,20,40,200,1200,1,1,2,0,0,200,27,20,3,3,20,1.48,1.52,14.65,30,14.8,21.9,30,14.8,453.8,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"QuBE",5.28,"ok" "Qq2k3k3v20v20m200s6",1,20,20,40,200,1200,1,1,2,0,0,200,27,20,3,3,20,1.48,1.52,14.65,30,14.8,21.9,30,14.8,453.8,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"sKizzo",7.46,"ok" "Qq2k3k3v20v20m200s6",1,20,20,40,200,1200,1,1,2,0,0,200,27,20,3,3,20,1.48,1.52,14.65,30,14.8,21.9,30,14.8,453.8,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"sSolve",0.8,"ok" "Qq2k3k3v20v20m200s7",1,20,20,40,200,1200,1,1,2,0,0,200,19,27,3,3,20,1.48,1.52,15.075,30,14.8,22.75,30,14.8,451.5,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"X2clsQ",2.77,"ok" "Qq2k3k3v20v20m200s7",1,20,20,40,200,1200,1,1,2,0,0,200,19,27,3,3,20,1.48,1.52,15.075,30,14.8,22.75,30,14.8,451.5,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"quantor",7.88,"ok" "Qq2k3k3v20v20m200s7",1,20,20,40,200,1200,1,1,2,0,0,200,19,27,3,3,20,1.48,1.52,15.075,30,14.8,22.75,30,14.8,451.5,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"QuBE",2.33,"ok" "Qq2k3k3v20v20m200s7",1,20,20,40,200,1200,1,1,2,0,0,200,19,27,3,3,20,1.48,1.52,15.075,30,14.8,22.75,30,14.8,451.5,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"sKizzo",18.18,"ok" "Qq2k3k3v20v20m200s7",1,20,20,40,200,1200,1,1,2,0,0,200,19,27,3,3,20,1.48,1.52,15.075,30,14.8,22.75,30,14.8,451.5,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"sSolve",0.91,"ok" "Qq2k3k3v20v20m200s8",1,20,20,40,200,1200,1,1,2,0,0,200,24,22,3,3,20,1.54,1.46,14.675,30,15.4,21.65,30,15.4,442.1,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"X2clsQ",3.73,"ok" "Qq2k3k3v20v20m200s8",1,20,20,40,200,1200,1,1,2,0,0,200,24,22,3,3,20,1.54,1.46,14.675,30,15.4,21.65,30,15.4,442.1,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"quantor",10.28,"ok" "Qq2k3k3v20v20m200s8",1,20,20,40,200,1200,1,1,2,0,0,200,24,22,3,3,20,1.54,1.46,14.675,30,15.4,21.65,30,15.4,442.1,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"QuBE",2.7,"ok" "Qq2k3k3v20v20m200s8",1,20,20,40,200,1200,1,1,2,0,0,200,24,22,3,3,20,1.54,1.46,14.675,30,15.4,21.65,30,15.4,442.1,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"sKizzo",11.17,"ok" "Qq2k3k3v20v20m200s8",1,20,20,40,200,1200,1,1,2,0,0,200,24,22,3,3,20,1.54,1.46,14.675,30,15.4,21.65,30,15.4,442.1,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"sSolve",0.87,"ok" "Qq2k3k3v20v20m200s9",1,20,20,40,200,1200,1,1,2,0,0,200,25,24,3,3,20,1.495,1.505,14.875,30,14.95,22.275,30,14.95,444.1,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"X2clsQ",3.11,"ok" "Qq2k3k3v20v20m200s9",1,20,20,40,200,1200,1,1,2,0,0,200,25,24,3,3,20,1.495,1.505,14.875,30,14.95,22.275,30,14.95,444.1,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"quantor",21.87,"ok" "Qq2k3k3v20v20m200s9",1,20,20,40,200,1200,1,1,2,0,0,200,25,24,3,3,20,1.495,1.505,14.875,30,14.95,22.275,30,14.95,444.1,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"QuBE",3.87,"ok" "Qq2k3k3v20v20m200s9",1,20,20,40,200,1200,1,1,2,0,0,200,25,24,3,3,20,1.495,1.505,14.875,30,14.95,22.275,30,14.95,444.1,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"sKizzo",6.7,"ok" "Qq2k3k3v20v20m200s9",1,20,20,40,200,1200,1,1,2,0,0,200,25,24,3,3,20,1.495,1.505,14.875,30,14.95,22.275,30,14.95,444.1,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"sSolve",0.79,"ok" "Qq2k3k3v20v20m240s1",1,20,20,40,240,1440,1,1,2,0,0,240,22,29,3,3,20,1.52083333333333,1.47916666666667,18.625,36,18.25,28.125,36,18.25,660.5,0.482638888888889,0.263888888888889,0.472222222222222,0.489208633093525,0.246527777777778,1.02816901408451,1.07194244604317,1,0.472222222222222,0.506944444444444,0.472222222222222,0.97841726618705,1.02816901408451,1,0.120833333333333,0.479166666666667,0.703703703703704,0.328703703703704,0.527777777777778,1.35111111111111,0.685990338164251,"X2clsQ",7.76,"ok" "Qq2k3k3v20v20m240s1",1,20,20,40,240,1440,1,1,2,0,0,240,22,29,3,3,20,1.52083333333333,1.47916666666667,18.625,36,18.25,28.125,36,18.25,660.5,0.482638888888889,0.263888888888889,0.472222222222222,0.489208633093525,0.246527777777778,1.02816901408451,1.07194244604317,1,0.472222222222222,0.506944444444444,0.472222222222222,0.97841726618705,1.02816901408451,1,0.120833333333333,0.479166666666667,0.703703703703704,0.328703703703704,0.527777777777778,1.35111111111111,0.685990338164251,"quantor",94.73,"ok" "Qq2k3k3v20v20m240s1",1,20,20,40,240,1440,1,1,2,0,0,240,22,29,3,3,20,1.52083333333333,1.47916666666667,18.625,36,18.25,28.125,36,18.25,660.5,0.482638888888889,0.263888888888889,0.472222222222222,0.489208633093525,0.246527777777778,1.02816901408451,1.07194244604317,1,0.472222222222222,0.506944444444444,0.472222222222222,0.97841726618705,1.02816901408451,1,0.120833333333333,0.479166666666667,0.703703703703704,0.328703703703704,0.527777777777778,1.35111111111111,0.685990338164251,"QuBE",16.99,"ok" "Qq2k3k3v20v20m240s1",1,20,20,40,240,1440,1,1,2,0,0,240,22,29,3,3,20,1.52083333333333,1.47916666666667,18.625,36,18.25,28.125,36,18.25,660.5,0.482638888888889,0.263888888888889,0.472222222222222,0.489208633093525,0.246527777777778,1.02816901408451,1.07194244604317,1,0.472222222222222,0.506944444444444,0.472222222222222,0.97841726618705,1.02816901408451,1,0.120833333333333,0.479166666666667,0.703703703703704,0.328703703703704,0.527777777777778,1.35111111111111,0.685990338164251,"sKizzo",15.72,"ok" "Qq2k3k3v20v20m240s1",1,20,20,40,240,1440,1,1,2,0,0,240,22,29,3,3,20,1.52083333333333,1.47916666666667,18.625,36,18.25,28.125,36,18.25,660.5,0.482638888888889,0.263888888888889,0.472222222222222,0.489208633093525,0.246527777777778,1.02816901408451,1.07194244604317,1,0.472222222222222,0.506944444444444,0.472222222222222,0.97841726618705,1.02816901408451,1,0.120833333333333,0.479166666666667,0.703703703703704,0.328703703703704,0.527777777777778,1.35111111111111,0.685990338164251,"sSolve",1.12,"ok" "Qq2k3k3v20v20m240s10",1,20,20,40,240,1440,1,1,2,0,0,240,27,21,3,3,20,1.47916666666667,1.52083333333333,17.5,36,17.75,26.125,36,17.75,640.3,0.513888888888889,0.239583333333333,0.520833333333333,0.506756756756757,0.253472222222222,0.972602739726028,0.945945945945946,1,0.520833333333333,0.493055555555556,0.520833333333333,1.01351351351351,0.972602739726027,1,0.0875,0.516203703703704,0.638888888888889,0.337962962962963,0.479166666666667,1.32057416267943,0.654708520179372,"X2clsQ",9.71,"ok" "Qq2k3k3v20v20m240s10",1,20,20,40,240,1440,1,1,2,0,0,240,27,21,3,3,20,1.47916666666667,1.52083333333333,17.5,36,17.75,26.125,36,17.75,640.3,0.513888888888889,0.239583333333333,0.520833333333333,0.506756756756757,0.253472222222222,0.972602739726028,0.945945945945946,1,0.520833333333333,0.493055555555556,0.520833333333333,1.01351351351351,0.972602739726027,1,0.0875,0.516203703703704,0.638888888888889,0.337962962962963,0.479166666666667,1.32057416267943,0.654708520179372,"quantor",54.53,"ok" "Qq2k3k3v20v20m240s10",1,20,20,40,240,1440,1,1,2,0,0,240,27,21,3,3,20,1.47916666666667,1.52083333333333,17.5,36,17.75,26.125,36,17.75,640.3,0.513888888888889,0.239583333333333,0.520833333333333,0.506756756756757,0.253472222222222,0.972602739726028,0.945945945945946,1,0.520833333333333,0.493055555555556,0.520833333333333,1.01351351351351,0.972602739726027,1,0.0875,0.516203703703704,0.638888888888889,0.337962962962963,0.479166666666667,1.32057416267943,0.654708520179372,"QuBE",40.16,"ok" "Qq2k3k3v20v20m240s10",1,20,20,40,240,1440,1,1,2,0,0,240,27,21,3,3,20,1.47916666666667,1.52083333333333,17.5,36,17.75,26.125,36,17.75,640.3,0.513888888888889,0.239583333333333,0.520833333333333,0.506756756756757,0.253472222222222,0.972602739726028,0.945945945945946,1,0.520833333333333,0.493055555555556,0.520833333333333,1.01351351351351,0.972602739726027,1,0.0875,0.516203703703704,0.638888888888889,0.337962962962963,0.479166666666667,1.32057416267943,0.654708520179372,"sKizzo",51.74,"ok" "Qq2k3k3v20v20m240s10",1,20,20,40,240,1440,1,1,2,0,0,240,27,21,3,3,20,1.47916666666667,1.52083333333333,17.5,36,17.75,26.125,36,17.75,640.3,0.513888888888889,0.239583333333333,0.520833333333333,0.506756756756757,0.253472222222222,0.972602739726028,0.945945945945946,1,0.520833333333333,0.493055555555556,0.520833333333333,1.01351351351351,0.972602739726027,1,0.0875,0.516203703703704,0.638888888888889,0.337962962962963,0.479166666666667,1.32057416267943,0.654708520179372,"sSolve",0.97,"ok" "Qq2k3k3v20v20m240s2",1,20,20,40,240,1440,1,1,2,0,0,240,31,24,3,3,20,1.475,1.525,17.95,36,17.7,27.05,36,17.7,653.9,0.501388888888889,0.252777777777778,0.494444444444444,0.493074792243767,0.254166666666667,0.967213114754098,0.994459833795014,1,0.494444444444444,0.491666666666667,0.494444444444444,0.986149584487535,0.967213114754098,1,0.1,0.499074074074074,0.674074074074074,0.338888888888889,0.505555555555556,1.3456561922366,0.679035250463822,"X2clsQ",12.91,"ok" "Qq2k3k3v20v20m240s2",1,20,20,40,240,1440,1,1,2,0,0,240,31,24,3,3,20,1.475,1.525,17.95,36,17.7,27.05,36,17.7,653.9,0.501388888888889,0.252777777777778,0.494444444444444,0.493074792243767,0.254166666666667,0.967213114754098,0.994459833795014,1,0.494444444444444,0.491666666666667,0.494444444444444,0.986149584487535,0.967213114754098,1,0.1,0.499074074074074,0.674074074074074,0.338888888888889,0.505555555555556,1.3456561922366,0.679035250463822,"quantor",61.5,"ok" "Qq2k3k3v20v20m240s2",1,20,20,40,240,1440,1,1,2,0,0,240,31,24,3,3,20,1.475,1.525,17.95,36,17.7,27.05,36,17.7,653.9,0.501388888888889,0.252777777777778,0.494444444444444,0.493074792243767,0.254166666666667,0.967213114754098,0.994459833795014,1,0.494444444444444,0.491666666666667,0.494444444444444,0.986149584487535,0.967213114754098,1,0.1,0.499074074074074,0.674074074074074,0.338888888888889,0.505555555555556,1.3456561922366,0.679035250463822,"QuBE",26.69,"ok" "Qq2k3k3v20v20m240s2",1,20,20,40,240,1440,1,1,2,0,0,240,31,24,3,3,20,1.475,1.525,17.95,36,17.7,27.05,36,17.7,653.9,0.501388888888889,0.252777777777778,0.494444444444444,0.493074792243767,0.254166666666667,0.967213114754098,0.994459833795014,1,0.494444444444444,0.491666666666667,0.494444444444444,0.986149584487535,0.967213114754098,1,0.1,0.499074074074074,0.674074074074074,0.338888888888889,0.505555555555556,1.3456561922366,0.679035250463822,"sKizzo",21.47,"ok" "Qq2k3k3v20v20m240s2",1,20,20,40,240,1440,1,1,2,0,0,240,31,24,3,3,20,1.475,1.525,17.95,36,17.7,27.05,36,17.7,653.9,0.501388888888889,0.252777777777778,0.494444444444444,0.493074792243767,0.254166666666667,0.967213114754098,0.994459833795014,1,0.494444444444444,0.491666666666667,0.494444444444444,0.986149584487535,0.967213114754098,1,0.1,0.499074074074074,0.674074074074074,0.338888888888889,0.505555555555556,1.3456561922366,0.679035250463822,"sSolve",0.86,"ok" "Qq2k3k3v20v20m240s3",1,20,20,40,240,1440,1,1,2,0,0,240,33,31,3,3,20,1.48333333333333,1.51666666666667,18.175,36,17.8,27.45,36,17.8,639.6,0.495138888888889,0.257638888888889,0.484722222222222,0.489481065918654,0.252777777777778,0.978021978021978,1.01963534361851,1,0.484722222222222,0.494444444444444,0.484722222222222,0.978962131837307,0.978021978021978,1,0.129166666666667,0.491666666666667,0.687037037037037,0.337037037037037,0.515277777777778,1.35154826958106,0.685499058380414,"X2clsQ",5.2,"ok" "Qq2k3k3v20v20m240s3",1,20,20,40,240,1440,1,1,2,0,0,240,33,31,3,3,20,1.48333333333333,1.51666666666667,18.175,36,17.8,27.45,36,17.8,639.6,0.495138888888889,0.257638888888889,0.484722222222222,0.489481065918654,0.252777777777778,0.978021978021978,1.01963534361851,1,0.484722222222222,0.494444444444444,0.484722222222222,0.978962131837307,0.978021978021978,1,0.129166666666667,0.491666666666667,0.687037037037037,0.337037037037037,0.515277777777778,1.35154826958106,0.685499058380414,"quantor",64.84,"ok" "Qq2k3k3v20v20m240s3",1,20,20,40,240,1440,1,1,2,0,0,240,33,31,3,3,20,1.48333333333333,1.51666666666667,18.175,36,17.8,27.45,36,17.8,639.6,0.495138888888889,0.257638888888889,0.484722222222222,0.489481065918654,0.252777777777778,0.978021978021978,1.01963534361851,1,0.484722222222222,0.494444444444444,0.484722222222222,0.978962131837307,0.978021978021978,1,0.129166666666667,0.491666666666667,0.687037037037037,0.337037037037037,0.515277777777778,1.35154826958106,0.685499058380414,"QuBE",10.16,"ok" "Qq2k3k3v20v20m240s3",1,20,20,40,240,1440,1,1,2,0,0,240,33,31,3,3,20,1.48333333333333,1.51666666666667,18.175,36,17.8,27.45,36,17.8,639.6,0.495138888888889,0.257638888888889,0.484722222222222,0.489481065918654,0.252777777777778,0.978021978021978,1.01963534361851,1,0.484722222222222,0.494444444444444,0.484722222222222,0.978962131837307,0.978021978021978,1,0.129166666666667,0.491666666666667,0.687037037037037,0.337037037037037,0.515277777777778,1.35154826958106,0.685499058380414,"sKizzo",5.78,"ok" "Qq2k3k3v20v20m240s3",1,20,20,40,240,1440,1,1,2,0,0,240,33,31,3,3,20,1.48333333333333,1.51666666666667,18.175,36,17.8,27.45,36,17.8,639.6,0.495138888888889,0.257638888888889,0.484722222222222,0.489481065918654,0.252777777777778,0.978021978021978,1.01963534361851,1,0.484722222222222,0.494444444444444,0.484722222222222,0.978962131837307,0.978021978021978,1,0.129166666666667,0.491666666666667,0.687037037037037,0.337037037037037,0.515277777777778,1.35154826958106,0.685499058380414,"sSolve",0.8,"ok" "Qq2k3k3v20v20m240s4",1,20,20,40,240,1440,1,1,2,0,0,240,28,26,3,3,20,1.51666666666667,1.48333333333333,17.475,36,18.2,25.85,36,18.2,659,0.514583333333333,0.232638888888889,0.534722222222222,0.519568151147099,0.247222222222222,1.02247191011236,0.94331983805668,1,0.534722222222222,0.505555555555556,0.534722222222222,1.0391363022942,1.02247191011236,1,0.108333333333333,0.521296296296296,0.62037037037037,0.32962962962963,0.465277777777778,1.29593810444874,0.632326820603908,"X2clsQ",8.16,"ok" "Qq2k3k3v20v20m240s4",1,20,20,40,240,1440,1,1,2,0,0,240,28,26,3,3,20,1.51666666666667,1.48333333333333,17.475,36,18.2,25.85,36,18.2,659,0.514583333333333,0.232638888888889,0.534722222222222,0.519568151147099,0.247222222222222,1.02247191011236,0.94331983805668,1,0.534722222222222,0.505555555555556,0.534722222222222,1.0391363022942,1.02247191011236,1,0.108333333333333,0.521296296296296,0.62037037037037,0.32962962962963,0.465277777777778,1.29593810444874,0.632326820603908,"quantor",51.91,"ok" "Qq2k3k3v20v20m240s4",1,20,20,40,240,1440,1,1,2,0,0,240,28,26,3,3,20,1.51666666666667,1.48333333333333,17.475,36,18.2,25.85,36,18.2,659,0.514583333333333,0.232638888888889,0.534722222222222,0.519568151147099,0.247222222222222,1.02247191011236,0.94331983805668,1,0.534722222222222,0.505555555555556,0.534722222222222,1.0391363022942,1.02247191011236,1,0.108333333333333,0.521296296296296,0.62037037037037,0.32962962962963,0.465277777777778,1.29593810444874,0.632326820603908,"QuBE",50.43,"ok" "Qq2k3k3v20v20m240s4",1,20,20,40,240,1440,1,1,2,0,0,240,28,26,3,3,20,1.51666666666667,1.48333333333333,17.475,36,18.2,25.85,36,18.2,659,0.514583333333333,0.232638888888889,0.534722222222222,0.519568151147099,0.247222222222222,1.02247191011236,0.94331983805668,1,0.534722222222222,0.505555555555556,0.534722222222222,1.0391363022942,1.02247191011236,1,0.108333333333333,0.521296296296296,0.62037037037037,0.32962962962963,0.465277777777778,1.29593810444874,0.632326820603908,"sKizzo",29.37,"ok" "Qq2k3k3v20v20m240s4",1,20,20,40,240,1440,1,1,2,0,0,240,28,26,3,3,20,1.51666666666667,1.48333333333333,17.475,36,18.2,25.85,36,18.2,659,0.514583333333333,0.232638888888889,0.534722222222222,0.519568151147099,0.247222222222222,1.02247191011236,0.94331983805668,1,0.534722222222222,0.505555555555556,0.534722222222222,1.0391363022942,1.02247191011236,1,0.108333333333333,0.521296296296296,0.62037037037037,0.32962962962963,0.465277777777778,1.29593810444874,0.632326820603908,"sSolve",0.95,"ok" "Qq2k3k3v20v20m240s5",1,20,20,40,240,1440,1,1,2,0,0,240,28,21,3,3,20,1.46666666666667,1.53333333333333,17.575,36,17.6,26.35,36,17.6,641.3,0.511805555555556,0.24375,0.5125,0.50067842605156,0.255555555555556,0.956521739130435,0.953867028493894,1,0.5125,0.488888888888889,0.5125,1.00135685210312,0.956521739130435,1,0.0875,0.512037037037037,0.65,0.340740740740741,0.4875,1.33206831119545,0.665461121157324,"X2clsQ",14.85,"ok" "Qq2k3k3v20v20m240s5",1,20,20,40,240,1440,1,1,2,0,0,240,28,21,3,3,20,1.46666666666667,1.53333333333333,17.575,36,17.6,26.35,36,17.6,641.3,0.511805555555556,0.24375,0.5125,0.50067842605156,0.255555555555556,0.956521739130435,0.953867028493894,1,0.5125,0.488888888888889,0.5125,1.00135685210312,0.956521739130435,1,0.0875,0.512037037037037,0.65,0.340740740740741,0.4875,1.33206831119545,0.665461121157324,"quantor",46.51,"ok" "Qq2k3k3v20v20m240s5",1,20,20,40,240,1440,1,1,2,0,0,240,28,21,3,3,20,1.46666666666667,1.53333333333333,17.575,36,17.6,26.35,36,17.6,641.3,0.511805555555556,0.24375,0.5125,0.50067842605156,0.255555555555556,0.956521739130435,0.953867028493894,1,0.5125,0.488888888888889,0.5125,1.00135685210312,0.956521739130435,1,0.0875,0.512037037037037,0.65,0.340740740740741,0.4875,1.33206831119545,0.665461121157324,"QuBE",25.26,"ok" "Qq2k3k3v20v20m240s5",1,20,20,40,240,1440,1,1,2,0,0,240,28,21,3,3,20,1.46666666666667,1.53333333333333,17.575,36,17.6,26.35,36,17.6,641.3,0.511805555555556,0.24375,0.5125,0.50067842605156,0.255555555555556,0.956521739130435,0.953867028493894,1,0.5125,0.488888888888889,0.5125,1.00135685210312,0.956521739130435,1,0.0875,0.512037037037037,0.65,0.340740740740741,0.4875,1.33206831119545,0.665461121157324,"sKizzo",38.63,"ok" "Qq2k3k3v20v20m240s5",1,20,20,40,240,1440,1,1,2,0,0,240,28,21,3,3,20,1.46666666666667,1.53333333333333,17.575,36,17.6,26.35,36,17.6,641.3,0.511805555555556,0.24375,0.5125,0.50067842605156,0.255555555555556,0.956521739130435,0.953867028493894,1,0.5125,0.488888888888889,0.5125,1.00135685210312,0.956521739130435,1,0.0875,0.512037037037037,0.65,0.340740740740741,0.4875,1.33206831119545,0.665461121157324,"sSolve",0.89,"ok" "Qq2k3k3v20v20m240s6",1,20,20,40,240,1440,1,1,2,0,0,240,29,26,3,3,20,1.51666666666667,1.48333333333333,17.875,36,18.2,26.65,36,18.2,655.7,0.503472222222222,0.24375,0.5125,0.508965517241379,0.247222222222222,1.02247191011236,0.986206896551724,1,0.5125,0.505555555555556,0.5125,1.01793103448276,1.02247191011236,1,0.108333333333333,0.506481481481482,0.65,0.32962962962963,0.4875,1.31707317073171,0.650822669104205,"X2clsQ",9.88,"ok" "Qq2k3k3v20v20m240s6",1,20,20,40,240,1440,1,1,2,0,0,240,29,26,3,3,20,1.51666666666667,1.48333333333333,17.875,36,18.2,26.65,36,18.2,655.7,0.503472222222222,0.24375,0.5125,0.508965517241379,0.247222222222222,1.02247191011236,0.986206896551724,1,0.5125,0.505555555555556,0.5125,1.01793103448276,1.02247191011236,1,0.108333333333333,0.506481481481482,0.65,0.32962962962963,0.4875,1.31707317073171,0.650822669104205,"quantor",69.08,"ok" "Qq2k3k3v20v20m240s6",1,20,20,40,240,1440,1,1,2,0,0,240,29,26,3,3,20,1.51666666666667,1.48333333333333,17.875,36,18.2,26.65,36,18.2,655.7,0.503472222222222,0.24375,0.5125,0.508965517241379,0.247222222222222,1.02247191011236,0.986206896551724,1,0.5125,0.505555555555556,0.5125,1.01793103448276,1.02247191011236,1,0.108333333333333,0.506481481481482,0.65,0.32962962962963,0.4875,1.31707317073171,0.650822669104205,"QuBE",40,"ok" "Qq2k3k3v20v20m240s6",1,20,20,40,240,1440,1,1,2,0,0,240,29,26,3,3,20,1.51666666666667,1.48333333333333,17.875,36,18.2,26.65,36,18.2,655.7,0.503472222222222,0.24375,0.5125,0.508965517241379,0.247222222222222,1.02247191011236,0.986206896551724,1,0.5125,0.505555555555556,0.5125,1.01793103448276,1.02247191011236,1,0.108333333333333,0.506481481481482,0.65,0.32962962962963,0.4875,1.31707317073171,0.650822669104205,"sKizzo",24.22,"ok" "Qq2k3k3v20v20m240s6",1,20,20,40,240,1440,1,1,2,0,0,240,29,26,3,3,20,1.51666666666667,1.48333333333333,17.875,36,18.2,26.65,36,18.2,655.7,0.503472222222222,0.24375,0.5125,0.508965517241379,0.247222222222222,1.02247191011236,0.986206896551724,1,0.5125,0.505555555555556,0.5125,1.01793103448276,1.02247191011236,1,0.108333333333333,0.506481481481482,0.65,0.32962962962963,0.4875,1.31707317073171,0.650822669104205,"sSolve",1.08,"ok" "Qq2k3k3v20v20m240s7",1,20,20,40,240,1440,1,1,2,0,0,240,24,34,3,3,20,1.51666666666667,1.48333333333333,18.4,36,18.2,27.7,36,18.2,650.8,0.488888888888889,0.258333333333333,0.483333333333333,0.494318181818182,0.247222222222222,1.02247191011236,1.04545454545455,1,0.483333333333333,0.505555555555556,0.483333333333333,0.988636363636363,1.02247191011236,1,0.141666666666667,0.487037037037037,0.688888888888889,0.32962962962963,0.516666666666667,1.34296028880866,0.67680608365019,"X2clsQ",6.9,"ok" "Qq2k3k3v20v20m240s7",1,20,20,40,240,1440,1,1,2,0,0,240,24,34,3,3,20,1.51666666666667,1.48333333333333,18.4,36,18.2,27.7,36,18.2,650.8,0.488888888888889,0.258333333333333,0.483333333333333,0.494318181818182,0.247222222222222,1.02247191011236,1.04545454545455,1,0.483333333333333,0.505555555555556,0.483333333333333,0.988636363636363,1.02247191011236,1,0.141666666666667,0.487037037037037,0.688888888888889,0.32962962962963,0.516666666666667,1.34296028880866,0.67680608365019,"quantor",35.08,"ok" "Qq2k3k3v20v20m240s7",1,20,20,40,240,1440,1,1,2,0,0,240,24,34,3,3,20,1.51666666666667,1.48333333333333,18.4,36,18.2,27.7,36,18.2,650.8,0.488888888888889,0.258333333333333,0.483333333333333,0.494318181818182,0.247222222222222,1.02247191011236,1.04545454545455,1,0.483333333333333,0.505555555555556,0.483333333333333,0.988636363636363,1.02247191011236,1,0.141666666666667,0.487037037037037,0.688888888888889,0.32962962962963,0.516666666666667,1.34296028880866,0.67680608365019,"QuBE",17.43,"ok" "Qq2k3k3v20v20m240s7",1,20,20,40,240,1440,1,1,2,0,0,240,24,34,3,3,20,1.51666666666667,1.48333333333333,18.4,36,18.2,27.7,36,18.2,650.8,0.488888888888889,0.258333333333333,0.483333333333333,0.494318181818182,0.247222222222222,1.02247191011236,1.04545454545455,1,0.483333333333333,0.505555555555556,0.483333333333333,0.988636363636363,1.02247191011236,1,0.141666666666667,0.487037037037037,0.688888888888889,0.32962962962963,0.516666666666667,1.34296028880866,0.67680608365019,"sKizzo",22.78,"ok" "Qq2k3k3v20v20m240s7",1,20,20,40,240,1440,1,1,2,0,0,240,24,34,3,3,20,1.51666666666667,1.48333333333333,18.4,36,18.2,27.7,36,18.2,650.8,0.488888888888889,0.258333333333333,0.483333333333333,0.494318181818182,0.247222222222222,1.02247191011236,1.04545454545455,1,0.483333333333333,0.505555555555556,0.483333333333333,0.988636363636363,1.02247191011236,1,0.141666666666667,0.487037037037037,0.688888888888889,0.32962962962963,0.516666666666667,1.34296028880866,0.67680608365019,"sSolve",0.91,"ok" "Qq2k3k3v20v20m240s8",1,20,20,40,240,1440,1,1,2,0,0,240,27,24,3,3,20,1.51666666666667,1.48333333333333,17.575,36,18.2,26.05,36,18.2,636.9,0.511805555555556,0.235416666666667,0.529166666666667,0.516960651289009,0.247222222222222,1.02247191011236,0.953867028493894,1,0.529166666666667,0.505555555555556,0.529166666666667,1.03392130257802,1.02247191011236,1,0.1,0.517592592592593,0.627777777777778,0.32962962962963,0.470833333333333,1.30134357005758,0.636851520572451,"X2clsQ",14.81,"ok" "Qq2k3k3v20v20m240s8",1,20,20,40,240,1440,1,1,2,0,0,240,27,24,3,3,20,1.51666666666667,1.48333333333333,17.575,36,18.2,26.05,36,18.2,636.9,0.511805555555556,0.235416666666667,0.529166666666667,0.516960651289009,0.247222222222222,1.02247191011236,0.953867028493894,1,0.529166666666667,0.505555555555556,0.529166666666667,1.03392130257802,1.02247191011236,1,0.1,0.517592592592593,0.627777777777778,0.32962962962963,0.470833333333333,1.30134357005758,0.636851520572451,"quantor",59.38,"ok" "Qq2k3k3v20v20m240s8",1,20,20,40,240,1440,1,1,2,0,0,240,27,24,3,3,20,1.51666666666667,1.48333333333333,17.575,36,18.2,26.05,36,18.2,636.9,0.511805555555556,0.235416666666667,0.529166666666667,0.516960651289009,0.247222222222222,1.02247191011236,0.953867028493894,1,0.529166666666667,0.505555555555556,0.529166666666667,1.03392130257802,1.02247191011236,1,0.1,0.517592592592593,0.627777777777778,0.32962962962963,0.470833333333333,1.30134357005758,0.636851520572451,"QuBE",32.49,"ok" "Qq2k3k3v20v20m240s8",1,20,20,40,240,1440,1,1,2,0,0,240,27,24,3,3,20,1.51666666666667,1.48333333333333,17.575,36,18.2,26.05,36,18.2,636.9,0.511805555555556,0.235416666666667,0.529166666666667,0.516960651289009,0.247222222222222,1.02247191011236,0.953867028493894,1,0.529166666666667,0.505555555555556,0.529166666666667,1.03392130257802,1.02247191011236,1,0.1,0.517592592592593,0.627777777777778,0.32962962962963,0.470833333333333,1.30134357005758,0.636851520572451,"sKizzo",31.32,"ok" "Qq2k3k3v20v20m240s8",1,20,20,40,240,1440,1,1,2,0,0,240,27,24,3,3,20,1.51666666666667,1.48333333333333,17.575,36,18.2,26.05,36,18.2,636.9,0.511805555555556,0.235416666666667,0.529166666666667,0.516960651289009,0.247222222222222,1.02247191011236,0.953867028493894,1,0.529166666666667,0.505555555555556,0.529166666666667,1.03392130257802,1.02247191011236,1,0.1,0.517592592592593,0.627777777777778,0.32962962962963,0.470833333333333,1.30134357005758,0.636851520572451,"sSolve",0.99,"ok" "Qq2k3k3v20v20m240s9",1,20,20,40,240,1440,1,1,2,0,0,240,31,30,3,3,20,1.5,1.5,17.875,36,18,26.75,36,18,641.1,0.503472222222222,0.246527777777778,0.506944444444444,0.503448275862069,0.25,1,0.986206896551724,1,0.506944444444444,0.5,0.506944444444444,1.00689655172414,1,1,0.125,0.50462962962963,0.657407407407407,0.333333333333333,0.493055555555556,1.32710280373832,0.660550458715596,"X2clsQ",7.88,"ok" "Qq2k3k3v20v20m240s9",1,20,20,40,240,1440,1,1,2,0,0,240,31,30,3,3,20,1.5,1.5,17.875,36,18,26.75,36,18,641.1,0.503472222222222,0.246527777777778,0.506944444444444,0.503448275862069,0.25,1,0.986206896551724,1,0.506944444444444,0.5,0.506944444444444,1.00689655172414,1,1,0.125,0.50462962962963,0.657407407407407,0.333333333333333,0.493055555555556,1.32710280373832,0.660550458715596,"quantor",78.97,"ok" "Qq2k3k3v20v20m240s9",1,20,20,40,240,1440,1,1,2,0,0,240,31,30,3,3,20,1.5,1.5,17.875,36,18,26.75,36,18,641.1,0.503472222222222,0.246527777777778,0.506944444444444,0.503448275862069,0.25,1,0.986206896551724,1,0.506944444444444,0.5,0.506944444444444,1.00689655172414,1,1,0.125,0.50462962962963,0.657407407407407,0.333333333333333,0.493055555555556,1.32710280373832,0.660550458715596,"QuBE",29.33,"ok" "Qq2k3k3v20v20m240s9",1,20,20,40,240,1440,1,1,2,0,0,240,31,30,3,3,20,1.5,1.5,17.875,36,18,26.75,36,18,641.1,0.503472222222222,0.246527777777778,0.506944444444444,0.503448275862069,0.25,1,0.986206896551724,1,0.506944444444444,0.5,0.506944444444444,1.00689655172414,1,1,0.125,0.50462962962963,0.657407407407407,0.333333333333333,0.493055555555556,1.32710280373832,0.660550458715596,"sKizzo",22.87,"ok" "Qq2k3k3v20v20m240s9",1,20,20,40,240,1440,1,1,2,0,0,240,31,30,3,3,20,1.5,1.5,17.875,36,18,26.75,36,18,641.1,0.503472222222222,0.246527777777778,0.506944444444444,0.503448275862069,0.25,1,0.986206896551724,1,0.506944444444444,0.5,0.506944444444444,1.00689655172414,1,1,0.125,0.50462962962963,0.657407407407407,0.333333333333333,0.493055555555556,1.32710280373832,0.660550458715596,"sSolve",1.05,"ok" "Qq2k3k3v20v20m280s1",1,20,20,40,280,1680,1,1,2,0,0,280,30,33,3,3,20,1.49642857142857,1.50357142857143,21.6,42,20.95,32.725,42,20.95,890.6,0.485714285714286,0.264880952380952,0.470238095238095,0.48406862745098,0.250595238095238,0.995249406175772,1.05882352941176,1,0.470238095238095,0.498809523809524,0.470238095238095,0.968137254901961,0.995249406175772,1,0.117857142857143,0.480555555555556,0.706349206349206,0.334126984126984,0.529761904761905,1.3598166539343,0.695293146160198,"X2clsQ",12.82,"ok" "Qq2k3k3v20v20m280s1",1,20,20,40,280,1680,1,1,2,0,0,280,30,33,3,3,20,1.49642857142857,1.50357142857143,21.6,42,20.95,32.725,42,20.95,890.6,0.485714285714286,0.264880952380952,0.470238095238095,0.48406862745098,0.250595238095238,0.995249406175772,1.05882352941176,1,0.470238095238095,0.498809523809524,0.470238095238095,0.968137254901961,0.995249406175772,1,0.117857142857143,0.480555555555556,0.706349206349206,0.334126984126984,0.529761904761905,1.3598166539343,0.695293146160198,"quantor",132.44,"ok" "Qq2k3k3v20v20m280s1",1,20,20,40,280,1680,1,1,2,0,0,280,30,33,3,3,20,1.49642857142857,1.50357142857143,21.6,42,20.95,32.725,42,20.95,890.6,0.485714285714286,0.264880952380952,0.470238095238095,0.48406862745098,0.250595238095238,0.995249406175772,1.05882352941176,1,0.470238095238095,0.498809523809524,0.470238095238095,0.968137254901961,0.995249406175772,1,0.117857142857143,0.480555555555556,0.706349206349206,0.334126984126984,0.529761904761905,1.3598166539343,0.695293146160198,"QuBE",57.53,"ok" "Qq2k3k3v20v20m280s1",1,20,20,40,280,1680,1,1,2,0,0,280,30,33,3,3,20,1.49642857142857,1.50357142857143,21.6,42,20.95,32.725,42,20.95,890.6,0.485714285714286,0.264880952380952,0.470238095238095,0.48406862745098,0.250595238095238,0.995249406175772,1.05882352941176,1,0.470238095238095,0.498809523809524,0.470238095238095,0.968137254901961,0.995249406175772,1,0.117857142857143,0.480555555555556,0.706349206349206,0.334126984126984,0.529761904761905,1.3598166539343,0.695293146160198,"sKizzo",135.57,"ok" "Qq2k3k3v20v20m280s1",1,20,20,40,280,1680,1,1,2,0,0,280,30,33,3,3,20,1.49642857142857,1.50357142857143,21.6,42,20.95,32.725,42,20.95,890.6,0.485714285714286,0.264880952380952,0.470238095238095,0.48406862745098,0.250595238095238,0.995249406175772,1.05882352941176,1,0.470238095238095,0.498809523809524,0.470238095238095,0.968137254901961,0.995249406175772,1,0.117857142857143,0.480555555555556,0.706349206349206,0.334126984126984,0.529761904761905,1.3598166539343,0.695293146160198,"sSolve",1.05,"ok" "Qq2k3k3v20v20m280s10",1,20,20,40,280,1680,1,1,2,0,0,280,32,25,3,3,20,1.48571428571429,1.51428571428571,20.425,42,20.8,30.45,42,20.8,874.5,0.513690476190476,0.238690476190476,0.522619047619048,0.508690614136732,0.252380952380952,0.981132075471698,0.946697566628042,1,0.522619047619048,0.495238095238095,0.522619047619048,1.01738122827346,0.981132075471698,1,0.0892857142857143,0.516666666666667,0.636507936507937,0.336507936507936,0.477380952380952,1.31691297208539,0.651305683563748,"X2clsQ",16.55,"ok" "Qq2k3k3v20v20m280s10",1,20,20,40,280,1680,1,1,2,0,0,280,32,25,3,3,20,1.48571428571429,1.51428571428571,20.425,42,20.8,30.45,42,20.8,874.5,0.513690476190476,0.238690476190476,0.522619047619048,0.508690614136732,0.252380952380952,0.981132075471698,0.946697566628042,1,0.522619047619048,0.495238095238095,0.522619047619048,1.01738122827346,0.981132075471698,1,0.0892857142857143,0.516666666666667,0.636507936507937,0.336507936507936,0.477380952380952,1.31691297208539,0.651305683563748,"quantor",153.45,"ok" "Qq2k3k3v20v20m280s10",1,20,20,40,280,1680,1,1,2,0,0,280,32,25,3,3,20,1.48571428571429,1.51428571428571,20.425,42,20.8,30.45,42,20.8,874.5,0.513690476190476,0.238690476190476,0.522619047619048,0.508690614136732,0.252380952380952,0.981132075471698,0.946697566628042,1,0.522619047619048,0.495238095238095,0.522619047619048,1.01738122827346,0.981132075471698,1,0.0892857142857143,0.516666666666667,0.636507936507937,0.336507936507936,0.477380952380952,1.31691297208539,0.651305683563748,"QuBE",250.83,"ok" "Qq2k3k3v20v20m280s10",1,20,20,40,280,1680,1,1,2,0,0,280,32,25,3,3,20,1.48571428571429,1.51428571428571,20.425,42,20.8,30.45,42,20.8,874.5,0.513690476190476,0.238690476190476,0.522619047619048,0.508690614136732,0.252380952380952,0.981132075471698,0.946697566628042,1,0.522619047619048,0.495238095238095,0.522619047619048,1.01738122827346,0.981132075471698,1,0.0892857142857143,0.516666666666667,0.636507936507937,0.336507936507936,0.477380952380952,1.31691297208539,0.651305683563748,"sKizzo",86.01,"ok" "Qq2k3k3v20v20m280s10",1,20,20,40,280,1680,1,1,2,0,0,280,32,25,3,3,20,1.48571428571429,1.51428571428571,20.425,42,20.8,30.45,42,20.8,874.5,0.513690476190476,0.238690476190476,0.522619047619048,0.508690614136732,0.252380952380952,0.981132075471698,0.946697566628042,1,0.522619047619048,0.495238095238095,0.522619047619048,1.01738122827346,0.981132075471698,1,0.0892857142857143,0.516666666666667,0.636507936507937,0.336507936507936,0.477380952380952,1.31691297208539,0.651305683563748,"sSolve",1.08,"ok" "Qq2k3k3v20v20m280s2",1,20,20,40,280,1680,1,1,2,0,0,280,33,32,3,3,20,1.51785714285714,1.48214285714286,21.275,42,21.25,31.925,42,21.25,891.7,0.493452380952381,0.253571428571429,0.492857142857143,0.499396863691194,0.24702380952381,1.02409638554217,1.02653799758745,1,0.492857142857143,0.505952380952381,0.492857142857143,0.998793727382388,1.02409638554217,1,0.114285714285714,0.493253968253968,0.676190476190476,0.329365079365079,0.507142857142857,1.33437744714174,0.667739340305712,"X2clsQ",23.45,"ok" "Qq2k3k3v20v20m280s2",1,20,20,40,280,1680,1,1,2,0,0,280,33,32,3,3,20,1.51785714285714,1.48214285714286,21.275,42,21.25,31.925,42,21.25,891.7,0.493452380952381,0.253571428571429,0.492857142857143,0.499396863691194,0.24702380952381,1.02409638554217,1.02653799758745,1,0.492857142857143,0.505952380952381,0.492857142857143,0.998793727382388,1.02409638554217,1,0.114285714285714,0.493253968253968,0.676190476190476,0.329365079365079,0.507142857142857,1.33437744714174,0.667739340305712,"quantor",251.01,"ok" "Qq2k3k3v20v20m280s2",1,20,20,40,280,1680,1,1,2,0,0,280,33,32,3,3,20,1.51785714285714,1.48214285714286,21.275,42,21.25,31.925,42,21.25,891.7,0.493452380952381,0.253571428571429,0.492857142857143,0.499396863691194,0.24702380952381,1.02409638554217,1.02653799758745,1,0.492857142857143,0.505952380952381,0.492857142857143,0.998793727382388,1.02409638554217,1,0.114285714285714,0.493253968253968,0.676190476190476,0.329365079365079,0.507142857142857,1.33437744714174,0.667739340305712,"QuBE",169.85,"ok" "Qq2k3k3v20v20m280s2",1,20,20,40,280,1680,1,1,2,0,0,280,33,32,3,3,20,1.51785714285714,1.48214285714286,21.275,42,21.25,31.925,42,21.25,891.7,0.493452380952381,0.253571428571429,0.492857142857143,0.499396863691194,0.24702380952381,1.02409638554217,1.02653799758745,1,0.492857142857143,0.505952380952381,0.492857142857143,0.998793727382388,1.02409638554217,1,0.114285714285714,0.493253968253968,0.676190476190476,0.329365079365079,0.507142857142857,1.33437744714174,0.667739340305712,"sKizzo",121.83,"ok" "Qq2k3k3v20v20m280s2",1,20,20,40,280,1680,1,1,2,0,0,280,33,32,3,3,20,1.51785714285714,1.48214285714286,21.275,42,21.25,31.925,42,21.25,891.7,0.493452380952381,0.253571428571429,0.492857142857143,0.499396863691194,0.24702380952381,1.02409638554217,1.02653799758745,1,0.492857142857143,0.505952380952381,0.492857142857143,0.998793727382388,1.02409638554217,1,0.114285714285714,0.493253968253968,0.676190476190476,0.329365079365079,0.507142857142857,1.33437744714174,0.667739340305712,"sSolve",1.13,"ok" "Qq2k3k3v20v20m280s3",1,20,20,40,280,1680,1,1,2,0,0,280,36,34,3,3,20,1.46785714285714,1.53214285714286,21.15,42,20.55,32.025,42,20.55,879.8,0.496428571428571,0.258928571428571,0.482142857142857,0.485611510791367,0.255357142857143,0.958041958041958,1.01438848920863,1,0.482142857142857,0.489285714285714,0.482142857142857,0.971223021582734,0.958041958041958,1,0.121428571428571,0.491666666666667,0.69047619047619,0.34047619047619,0.517857142857143,1.35831381733021,0.692493946731235,"X2clsQ",11.61,"ok" "Qq2k3k3v20v20m280s3",1,20,20,40,280,1680,1,1,2,0,0,280,36,34,3,3,20,1.46785714285714,1.53214285714286,21.15,42,20.55,32.025,42,20.55,879.8,0.496428571428571,0.258928571428571,0.482142857142857,0.485611510791367,0.255357142857143,0.958041958041958,1.01438848920863,1,0.482142857142857,0.489285714285714,0.482142857142857,0.971223021582734,0.958041958041958,1,0.121428571428571,0.491666666666667,0.69047619047619,0.34047619047619,0.517857142857143,1.35831381733021,0.692493946731235,"quantor",220.13,"ok" "Qq2k3k3v20v20m280s3",1,20,20,40,280,1680,1,1,2,0,0,280,36,34,3,3,20,1.46785714285714,1.53214285714286,21.15,42,20.55,32.025,42,20.55,879.8,0.496428571428571,0.258928571428571,0.482142857142857,0.485611510791367,0.255357142857143,0.958041958041958,1.01438848920863,1,0.482142857142857,0.489285714285714,0.482142857142857,0.971223021582734,0.958041958041958,1,0.121428571428571,0.491666666666667,0.69047619047619,0.34047619047619,0.517857142857143,1.35831381733021,0.692493946731235,"QuBE",87.27,"ok" "Qq2k3k3v20v20m280s3",1,20,20,40,280,1680,1,1,2,0,0,280,36,34,3,3,20,1.46785714285714,1.53214285714286,21.15,42,20.55,32.025,42,20.55,879.8,0.496428571428571,0.258928571428571,0.482142857142857,0.485611510791367,0.255357142857143,0.958041958041958,1.01438848920863,1,0.482142857142857,0.489285714285714,0.482142857142857,0.971223021582734,0.958041958041958,1,0.121428571428571,0.491666666666667,0.69047619047619,0.34047619047619,0.517857142857143,1.35831381733021,0.692493946731235,"sKizzo",36.45,"ok" "Qq2k3k3v20v20m280s3",1,20,20,40,280,1680,1,1,2,0,0,280,36,34,3,3,20,1.46785714285714,1.53214285714286,21.15,42,20.55,32.025,42,20.55,879.8,0.496428571428571,0.258928571428571,0.482142857142857,0.485611510791367,0.255357142857143,0.958041958041958,1.01438848920863,1,0.482142857142857,0.489285714285714,0.482142857142857,0.971223021582734,0.958041958041958,1,0.121428571428571,0.491666666666667,0.69047619047619,0.34047619047619,0.517857142857143,1.35831381733021,0.692493946731235,"sSolve",0.93,"ok" "Qq2k3k3v20v20m280s4",1,20,20,40,280,1680,1,1,2,0,0,280,29,33,3,3,20,1.53571428571429,1.46428571428571,20.8,42,21.5,30.85,42,21.5,900.8,0.504761904761905,0.239285714285714,0.521428571428571,0.516509433962264,0.244047619047619,1.04878048780488,0.981132075471698,1,0.521428571428571,0.511904761904762,0.521428571428571,1.03301886792453,1.04878048780488,1,0.117857142857143,0.51031746031746,0.638095238095238,0.325396825396825,0.478571428571429,1.3030794165316,0.637636080870918,"X2clsQ",20.9,"ok" "Qq2k3k3v20v20m280s4",1,20,20,40,280,1680,1,1,2,0,0,280,29,33,3,3,20,1.53571428571429,1.46428571428571,20.8,42,21.5,30.85,42,21.5,900.8,0.504761904761905,0.239285714285714,0.521428571428571,0.516509433962264,0.244047619047619,1.04878048780488,0.981132075471698,1,0.521428571428571,0.511904761904762,0.521428571428571,1.03301886792453,1.04878048780488,1,0.117857142857143,0.51031746031746,0.638095238095238,0.325396825396825,0.478571428571429,1.3030794165316,0.637636080870918,"quantor",185.18,"ok" "Qq2k3k3v20v20m280s4",1,20,20,40,280,1680,1,1,2,0,0,280,29,33,3,3,20,1.53571428571429,1.46428571428571,20.8,42,21.5,30.85,42,21.5,900.8,0.504761904761905,0.239285714285714,0.521428571428571,0.516509433962264,0.244047619047619,1.04878048780488,0.981132075471698,1,0.521428571428571,0.511904761904762,0.521428571428571,1.03301886792453,1.04878048780488,1,0.117857142857143,0.51031746031746,0.638095238095238,0.325396825396825,0.478571428571429,1.3030794165316,0.637636080870918,"QuBE",201.12,"ok" "Qq2k3k3v20v20m280s4",1,20,20,40,280,1680,1,1,2,0,0,280,29,33,3,3,20,1.53571428571429,1.46428571428571,20.8,42,21.5,30.85,42,21.5,900.8,0.504761904761905,0.239285714285714,0.521428571428571,0.516509433962264,0.244047619047619,1.04878048780488,0.981132075471698,1,0.521428571428571,0.511904761904762,0.521428571428571,1.03301886792453,1.04878048780488,1,0.117857142857143,0.51031746031746,0.638095238095238,0.325396825396825,0.478571428571429,1.3030794165316,0.637636080870918,"sKizzo",125.45,"ok" "Qq2k3k3v20v20m280s4",1,20,20,40,280,1680,1,1,2,0,0,280,29,33,3,3,20,1.53571428571429,1.46428571428571,20.8,42,21.5,30.85,42,21.5,900.8,0.504761904761905,0.239285714285714,0.521428571428571,0.516509433962264,0.244047619047619,1.04878048780488,0.981132075471698,1,0.521428571428571,0.511904761904762,0.521428571428571,1.03301886792453,1.04878048780488,1,0.117857142857143,0.51031746031746,0.638095238095238,0.325396825396825,0.478571428571429,1.3030794165316,0.637636080870918,"sSolve",0.98,"ok" "Qq2k3k3v20v20m280s5",1,20,20,40,280,1680,1,1,2,0,0,280,31,25,3,3,20,1.44642857142857,1.55357142857143,20.6,42,20.25,31.075,42,20.25,880,0.509523809523809,0.249404761904762,0.501190476190476,0.491822429906542,0.258928571428571,0.931034482758621,0.962616822429907,1,0.501190476190476,0.482142857142857,0.501190476190476,0.983644859813084,0.931034482758621,1,0.0892857142857143,0.506746031746032,0.665079365079365,0.345238095238095,0.498809523809524,1.34835076427997,0.681284259984338,"X2clsQ",14.57,"ok" "Qq2k3k3v20v20m280s5",1,20,20,40,280,1680,1,1,2,0,0,280,31,25,3,3,20,1.44642857142857,1.55357142857143,20.6,42,20.25,31.075,42,20.25,880,0.509523809523809,0.249404761904762,0.501190476190476,0.491822429906542,0.258928571428571,0.931034482758621,0.962616822429907,1,0.501190476190476,0.482142857142857,0.501190476190476,0.983644859813084,0.931034482758621,1,0.0892857142857143,0.506746031746032,0.665079365079365,0.345238095238095,0.498809523809524,1.34835076427997,0.681284259984338,"quantor",187.96,"ok" "Qq2k3k3v20v20m280s5",1,20,20,40,280,1680,1,1,2,0,0,280,31,25,3,3,20,1.44642857142857,1.55357142857143,20.6,42,20.25,31.075,42,20.25,880,0.509523809523809,0.249404761904762,0.501190476190476,0.491822429906542,0.258928571428571,0.931034482758621,0.962616822429907,1,0.501190476190476,0.482142857142857,0.501190476190476,0.983644859813084,0.931034482758621,1,0.0892857142857143,0.506746031746032,0.665079365079365,0.345238095238095,0.498809523809524,1.34835076427997,0.681284259984338,"QuBE",169.97,"ok" "Qq2k3k3v20v20m280s5",1,20,20,40,280,1680,1,1,2,0,0,280,31,25,3,3,20,1.44642857142857,1.55357142857143,20.6,42,20.25,31.075,42,20.25,880,0.509523809523809,0.249404761904762,0.501190476190476,0.491822429906542,0.258928571428571,0.931034482758621,0.962616822429907,1,0.501190476190476,0.482142857142857,0.501190476190476,0.983644859813084,0.931034482758621,1,0.0892857142857143,0.506746031746032,0.665079365079365,0.345238095238095,0.498809523809524,1.34835076427997,0.681284259984338,"sKizzo",79.36,"ok" "Qq2k3k3v20v20m280s5",1,20,20,40,280,1680,1,1,2,0,0,280,31,25,3,3,20,1.44642857142857,1.55357142857143,20.6,42,20.25,31.075,42,20.25,880,0.509523809523809,0.249404761904762,0.501190476190476,0.491822429906542,0.258928571428571,0.931034482758621,0.962616822429907,1,0.501190476190476,0.482142857142857,0.501190476190476,0.983644859813084,0.931034482758621,1,0.0892857142857143,0.506746031746032,0.665079365079365,0.345238095238095,0.498809523809524,1.34835076427997,0.681284259984338,"sSolve",0.98,"ok" "Qq2k3k3v20v20m280s6",1,20,20,40,280,1680,1,1,2,0,0,280,32,36,3,3,20,1.51071428571429,1.48928571428571,21.225,42,21.15,31.875,42,21.15,887.8,0.494642857142857,0.253571428571429,0.492857142857143,0.498194945848375,0.248214285714286,1.01438848920863,1.02166064981949,1,0.492857142857143,0.503571428571429,0.492857142857143,0.996389891696751,1.01438848920863,1,0.128571428571429,0.494047619047619,0.676190476190476,0.330952380952381,0.507142857142857,1.33647058823529,0.669879518072289,"X2clsQ",19.23,"ok" "Qq2k3k3v20v20m280s6",1,20,20,40,280,1680,1,1,2,0,0,280,32,36,3,3,20,1.51071428571429,1.48928571428571,21.225,42,21.15,31.875,42,21.15,887.8,0.494642857142857,0.253571428571429,0.492857142857143,0.498194945848375,0.248214285714286,1.01438848920863,1.02166064981949,1,0.492857142857143,0.503571428571429,0.492857142857143,0.996389891696751,1.01438848920863,1,0.128571428571429,0.494047619047619,0.676190476190476,0.330952380952381,0.507142857142857,1.33647058823529,0.669879518072289,"quantor",230.27,"ok" "Qq2k3k3v20v20m280s6",1,20,20,40,280,1680,1,1,2,0,0,280,32,36,3,3,20,1.51071428571429,1.48928571428571,21.225,42,21.15,31.875,42,21.15,887.8,0.494642857142857,0.253571428571429,0.492857142857143,0.498194945848375,0.248214285714286,1.01438848920863,1.02166064981949,1,0.492857142857143,0.503571428571429,0.492857142857143,0.996389891696751,1.01438848920863,1,0.128571428571429,0.494047619047619,0.676190476190476,0.330952380952381,0.507142857142857,1.33647058823529,0.669879518072289,"QuBE",231.81,"ok" "Qq2k3k3v20v20m280s6",1,20,20,40,280,1680,1,1,2,0,0,280,32,36,3,3,20,1.51071428571429,1.48928571428571,21.225,42,21.15,31.875,42,21.15,887.8,0.494642857142857,0.253571428571429,0.492857142857143,0.498194945848375,0.248214285714286,1.01438848920863,1.02166064981949,1,0.492857142857143,0.503571428571429,0.492857142857143,0.996389891696751,1.01438848920863,1,0.128571428571429,0.494047619047619,0.676190476190476,0.330952380952381,0.507142857142857,1.33647058823529,0.669879518072289,"sKizzo",113.72,"ok" "Qq2k3k3v20v20m280s6",1,20,20,40,280,1680,1,1,2,0,0,280,32,36,3,3,20,1.51071428571429,1.48928571428571,21.225,42,21.15,31.875,42,21.15,887.8,0.494642857142857,0.253571428571429,0.492857142857143,0.498194945848375,0.248214285714286,1.01438848920863,1.02166064981949,1,0.492857142857143,0.503571428571429,0.492857142857143,0.996389891696751,1.01438848920863,1,0.128571428571429,0.494047619047619,0.676190476190476,0.330952380952381,0.507142857142857,1.33647058823529,0.669879518072289,"sSolve",1.05,"ok" "Qq2k3k3v20v20m280s7",1,20,20,40,280,1680,1,1,2,0,0,280,28,36,3,3,20,1.49642857142857,1.50357142857143,21.25,42,20.95,32.025,42,20.95,874.8,0.494047619047619,0.256547619047619,0.486904761904762,0.492771084337349,0.250595238095238,0.995249406175772,1.02409638554217,1,0.486904761904762,0.498809523809524,0.486904761904762,0.985542168674699,0.995249406175772,1,0.128571428571429,0.491666666666667,0.684126984126984,0.334126984126984,0.513095238095238,1.34582357533177,0.679580306698951,"X2clsQ",13.2,"ok" "Qq2k3k3v20v20m280s7",1,20,20,40,280,1680,1,1,2,0,0,280,28,36,3,3,20,1.49642857142857,1.50357142857143,21.25,42,20.95,32.025,42,20.95,874.8,0.494047619047619,0.256547619047619,0.486904761904762,0.492771084337349,0.250595238095238,0.995249406175772,1.02409638554217,1,0.486904761904762,0.498809523809524,0.486904761904762,0.985542168674699,0.995249406175772,1,0.128571428571429,0.491666666666667,0.684126984126984,0.334126984126984,0.513095238095238,1.34582357533177,0.679580306698951,"quantor",138.14,"ok" "Qq2k3k3v20v20m280s7",1,20,20,40,280,1680,1,1,2,0,0,280,28,36,3,3,20,1.49642857142857,1.50357142857143,21.25,42,20.95,32.025,42,20.95,874.8,0.494047619047619,0.256547619047619,0.486904761904762,0.492771084337349,0.250595238095238,0.995249406175772,1.02409638554217,1,0.486904761904762,0.498809523809524,0.486904761904762,0.985542168674699,0.995249406175772,1,0.128571428571429,0.491666666666667,0.684126984126984,0.334126984126984,0.513095238095238,1.34582357533177,0.679580306698951,"QuBE",73.1,"ok" "Qq2k3k3v20v20m280s7",1,20,20,40,280,1680,1,1,2,0,0,280,28,36,3,3,20,1.49642857142857,1.50357142857143,21.25,42,20.95,32.025,42,20.95,874.8,0.494047619047619,0.256547619047619,0.486904761904762,0.492771084337349,0.250595238095238,0.995249406175772,1.02409638554217,1,0.486904761904762,0.498809523809524,0.486904761904762,0.985542168674699,0.995249406175772,1,0.128571428571429,0.491666666666667,0.684126984126984,0.334126984126984,0.513095238095238,1.34582357533177,0.679580306698951,"sKizzo",90.53,"ok" "Qq2k3k3v20v20m280s7",1,20,20,40,280,1680,1,1,2,0,0,280,28,36,3,3,20,1.49642857142857,1.50357142857143,21.25,42,20.95,32.025,42,20.95,874.8,0.494047619047619,0.256547619047619,0.486904761904762,0.492771084337349,0.250595238095238,0.995249406175772,1.02409638554217,1,0.486904761904762,0.498809523809524,0.486904761904762,0.985542168674699,0.995249406175772,1,0.128571428571429,0.491666666666667,0.684126984126984,0.334126984126984,0.513095238095238,1.34582357533177,0.679580306698951,"sSolve",0.92,"ok" "Qq2k3k3v20v20m280s8",1,20,20,40,280,1680,1,1,2,0,0,280,27,33,3,3,20,1.53214285714286,1.46785714285714,20.925,42,21.45,31.125,42,21.45,876,0.501785714285714,0.242857142857143,0.514285714285714,0.512455516014235,0.244642857142857,1.04379562043796,0.99288256227758,1,0.514285714285714,0.510714285714286,0.514285714285714,1.02491103202847,1.04379562043796,1,0.117857142857143,0.505952380952381,0.647619047619048,0.326190476190476,0.485714285714286,1.31084337349398,0.644705882352941,"X2clsQ",20.13,"ok" "Qq2k3k3v20v20m280s8",1,20,20,40,280,1680,1,1,2,0,0,280,27,33,3,3,20,1.53214285714286,1.46785714285714,20.925,42,21.45,31.125,42,21.45,876,0.501785714285714,0.242857142857143,0.514285714285714,0.512455516014235,0.244642857142857,1.04379562043796,0.99288256227758,1,0.514285714285714,0.510714285714286,0.514285714285714,1.02491103202847,1.04379562043796,1,0.117857142857143,0.505952380952381,0.647619047619048,0.326190476190476,0.485714285714286,1.31084337349398,0.644705882352941,"quantor",178.65,"ok" "Qq2k3k3v20v20m280s8",1,20,20,40,280,1680,1,1,2,0,0,280,27,33,3,3,20,1.53214285714286,1.46785714285714,20.925,42,21.45,31.125,42,21.45,876,0.501785714285714,0.242857142857143,0.514285714285714,0.512455516014235,0.244642857142857,1.04379562043796,0.99288256227758,1,0.514285714285714,0.510714285714286,0.514285714285714,1.02491103202847,1.04379562043796,1,0.117857142857143,0.505952380952381,0.647619047619048,0.326190476190476,0.485714285714286,1.31084337349398,0.644705882352941,"QuBE",191.73,"ok" "Qq2k3k3v20v20m280s8",1,20,20,40,280,1680,1,1,2,0,0,280,27,33,3,3,20,1.53214285714286,1.46785714285714,20.925,42,21.45,31.125,42,21.45,876,0.501785714285714,0.242857142857143,0.514285714285714,0.512455516014235,0.244642857142857,1.04379562043796,0.99288256227758,1,0.514285714285714,0.510714285714286,0.514285714285714,1.02491103202847,1.04379562043796,1,0.117857142857143,0.505952380952381,0.647619047619048,0.326190476190476,0.485714285714286,1.31084337349398,0.644705882352941,"sKizzo",171.57,"ok" "Qq2k3k3v20v20m280s8",1,20,20,40,280,1680,1,1,2,0,0,280,27,33,3,3,20,1.53214285714286,1.46785714285714,20.925,42,21.45,31.125,42,21.45,876,0.501785714285714,0.242857142857143,0.514285714285714,0.512455516014235,0.244642857142857,1.04379562043796,0.99288256227758,1,0.514285714285714,0.510714285714286,0.514285714285714,1.02491103202847,1.04379562043796,1,0.117857142857143,0.505952380952381,0.647619047619048,0.326190476190476,0.485714285714286,1.31084337349398,0.644705882352941,"sSolve",1.09,"ok" "Qq2k3k3v20v20m280s9",1,20,20,40,280,1680,1,1,2,0,0,280,35,34,3,3,20,1.49642857142857,1.50357142857143,20.9,42,20.95,31.325,42,20.95,877.4,0.502380952380952,0.248214285714286,0.503571428571429,0.501184834123223,0.250595238095238,0.995249406175772,0.990521327014218,1,0.503571428571429,0.498809523809524,0.503571428571429,1.00236966824645,0.995249406175772,1,0.121428571428571,0.502777777777778,0.661904761904762,0.334126984126984,0.496428571428571,1.33120510774142,0.664561957379637,"X2clsQ",14.35,"ok" "Qq2k3k3v20v20m280s9",1,20,20,40,280,1680,1,1,2,0,0,280,35,34,3,3,20,1.49642857142857,1.50357142857143,20.9,42,20.95,31.325,42,20.95,877.4,0.502380952380952,0.248214285714286,0.503571428571429,0.501184834123223,0.250595238095238,0.995249406175772,0.990521327014218,1,0.503571428571429,0.498809523809524,0.503571428571429,1.00236966824645,0.995249406175772,1,0.121428571428571,0.502777777777778,0.661904761904762,0.334126984126984,0.496428571428571,1.33120510774142,0.664561957379637,"quantor",365,"ok" "Qq2k3k3v20v20m280s9",1,20,20,40,280,1680,1,1,2,0,0,280,35,34,3,3,20,1.49642857142857,1.50357142857143,20.9,42,20.95,31.325,42,20.95,877.4,0.502380952380952,0.248214285714286,0.503571428571429,0.501184834123223,0.250595238095238,0.995249406175772,0.990521327014218,1,0.503571428571429,0.498809523809524,0.503571428571429,1.00236966824645,0.995249406175772,1,0.121428571428571,0.502777777777778,0.661904761904762,0.334126984126984,0.496428571428571,1.33120510774142,0.664561957379637,"QuBE",208.83,"ok" "Qq2k3k3v20v20m280s9",1,20,20,40,280,1680,1,1,2,0,0,280,35,34,3,3,20,1.49642857142857,1.50357142857143,20.9,42,20.95,31.325,42,20.95,877.4,0.502380952380952,0.248214285714286,0.503571428571429,0.501184834123223,0.250595238095238,0.995249406175772,0.990521327014218,1,0.503571428571429,0.498809523809524,0.503571428571429,1.00236966824645,0.995249406175772,1,0.121428571428571,0.502777777777778,0.661904761904762,0.334126984126984,0.496428571428571,1.33120510774142,0.664561957379637,"sKizzo",119.18,"ok" "Qq2k3k3v20v20m280s9",1,20,20,40,280,1680,1,1,2,0,0,280,35,34,3,3,20,1.49642857142857,1.50357142857143,20.9,42,20.95,31.325,42,20.95,877.4,0.502380952380952,0.248214285714286,0.503571428571429,0.501184834123223,0.250595238095238,0.995249406175772,0.990521327014218,1,0.503571428571429,0.498809523809524,0.503571428571429,1.00236966824645,0.995249406175772,1,0.121428571428571,0.502777777777778,0.661904761904762,0.334126984126984,0.496428571428571,1.33120510774142,0.664561957379637,"sSolve",1.31,"ok" "Qq2k3k3v20v20m320s1",1,20,20,40,320,1920,1,1,2,0,0,320,34,38,3,3,20,1.478125,1.521875,24.425,48,23.65,37.025,48,23.65,1155.6,0.491145833333333,0.2625,0.475,0.48356309650053,0.253645833333333,0.971252566735113,1.03605514316013,1,0.475,0.492708333333333,0.475,0.967126193001061,0.971252566735113,1,0.11875,0.485763888888889,0.7,0.338194444444444,0.525,1.36124240378123,0.696211579699786,"X2clsQ",26.03,"ok" "Qq2k3k3v20v20m320s1",1,20,20,40,320,1920,1,1,2,0,0,320,34,38,3,3,20,1.478125,1.521875,24.425,48,23.65,37.025,48,23.65,1155.6,0.491145833333333,0.2625,0.475,0.48356309650053,0.253645833333333,0.971252566735113,1.03605514316013,1,0.475,0.492708333333333,0.475,0.967126193001061,0.971252566735113,1,0.11875,0.485763888888889,0.7,0.338194444444444,0.525,1.36124240378123,0.696211579699786,"quantor",557.04,"ok" "Qq2k3k3v20v20m320s1",1,20,20,40,320,1920,1,1,2,0,0,320,34,38,3,3,20,1.478125,1.521875,24.425,48,23.65,37.025,48,23.65,1155.6,0.491145833333333,0.2625,0.475,0.48356309650053,0.253645833333333,0.971252566735113,1.03605514316013,1,0.475,0.492708333333333,0.475,0.967126193001061,0.971252566735113,1,0.11875,0.485763888888889,0.7,0.338194444444444,0.525,1.36124240378123,0.696211579699786,"QuBE",280.97,"ok" "Qq2k3k3v20v20m320s1",1,20,20,40,320,1920,1,1,2,0,0,320,34,38,3,3,20,1.478125,1.521875,24.425,48,23.65,37.025,48,23.65,1155.6,0.491145833333333,0.2625,0.475,0.48356309650053,0.253645833333333,0.971252566735113,1.03605514316013,1,0.475,0.492708333333333,0.475,0.967126193001061,0.971252566735113,1,0.11875,0.485763888888889,0.7,0.338194444444444,0.525,1.36124240378123,0.696211579699786,"sKizzo",269.65,"ok" "Qq2k3k3v20v20m320s1",1,20,20,40,320,1920,1,1,2,0,0,320,34,38,3,3,20,1.478125,1.521875,24.425,48,23.65,37.025,48,23.65,1155.6,0.491145833333333,0.2625,0.475,0.48356309650053,0.253645833333333,0.971252566735113,1.03605514316013,1,0.475,0.492708333333333,0.475,0.967126193001061,0.971252566735113,1,0.11875,0.485763888888889,0.7,0.338194444444444,0.525,1.36124240378123,0.696211579699786,"sSolve",1.25,"ok" "Qq2k3k3v20v20m320s10",1,20,20,40,320,1920,1,1,2,0,0,320,34,30,3,3,20,1.49375,1.50625,23.8,48,23.9,35.65,48,23.9,1143.7,0.504166666666667,0.246875,0.50625,0.502066115702479,0.251041666666667,0.991701244813278,0.983471074380165,1,0.50625,0.497916666666667,0.50625,1.00413223140496,0.991701244813278,1,0.09375,0.504861111111111,0.658333333333333,0.334722222222222,0.49375,1.32959326788219,0.662998624484182,"X2clsQ",27.5,"ok" "Qq2k3k3v20v20m320s10",1,20,20,40,320,1920,1,1,2,0,0,320,34,30,3,3,20,1.49375,1.50625,23.8,48,23.9,35.65,48,23.9,1143.7,0.504166666666667,0.246875,0.50625,0.502066115702479,0.251041666666667,0.991701244813278,0.983471074380165,1,0.50625,0.497916666666667,0.50625,1.00413223140496,0.991701244813278,1,0.09375,0.504861111111111,0.658333333333333,0.334722222222222,0.49375,1.32959326788219,0.662998624484182,"quantor",617.34,"ok" "Qq2k3k3v20v20m320s10",1,20,20,40,320,1920,1,1,2,0,0,320,34,30,3,3,20,1.49375,1.50625,23.8,48,23.9,35.65,48,23.9,1143.7,0.504166666666667,0.246875,0.50625,0.502066115702479,0.251041666666667,0.991701244813278,0.983471074380165,1,0.50625,0.497916666666667,0.50625,1.00413223140496,0.991701244813278,1,0.09375,0.504861111111111,0.658333333333333,0.334722222222222,0.49375,1.32959326788219,0.662998624484182,"QuBE",455.44,"ok" "Qq2k3k3v20v20m320s10",1,20,20,40,320,1920,1,1,2,0,0,320,34,30,3,3,20,1.49375,1.50625,23.8,48,23.9,35.65,48,23.9,1143.7,0.504166666666667,0.246875,0.50625,0.502066115702479,0.251041666666667,0.991701244813278,0.983471074380165,1,0.50625,0.497916666666667,0.50625,1.00413223140496,0.991701244813278,1,0.09375,0.504861111111111,0.658333333333333,0.334722222222222,0.49375,1.32959326788219,0.662998624484182,"sKizzo",131.57,"ok" "Qq2k3k3v20v20m320s10",1,20,20,40,320,1920,1,1,2,0,0,320,34,30,3,3,20,1.49375,1.50625,23.8,48,23.9,35.65,48,23.9,1143.7,0.504166666666667,0.246875,0.50625,0.502066115702479,0.251041666666667,0.991701244813278,0.983471074380165,1,0.50625,0.497916666666667,0.50625,1.00413223140496,0.991701244813278,1,0.09375,0.504861111111111,0.658333333333333,0.334722222222222,0.49375,1.32959326788219,0.662998624484182,"sSolve",1.24,"ok" "Qq2k3k3v20v20m320s2",1,20,20,40,320,1920,1,1,2,0,0,320,36,35,3,3,20,1.4875,1.5125,24.2,48,23.8,36.5,48,23.8,1162.2,0.495833333333333,0.25625,0.4875,0.491596638655462,0.252083333333333,0.983471074380165,1.01680672268908,1,0.4875,0.495833333333333,0.4875,0.983193277310924,0.983471074380165,1,0.109375,0.493055555555556,0.683333333333333,0.336111111111111,0.5125,1.34794520547945,0.68169014084507,"X2clsQ",2.29,"ok" "Qq2k3k3v20v20m320s2",1,20,20,40,320,1920,1,1,2,0,0,320,36,35,3,3,20,1.4875,1.5125,24.2,48,23.8,36.5,48,23.8,1162.2,0.495833333333333,0.25625,0.4875,0.491596638655462,0.252083333333333,0.983471074380165,1.01680672268908,1,0.4875,0.495833333333333,0.4875,0.983193277310924,0.983471074380165,1,0.109375,0.493055555555556,0.683333333333333,0.336111111111111,0.5125,1.34794520547945,0.68169014084507,"quantor",426.13,"ok" "Qq2k3k3v20v20m320s2",1,20,20,40,320,1920,1,1,2,0,0,320,36,35,3,3,20,1.4875,1.5125,24.2,48,23.8,36.5,48,23.8,1162.2,0.495833333333333,0.25625,0.4875,0.491596638655462,0.252083333333333,0.983471074380165,1.01680672268908,1,0.4875,0.495833333333333,0.4875,0.983193277310924,0.983471074380165,1,0.109375,0.493055555555556,0.683333333333333,0.336111111111111,0.5125,1.34794520547945,0.68169014084507,"QuBE",149.93,"ok" "Qq2k3k3v20v20m320s2",1,20,20,40,320,1920,1,1,2,0,0,320,36,35,3,3,20,1.4875,1.5125,24.2,48,23.8,36.5,48,23.8,1162.2,0.495833333333333,0.25625,0.4875,0.491596638655462,0.252083333333333,0.983471074380165,1.01680672268908,1,0.4875,0.495833333333333,0.4875,0.983193277310924,0.983471074380165,1,0.109375,0.493055555555556,0.683333333333333,0.336111111111111,0.5125,1.34794520547945,0.68169014084507,"sKizzo",196.07,"ok" "Qq2k3k3v20v20m320s2",1,20,20,40,320,1920,1,1,2,0,0,320,36,35,3,3,20,1.4875,1.5125,24.2,48,23.8,36.5,48,23.8,1162.2,0.495833333333333,0.25625,0.4875,0.491596638655462,0.252083333333333,0.983471074380165,1.01680672268908,1,0.4875,0.495833333333333,0.4875,0.983193277310924,0.983471074380165,1,0.109375,0.493055555555556,0.683333333333333,0.336111111111111,0.5125,1.34794520547945,0.68169014084507,"sSolve",0.78,"ok" "Qq2k3k3v20v20m320s3",1,20,20,40,320,1920,1,1,2,0,0,320,40,43,3,3,20,1.48125,1.51875,24.425,48,23.7,37,48,23.7,1152.8,0.491145833333333,0.261979166666667,0.476041666666667,0.484623541887593,0.253125,0.975308641975309,1.03605514316013,1,0.476041666666667,0.49375,0.476041666666667,0.969247083775186,0.975308641975309,1,0.134375,0.486111111111111,0.698611111111111,0.3375,0.523958333333333,1.35945945945946,0.694285714285714,"X2clsQ",17.99,"ok" "Qq2k3k3v20v20m320s3",1,20,20,40,320,1920,1,1,2,0,0,320,40,43,3,3,20,1.48125,1.51875,24.425,48,23.7,37,48,23.7,1152.8,0.491145833333333,0.261979166666667,0.476041666666667,0.484623541887593,0.253125,0.975308641975309,1.03605514316013,1,0.476041666666667,0.49375,0.476041666666667,0.969247083775186,0.975308641975309,1,0.134375,0.486111111111111,0.698611111111111,0.3375,0.523958333333333,1.35945945945946,0.694285714285714,"quantor",3600,"memout" "Qq2k3k3v20v20m320s3",1,20,20,40,320,1920,1,1,2,0,0,320,40,43,3,3,20,1.48125,1.51875,24.425,48,23.7,37,48,23.7,1152.8,0.491145833333333,0.261979166666667,0.476041666666667,0.484623541887593,0.253125,0.975308641975309,1.03605514316013,1,0.476041666666667,0.49375,0.476041666666667,0.969247083775186,0.975308641975309,1,0.134375,0.486111111111111,0.698611111111111,0.3375,0.523958333333333,1.35945945945946,0.694285714285714,"QuBE",309.09,"ok" "Qq2k3k3v20v20m320s3",1,20,20,40,320,1920,1,1,2,0,0,320,40,43,3,3,20,1.48125,1.51875,24.425,48,23.7,37,48,23.7,1152.8,0.491145833333333,0.261979166666667,0.476041666666667,0.484623541887593,0.253125,0.975308641975309,1.03605514316013,1,0.476041666666667,0.49375,0.476041666666667,0.969247083775186,0.975308641975309,1,0.134375,0.486111111111111,0.698611111111111,0.3375,0.523958333333333,1.35945945945946,0.694285714285714,"sKizzo",178.14,"ok" "Qq2k3k3v20v20m320s3",1,20,20,40,320,1920,1,1,2,0,0,320,40,43,3,3,20,1.48125,1.51875,24.425,48,23.7,37,48,23.7,1152.8,0.491145833333333,0.261979166666667,0.476041666666667,0.484623541887593,0.253125,0.975308641975309,1.03605514316013,1,0.476041666666667,0.49375,0.476041666666667,0.969247083775186,0.975308641975309,1,0.134375,0.486111111111111,0.698611111111111,0.3375,0.523958333333333,1.35945945945946,0.694285714285714,"sSolve",1.12,"ok" "Qq2k3k3v20v20m320s4",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.50625,1.49375,23.35,48,24.1,34.65,48,24.1,1167.9,0.513541666666667,0.235416666666667,0.529166666666667,0.515212981744422,0.248958333333333,1.00836820083682,0.947261663286004,1,0.529166666666667,0.502083333333333,0.529166666666667,1.03042596348884,1.00836820083682,1,0.1125,0.51875,0.627777777777778,0.331944444444444,0.470833333333333,1.3044733044733,0.639892904953146,"X2clsQ",5.76,"ok" "Qq2k3k3v20v20m320s4",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.50625,1.49375,23.35,48,24.1,34.65,48,24.1,1167.9,0.513541666666667,0.235416666666667,0.529166666666667,0.515212981744422,0.248958333333333,1.00836820083682,0.947261663286004,1,0.529166666666667,0.502083333333333,0.529166666666667,1.03042596348884,1.00836820083682,1,0.1125,0.51875,0.627777777777778,0.331944444444444,0.470833333333333,1.3044733044733,0.639892904953146,"quantor",3600,"memout" "Qq2k3k3v20v20m320s4",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.50625,1.49375,23.35,48,24.1,34.65,48,24.1,1167.9,0.513541666666667,0.235416666666667,0.529166666666667,0.515212981744422,0.248958333333333,1.00836820083682,0.947261663286004,1,0.529166666666667,0.502083333333333,0.529166666666667,1.03042596348884,1.00836820083682,1,0.1125,0.51875,0.627777777777778,0.331944444444444,0.470833333333333,1.3044733044733,0.639892904953146,"QuBE",7.32,"ok" "Qq2k3k3v20v20m320s4",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.50625,1.49375,23.35,48,24.1,34.65,48,24.1,1167.9,0.513541666666667,0.235416666666667,0.529166666666667,0.515212981744422,0.248958333333333,1.00836820083682,0.947261663286004,1,0.529166666666667,0.502083333333333,0.529166666666667,1.03042596348884,1.00836820083682,1,0.1125,0.51875,0.627777777777778,0.331944444444444,0.470833333333333,1.3044733044733,0.639892904953146,"sKizzo",235.12,"ok" "Qq2k3k3v20v20m320s4",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.50625,1.49375,23.35,48,24.1,34.65,48,24.1,1167.9,0.513541666666667,0.235416666666667,0.529166666666667,0.515212981744422,0.248958333333333,1.00836820083682,0.947261663286004,1,0.529166666666667,0.502083333333333,0.529166666666667,1.03042596348884,1.00836820083682,1,0.1125,0.51875,0.627777777777778,0.331944444444444,0.470833333333333,1.3044733044733,0.639892904953146,"sSolve",0.76,"ok" "Qq2k3k3v20v20m320s5",1,20,20,40,320,1920,1,1,2,0,0,320,37,30,3,3,20,1.478125,1.521875,23.6,48,23.65,35.375,48,23.65,1151.8,0.508333333333333,0.2453125,0.509375,0.501024590163934,0.253645833333333,0.971252566735113,0.967213114754098,1,0.509375,0.492708333333333,0.509375,1.00204918032787,0.971252566735113,1,0.09375,0.508680555555556,0.654166666666667,0.338194444444444,0.490625,1.33144876325088,0.664846416382253,"X2clsQ",26.21,"ok" "Qq2k3k3v20v20m320s5",1,20,20,40,320,1920,1,1,2,0,0,320,37,30,3,3,20,1.478125,1.521875,23.6,48,23.65,35.375,48,23.65,1151.8,0.508333333333333,0.2453125,0.509375,0.501024590163934,0.253645833333333,0.971252566735113,0.967213114754098,1,0.509375,0.492708333333333,0.509375,1.00204918032787,0.971252566735113,1,0.09375,0.508680555555556,0.654166666666667,0.338194444444444,0.490625,1.33144876325088,0.664846416382253,"quantor",3600,"memout" "Qq2k3k3v20v20m320s5",1,20,20,40,320,1920,1,1,2,0,0,320,37,30,3,3,20,1.478125,1.521875,23.6,48,23.65,35.375,48,23.65,1151.8,0.508333333333333,0.2453125,0.509375,0.501024590163934,0.253645833333333,0.971252566735113,0.967213114754098,1,0.509375,0.492708333333333,0.509375,1.00204918032787,0.971252566735113,1,0.09375,0.508680555555556,0.654166666666667,0.338194444444444,0.490625,1.33144876325088,0.664846416382253,"QuBE",678.01,"ok" "Qq2k3k3v20v20m320s5",1,20,20,40,320,1920,1,1,2,0,0,320,37,30,3,3,20,1.478125,1.521875,23.6,48,23.65,35.375,48,23.65,1151.8,0.508333333333333,0.2453125,0.509375,0.501024590163934,0.253645833333333,0.971252566735113,0.967213114754098,1,0.509375,0.492708333333333,0.509375,1.00204918032787,0.971252566735113,1,0.09375,0.508680555555556,0.654166666666667,0.338194444444444,0.490625,1.33144876325088,0.664846416382253,"sKizzo",205.73,"ok" "Qq2k3k3v20v20m320s5",1,20,20,40,320,1920,1,1,2,0,0,320,37,30,3,3,20,1.478125,1.521875,23.6,48,23.65,35.375,48,23.65,1151.8,0.508333333333333,0.2453125,0.509375,0.501024590163934,0.253645833333333,0.971252566735113,0.967213114754098,1,0.509375,0.492708333333333,0.509375,1.00204918032787,0.971252566735113,1,0.09375,0.508680555555556,0.654166666666667,0.338194444444444,0.490625,1.33144876325088,0.664846416382253,"sSolve",1.19,"ok" "Qq2k3k3v20v20m320s6",1,20,20,40,320,1920,1,1,2,0,0,320,37,41,3,3,20,1.515625,1.484375,24.125,48,24.25,36.125,48,24.25,1166.5,0.497395833333333,0.25,0.5,0.50261780104712,0.247395833333333,1.02105263157895,1.01047120418848,1,0.5,0.505208333333333,0.5,1.00523560209424,1.02105263157895,1,0.128125,0.498263888888889,0.666666666666667,0.329861111111111,0.5,1.32871972318339,0.662020905923345,"X2clsQ",14.64,"ok" "Qq2k3k3v20v20m320s6",1,20,20,40,320,1920,1,1,2,0,0,320,37,41,3,3,20,1.515625,1.484375,24.125,48,24.25,36.125,48,24.25,1166.5,0.497395833333333,0.25,0.5,0.50261780104712,0.247395833333333,1.02105263157895,1.01047120418848,1,0.5,0.505208333333333,0.5,1.00523560209424,1.02105263157895,1,0.128125,0.498263888888889,0.666666666666667,0.329861111111111,0.5,1.32871972318339,0.662020905923345,"quantor",3600,"memout" "Qq2k3k3v20v20m320s6",1,20,20,40,320,1920,1,1,2,0,0,320,37,41,3,3,20,1.515625,1.484375,24.125,48,24.25,36.125,48,24.25,1166.5,0.497395833333333,0.25,0.5,0.50261780104712,0.247395833333333,1.02105263157895,1.01047120418848,1,0.5,0.505208333333333,0.5,1.00523560209424,1.02105263157895,1,0.128125,0.498263888888889,0.666666666666667,0.329861111111111,0.5,1.32871972318339,0.662020905923345,"QuBE",13.31,"ok" "Qq2k3k3v20v20m320s6",1,20,20,40,320,1920,1,1,2,0,0,320,37,41,3,3,20,1.515625,1.484375,24.125,48,24.25,36.125,48,24.25,1166.5,0.497395833333333,0.25,0.5,0.50261780104712,0.247395833333333,1.02105263157895,1.01047120418848,1,0.5,0.505208333333333,0.5,1.00523560209424,1.02105263157895,1,0.128125,0.498263888888889,0.666666666666667,0.329861111111111,0.5,1.32871972318339,0.662020905923345,"sKizzo",182.28,"ok" "Qq2k3k3v20v20m320s6",1,20,20,40,320,1920,1,1,2,0,0,320,37,41,3,3,20,1.515625,1.484375,24.125,48,24.25,36.125,48,24.25,1166.5,0.497395833333333,0.25,0.5,0.50261780104712,0.247395833333333,1.02105263157895,1.01047120418848,1,0.5,0.505208333333333,0.5,1.00523560209424,1.02105263157895,1,0.128125,0.498263888888889,0.666666666666667,0.329861111111111,0.5,1.32871972318339,0.662020905923345,"sSolve",0.99,"ok" "Qq2k3k3v20v20m320s7",1,20,20,40,320,1920,1,1,2,0,0,320,33,41,3,3,20,1.50625,1.49375,24.425,48,24.1,36.8,48,24.1,1148.6,0.491145833333333,0.2578125,0.484375,0.493107104984093,0.248958333333333,1.00836820083682,1.03605514316013,1,0.484375,0.502083333333333,0.484375,0.986214209968187,1.00836820083682,1,0.128125,0.488888888888889,0.6875,0.331944444444444,0.515625,1.34510869565217,0.678977272727273,"X2clsQ",15.37,"ok" "Qq2k3k3v20v20m320s7",1,20,20,40,320,1920,1,1,2,0,0,320,33,41,3,3,20,1.50625,1.49375,24.425,48,24.1,36.8,48,24.1,1148.6,0.491145833333333,0.2578125,0.484375,0.493107104984093,0.248958333333333,1.00836820083682,1.03605514316013,1,0.484375,0.502083333333333,0.484375,0.986214209968187,1.00836820083682,1,0.128125,0.488888888888889,0.6875,0.331944444444444,0.515625,1.34510869565217,0.678977272727273,"quantor",261.67,"ok" "Qq2k3k3v20v20m320s7",1,20,20,40,320,1920,1,1,2,0,0,320,33,41,3,3,20,1.50625,1.49375,24.425,48,24.1,36.8,48,24.1,1148.6,0.491145833333333,0.2578125,0.484375,0.493107104984093,0.248958333333333,1.00836820083682,1.03605514316013,1,0.484375,0.502083333333333,0.484375,0.986214209968187,1.00836820083682,1,0.128125,0.488888888888889,0.6875,0.331944444444444,0.515625,1.34510869565217,0.678977272727273,"QuBE",355.14,"ok" "Qq2k3k3v20v20m320s7",1,20,20,40,320,1920,1,1,2,0,0,320,33,41,3,3,20,1.50625,1.49375,24.425,48,24.1,36.8,48,24.1,1148.6,0.491145833333333,0.2578125,0.484375,0.493107104984093,0.248958333333333,1.00836820083682,1.03605514316013,1,0.484375,0.502083333333333,0.484375,0.986214209968187,1.00836820083682,1,0.128125,0.488888888888889,0.6875,0.331944444444444,0.515625,1.34510869565217,0.678977272727273,"sKizzo",182.84,"ok" "Qq2k3k3v20v20m320s7",1,20,20,40,320,1920,1,1,2,0,0,320,33,41,3,3,20,1.50625,1.49375,24.425,48,24.1,36.8,48,24.1,1148.6,0.491145833333333,0.2578125,0.484375,0.493107104984093,0.248958333333333,1.00836820083682,1.03605514316013,1,0.484375,0.502083333333333,0.484375,0.986214209968187,1.00836820083682,1,0.128125,0.488888888888889,0.6875,0.331944444444444,0.515625,1.34510869565217,0.678977272727273,"sSolve",1.24,"ok" "Qq2k3k3v20v20m320s8",1,20,20,40,320,1920,1,1,2,0,0,320,31,42,3,3,20,1.540625,1.459375,24.075,48,24.65,35.825,48,24.65,1138.4,0.4984375,0.244791666666667,0.510416666666667,0.512016718913271,0.243229166666667,1.05567451820128,1.00626959247649,1,0.510416666666667,0.513541666666667,0.510416666666667,1.02403343782654,1.05567451820128,1,0.13125,0.502430555555555,0.652777777777778,0.324305555555556,0.489583333333333,1.3119330076762,0.645473393227367,"X2clsQ",14.58,"ok" "Qq2k3k3v20v20m320s8",1,20,20,40,320,1920,1,1,2,0,0,320,31,42,3,3,20,1.540625,1.459375,24.075,48,24.65,35.825,48,24.65,1138.4,0.4984375,0.244791666666667,0.510416666666667,0.512016718913271,0.243229166666667,1.05567451820128,1.00626959247649,1,0.510416666666667,0.513541666666667,0.510416666666667,1.02403343782654,1.05567451820128,1,0.13125,0.502430555555555,0.652777777777778,0.324305555555556,0.489583333333333,1.3119330076762,0.645473393227367,"quantor",550.31,"ok" "Qq2k3k3v20v20m320s8",1,20,20,40,320,1920,1,1,2,0,0,320,31,42,3,3,20,1.540625,1.459375,24.075,48,24.65,35.825,48,24.65,1138.4,0.4984375,0.244791666666667,0.510416666666667,0.512016718913271,0.243229166666667,1.05567451820128,1.00626959247649,1,0.510416666666667,0.513541666666667,0.510416666666667,1.02403343782654,1.05567451820128,1,0.13125,0.502430555555555,0.652777777777778,0.324305555555556,0.489583333333333,1.3119330076762,0.645473393227367,"QuBE",79.92,"ok" "Qq2k3k3v20v20m320s8",1,20,20,40,320,1920,1,1,2,0,0,320,31,42,3,3,20,1.540625,1.459375,24.075,48,24.65,35.825,48,24.65,1138.4,0.4984375,0.244791666666667,0.510416666666667,0.512016718913271,0.243229166666667,1.05567451820128,1.00626959247649,1,0.510416666666667,0.513541666666667,0.510416666666667,1.02403343782654,1.05567451820128,1,0.13125,0.502430555555555,0.652777777777778,0.324305555555556,0.489583333333333,1.3119330076762,0.645473393227367,"sKizzo",278.66,"ok" "Qq2k3k3v20v20m320s8",1,20,20,40,320,1920,1,1,2,0,0,320,31,42,3,3,20,1.540625,1.459375,24.075,48,24.65,35.825,48,24.65,1138.4,0.4984375,0.244791666666667,0.510416666666667,0.512016718913271,0.243229166666667,1.05567451820128,1.00626959247649,1,0.510416666666667,0.513541666666667,0.510416666666667,1.02403343782654,1.05567451820128,1,0.13125,0.502430555555555,0.652777777777778,0.324305555555556,0.489583333333333,1.3119330076762,0.645473393227367,"sSolve",1.26,"ok" "Qq2k3k3v20v20m320s9",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.475,1.525,23.8,48,23.6,35.8,48,23.6,1148.5,0.504166666666667,0.25,0.5,0.495867768595041,0.254166666666667,0.967213114754098,0.983471074380165,1,0.5,0.491666666666667,0.5,0.991735537190083,0.967213114754098,1,0.1125,0.502777777777778,0.666666666666667,0.338888888888889,0.5,1.34078212290503,0.674033149171271,"X2clsQ",8.11,"ok" "Qq2k3k3v20v20m320s9",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.475,1.525,23.8,48,23.6,35.8,48,23.6,1148.5,0.504166666666667,0.25,0.5,0.495867768595041,0.254166666666667,0.967213114754098,0.983471074380165,1,0.5,0.491666666666667,0.5,0.991735537190083,0.967213114754098,1,0.1125,0.502777777777778,0.666666666666667,0.338888888888889,0.5,1.34078212290503,0.674033149171271,"quantor",3600,"memout" "Qq2k3k3v20v20m320s9",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.475,1.525,23.8,48,23.6,35.8,48,23.6,1148.5,0.504166666666667,0.25,0.5,0.495867768595041,0.254166666666667,0.967213114754098,0.983471074380165,1,0.5,0.491666666666667,0.5,0.991735537190083,0.967213114754098,1,0.1125,0.502777777777778,0.666666666666667,0.338888888888889,0.5,1.34078212290503,0.674033149171271,"QuBE",55.18,"ok" "Qq2k3k3v20v20m320s9",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.475,1.525,23.8,48,23.6,35.8,48,23.6,1148.5,0.504166666666667,0.25,0.5,0.495867768595041,0.254166666666667,0.967213114754098,0.983471074380165,1,0.5,0.491666666666667,0.5,0.991735537190083,0.967213114754098,1,0.1125,0.502777777777778,0.666666666666667,0.338888888888889,0.5,1.34078212290503,0.674033149171271,"sKizzo",272.68,"ok" "Qq2k3k3v20v20m320s9",1,20,20,40,320,1920,1,1,2,0,0,320,38,36,3,3,20,1.475,1.525,23.8,48,23.6,35.8,48,23.6,1148.5,0.504166666666667,0.25,0.5,0.495867768595041,0.254166666666667,0.967213114754098,0.983471074380165,1,0.5,0.491666666666667,0.5,0.991735537190083,0.967213114754098,1,0.1125,0.502777777777778,0.666666666666667,0.338888888888889,0.5,1.34078212290503,0.674033149171271,"sSolve",0.78,"ok" "Qq2k3k3v20v20m360s1",1,20,20,40,360,2160,1,1,2,0,0,360,37,40,3,3,20,1.46388888888889,1.53611111111111,27.175,54,26.35,41.175,54,26.35,1468.3,0.496759259259259,0.259259259259259,0.481481481481481,0.484622553588071,0.256018518518519,0.952983725135624,1.01304753028891,1,0.481481481481481,0.487962962962963,0.481481481481481,0.969245107176142,0.952983725135624,1,0.111111111111111,0.491666666666667,0.691358024691358,0.341358024691358,0.518518518518518,1.36004857316333,0.69428750784683,"X2clsQ",0.35,"ok" "Qq2k3k3v20v20m360s1",1,20,20,40,360,2160,1,1,2,0,0,360,37,40,3,3,20,1.46388888888889,1.53611111111111,27.175,54,26.35,41.175,54,26.35,1468.3,0.496759259259259,0.259259259259259,0.481481481481481,0.484622553588071,0.256018518518519,0.952983725135624,1.01304753028891,1,0.481481481481481,0.487962962962963,0.481481481481481,0.969245107176142,0.952983725135624,1,0.111111111111111,0.491666666666667,0.691358024691358,0.341358024691358,0.518518518518518,1.36004857316333,0.69428750784683,"quantor",3600,"memout" "Qq2k3k3v20v20m360s1",1,20,20,40,360,2160,1,1,2,0,0,360,37,40,3,3,20,1.46388888888889,1.53611111111111,27.175,54,26.35,41.175,54,26.35,1468.3,0.496759259259259,0.259259259259259,0.481481481481481,0.484622553588071,0.256018518518519,0.952983725135624,1.01304753028891,1,0.481481481481481,0.487962962962963,0.481481481481481,0.969245107176142,0.952983725135624,1,0.111111111111111,0.491666666666667,0.691358024691358,0.341358024691358,0.518518518518518,1.36004857316333,0.69428750784683,"QuBE",3.49,"ok" "Qq2k3k3v20v20m360s1",1,20,20,40,360,2160,1,1,2,0,0,360,37,40,3,3,20,1.46388888888889,1.53611111111111,27.175,54,26.35,41.175,54,26.35,1468.3,0.496759259259259,0.259259259259259,0.481481481481481,0.484622553588071,0.256018518518519,0.952983725135624,1.01304753028891,1,0.481481481481481,0.487962962962963,0.481481481481481,0.969245107176142,0.952983725135624,1,0.111111111111111,0.491666666666667,0.691358024691358,0.341358024691358,0.518518518518518,1.36004857316333,0.69428750784683,"sKizzo",201.05,"ok" "Qq2k3k3v20v20m360s1",1,20,20,40,360,2160,1,1,2,0,0,360,37,40,3,3,20,1.46388888888889,1.53611111111111,27.175,54,26.35,41.175,54,26.35,1468.3,0.496759259259259,0.259259259259259,0.481481481481481,0.484622553588071,0.256018518518519,0.952983725135624,1.01304753028891,1,0.481481481481481,0.487962962962963,0.481481481481481,0.969245107176142,0.952983725135624,1,0.111111111111111,0.491666666666667,0.691358024691358,0.341358024691358,0.518518518518518,1.36004857316333,0.69428750784683,"sSolve",0.92,"ok" "Qq2k3k3v20v20m360s10",1,20,20,40,360,2160,1,1,2,0,0,360,40,37,3,3,20,1.49166666666667,1.50833333333333,26.925,54,26.85,40.425,54,26.85,1449.4,0.501388888888889,0.25,0.5,0.498614958448753,0.251388888888889,0.988950276243094,0.994459833795014,1,0.5,0.497222222222222,0.5,0.997229916897507,0.988950276243094,1,0.102777777777778,0.500925925925926,0.666666666666667,0.335185185185185,0.5,1.33580705009276,0.66913123844732,"X2clsQ",5.99,"ok" "Qq2k3k3v20v20m360s10",1,20,20,40,360,2160,1,1,2,0,0,360,40,37,3,3,20,1.49166666666667,1.50833333333333,26.925,54,26.85,40.425,54,26.85,1449.4,0.501388888888889,0.25,0.5,0.498614958448753,0.251388888888889,0.988950276243094,0.994459833795014,1,0.5,0.497222222222222,0.5,0.997229916897507,0.988950276243094,1,0.102777777777778,0.500925925925926,0.666666666666667,0.335185185185185,0.5,1.33580705009276,0.66913123844732,"quantor",3600,"memout" "Qq2k3k3v20v20m360s10",1,20,20,40,360,2160,1,1,2,0,0,360,40,37,3,3,20,1.49166666666667,1.50833333333333,26.925,54,26.85,40.425,54,26.85,1449.4,0.501388888888889,0.25,0.5,0.498614958448753,0.251388888888889,0.988950276243094,0.994459833795014,1,0.5,0.497222222222222,0.5,0.997229916897507,0.988950276243094,1,0.102777777777778,0.500925925925926,0.666666666666667,0.335185185185185,0.5,1.33580705009276,0.66913123844732,"QuBE",3.96,"ok" "Qq2k3k3v20v20m360s10",1,20,20,40,360,2160,1,1,2,0,0,360,40,37,3,3,20,1.49166666666667,1.50833333333333,26.925,54,26.85,40.425,54,26.85,1449.4,0.501388888888889,0.25,0.5,0.498614958448753,0.251388888888889,0.988950276243094,0.994459833795014,1,0.5,0.497222222222222,0.5,0.997229916897507,0.988950276243094,1,0.102777777777778,0.500925925925926,0.666666666666667,0.335185185185185,0.5,1.33580705009276,0.66913123844732,"sKizzo",288.76,"ok" "Qq2k3k3v20v20m360s10",1,20,20,40,360,2160,1,1,2,0,0,360,40,37,3,3,20,1.49166666666667,1.50833333333333,26.925,54,26.85,40.425,54,26.85,1449.4,0.501388888888889,0.25,0.5,0.498614958448753,0.251388888888889,0.988950276243094,0.994459833795014,1,0.5,0.497222222222222,0.5,0.997229916897507,0.988950276243094,1,0.102777777777778,0.500925925925926,0.666666666666667,0.335185185185185,0.5,1.33580705009276,0.66913123844732,"sSolve",0.84,"ok" "Qq2k3k3v20v20m360s2",1,20,20,40,360,2160,1,1,2,0,0,360,42,41,3,3,20,1.51388888888889,1.48611111111111,27.3,54,27.25,40.975,54,27.25,1464.8,0.494444444444444,0.253240740740741,0.493518518518519,0.499063670411985,0.247685185185185,1.01869158878505,1.02247191011236,1,0.493518518518518,0.50462962962963,0.493518518518518,0.99812734082397,1.01869158878505,1,0.113888888888889,0.494135802469136,0.675308641975309,0.330246913580247,0.506481481481482,1.33496034167175,0.668332292317302,"X2clsQ",1.8,"ok" "Qq2k3k3v20v20m360s2",1,20,20,40,360,2160,1,1,2,0,0,360,42,41,3,3,20,1.51388888888889,1.48611111111111,27.3,54,27.25,40.975,54,27.25,1464.8,0.494444444444444,0.253240740740741,0.493518518518519,0.499063670411985,0.247685185185185,1.01869158878505,1.02247191011236,1,0.493518518518518,0.50462962962963,0.493518518518518,0.99812734082397,1.01869158878505,1,0.113888888888889,0.494135802469136,0.675308641975309,0.330246913580247,0.506481481481482,1.33496034167175,0.668332292317302,"quantor",3600,"memout" "Qq2k3k3v20v20m360s2",1,20,20,40,360,2160,1,1,2,0,0,360,42,41,3,3,20,1.51388888888889,1.48611111111111,27.3,54,27.25,40.975,54,27.25,1464.8,0.494444444444444,0.253240740740741,0.493518518518519,0.499063670411985,0.247685185185185,1.01869158878505,1.02247191011236,1,0.493518518518518,0.50462962962963,0.493518518518518,0.99812734082397,1.01869158878505,1,0.113888888888889,0.494135802469136,0.675308641975309,0.330246913580247,0.506481481481482,1.33496034167175,0.668332292317302,"QuBE",0.35,"ok" "Qq2k3k3v20v20m360s2",1,20,20,40,360,2160,1,1,2,0,0,360,42,41,3,3,20,1.51388888888889,1.48611111111111,27.3,54,27.25,40.975,54,27.25,1464.8,0.494444444444444,0.253240740740741,0.493518518518519,0.499063670411985,0.247685185185185,1.01869158878505,1.02247191011236,1,0.493518518518518,0.50462962962963,0.493518518518518,0.99812734082397,1.01869158878505,1,0.113888888888889,0.494135802469136,0.675308641975309,0.330246913580247,0.506481481481482,1.33496034167175,0.668332292317302,"sKizzo",341.16,"ok" "Qq2k3k3v20v20m360s2",1,20,20,40,360,2160,1,1,2,0,0,360,42,41,3,3,20,1.51388888888889,1.48611111111111,27.3,54,27.25,40.975,54,27.25,1464.8,0.494444444444444,0.253240740740741,0.493518518518519,0.499063670411985,0.247685185185185,1.01869158878505,1.02247191011236,1,0.493518518518518,0.50462962962963,0.493518518518518,0.99812734082397,1.01869158878505,1,0.113888888888889,0.494135802469136,0.675308641975309,0.330246913580247,0.506481481481482,1.33496034167175,0.668332292317302,"sSolve",0.78,"ok" "Qq2k3k3v20v20m360s3",1,20,20,40,360,2160,1,1,2,0,0,360,45,47,3,3,20,1.48611111111111,1.51388888888889,27.35,54,26.75,41.325,54,26.75,1461.4,0.493518518518519,0.258796296296296,0.482407407407407,0.48874296435272,0.252314814814815,0.981651376146789,1.02626641651032,1,0.482407407407407,0.49537037037037,0.482407407407407,0.977485928705441,0.981651376146789,1,0.130555555555556,0.489814814814815,0.690123456790123,0.33641975308642,0.517592592592593,1.35269207501512,0.686830497794581,"X2clsQ",0.11,"ok" "Qq2k3k3v20v20m360s3",1,20,20,40,360,2160,1,1,2,0,0,360,45,47,3,3,20,1.48611111111111,1.51388888888889,27.35,54,26.75,41.325,54,26.75,1461.4,0.493518518518519,0.258796296296296,0.482407407407407,0.48874296435272,0.252314814814815,0.981651376146789,1.02626641651032,1,0.482407407407407,0.49537037037037,0.482407407407407,0.977485928705441,0.981651376146789,1,0.130555555555556,0.489814814814815,0.690123456790123,0.33641975308642,0.517592592592593,1.35269207501512,0.686830497794581,"quantor",3600,"memout" "Qq2k3k3v20v20m360s3",1,20,20,40,360,2160,1,1,2,0,0,360,45,47,3,3,20,1.48611111111111,1.51388888888889,27.35,54,26.75,41.325,54,26.75,1461.4,0.493518518518519,0.258796296296296,0.482407407407407,0.48874296435272,0.252314814814815,0.981651376146789,1.02626641651032,1,0.482407407407407,0.49537037037037,0.482407407407407,0.977485928705441,0.981651376146789,1,0.130555555555556,0.489814814814815,0.690123456790123,0.33641975308642,0.517592592592593,1.35269207501512,0.686830497794581,"QuBE",129.16,"ok" "Qq2k3k3v20v20m360s3",1,20,20,40,360,2160,1,1,2,0,0,360,45,47,3,3,20,1.48611111111111,1.51388888888889,27.35,54,26.75,41.325,54,26.75,1461.4,0.493518518518519,0.258796296296296,0.482407407407407,0.48874296435272,0.252314814814815,0.981651376146789,1.02626641651032,1,0.482407407407407,0.49537037037037,0.482407407407407,0.977485928705441,0.981651376146789,1,0.130555555555556,0.489814814814815,0.690123456790123,0.33641975308642,0.517592592592593,1.35269207501512,0.686830497794581,"sKizzo",201.4,"ok" "Qq2k3k3v20v20m360s3",1,20,20,40,360,2160,1,1,2,0,0,360,45,47,3,3,20,1.48611111111111,1.51388888888889,27.35,54,26.75,41.325,54,26.75,1461.4,0.493518518518519,0.258796296296296,0.482407407407407,0.48874296435272,0.252314814814815,0.981651376146789,1.02626641651032,1,0.482407407407407,0.49537037037037,0.482407407407407,0.977485928705441,0.981651376146789,1,0.130555555555556,0.489814814814815,0.690123456790123,0.33641975308642,0.517592592592593,1.35269207501512,0.686830497794581,"sSolve",0.81,"ok" "Qq2k3k3v20v20m360s4",1,20,20,40,360,2160,1,1,2,0,0,360,45,38,3,3,20,1.5,1.5,26.175,54,27,38.85,54,27,1462.1,0.515277777777778,0.234722222222222,0.530555555555556,0.514824797843666,0.25,1,0.940700808625337,1,0.530555555555556,0.5,0.530555555555556,1.02964959568733,1,1,0.105555555555556,0.52037037037037,0.625925925925926,0.333333333333333,0.469444444444444,1.30501930501931,0.640569395017794,"X2clsQ",0.39,"ok" "Qq2k3k3v20v20m360s4",1,20,20,40,360,2160,1,1,2,0,0,360,45,38,3,3,20,1.5,1.5,26.175,54,27,38.85,54,27,1462.1,0.515277777777778,0.234722222222222,0.530555555555556,0.514824797843666,0.25,1,0.940700808625337,1,0.530555555555556,0.5,0.530555555555556,1.02964959568733,1,1,0.105555555555556,0.52037037037037,0.625925925925926,0.333333333333333,0.469444444444444,1.30501930501931,0.640569395017794,"quantor",3600,"memout" "Qq2k3k3v20v20m360s4",1,20,20,40,360,2160,1,1,2,0,0,360,45,38,3,3,20,1.5,1.5,26.175,54,27,38.85,54,27,1462.1,0.515277777777778,0.234722222222222,0.530555555555556,0.514824797843666,0.25,1,0.940700808625337,1,0.530555555555556,0.5,0.530555555555556,1.02964959568733,1,1,0.105555555555556,0.52037037037037,0.625925925925926,0.333333333333333,0.469444444444444,1.30501930501931,0.640569395017794,"QuBE",5.06,"ok" "Qq2k3k3v20v20m360s4",1,20,20,40,360,2160,1,1,2,0,0,360,45,38,3,3,20,1.5,1.5,26.175,54,27,38.85,54,27,1462.1,0.515277777777778,0.234722222222222,0.530555555555556,0.514824797843666,0.25,1,0.940700808625337,1,0.530555555555556,0.5,0.530555555555556,1.02964959568733,1,1,0.105555555555556,0.52037037037037,0.625925925925926,0.333333333333333,0.469444444444444,1.30501930501931,0.640569395017794,"sKizzo",500.81,"ok" "Qq2k3k3v20v20m360s4",1,20,20,40,360,2160,1,1,2,0,0,360,45,38,3,3,20,1.5,1.5,26.175,54,27,38.85,54,27,1462.1,0.515277777777778,0.234722222222222,0.530555555555556,0.514824797843666,0.25,1,0.940700808625337,1,0.530555555555556,0.5,0.530555555555556,1.02964959568733,1,1,0.105555555555556,0.52037037037037,0.625925925925926,0.333333333333333,0.469444444444444,1.30501930501931,0.640569395017794,"sSolve",0.76,"ok" "Qq2k3k3v20v20m360s5",1,20,20,40,360,2160,1,1,2,0,0,360,42,36,3,3,20,1.48055555555556,1.51944444444444,26.7,54,26.65,40.075,54,26.65,1466.9,0.505555555555556,0.247685185185185,0.50462962962963,0.499084249084249,0.253240740740741,0.974405850091408,0.978021978021978,1,0.50462962962963,0.493518518518518,0.50462962962963,0.998168498168498,0.974405850091408,1,0.1,0.505246913580247,0.660493827160494,0.337654320987654,0.49537037037037,1.33499688084841,0.668295662797801,"X2clsQ",17.13,"ok" "Qq2k3k3v20v20m360s5",1,20,20,40,360,2160,1,1,2,0,0,360,42,36,3,3,20,1.48055555555556,1.51944444444444,26.7,54,26.65,40.075,54,26.65,1466.9,0.505555555555556,0.247685185185185,0.50462962962963,0.499084249084249,0.253240740740741,0.974405850091408,0.978021978021978,1,0.50462962962963,0.493518518518518,0.50462962962963,0.998168498168498,0.974405850091408,1,0.1,0.505246913580247,0.660493827160494,0.337654320987654,0.49537037037037,1.33499688084841,0.668295662797801,"quantor",3600,"memout" "Qq2k3k3v20v20m360s5",1,20,20,40,360,2160,1,1,2,0,0,360,42,36,3,3,20,1.48055555555556,1.51944444444444,26.7,54,26.65,40.075,54,26.65,1466.9,0.505555555555556,0.247685185185185,0.50462962962963,0.499084249084249,0.253240740740741,0.974405850091408,0.978021978021978,1,0.50462962962963,0.493518518518518,0.50462962962963,0.998168498168498,0.974405850091408,1,0.1,0.505246913580247,0.660493827160494,0.337654320987654,0.49537037037037,1.33499688084841,0.668295662797801,"QuBE",2.16,"ok" "Qq2k3k3v20v20m360s5",1,20,20,40,360,2160,1,1,2,0,0,360,42,36,3,3,20,1.48055555555556,1.51944444444444,26.7,54,26.65,40.075,54,26.65,1466.9,0.505555555555556,0.247685185185185,0.50462962962963,0.499084249084249,0.253240740740741,0.974405850091408,0.978021978021978,1,0.50462962962963,0.493518518518518,0.50462962962963,0.998168498168498,0.974405850091408,1,0.1,0.505246913580247,0.660493827160494,0.337654320987654,0.49537037037037,1.33499688084841,0.668295662797801,"sKizzo",497.94,"ok" "Qq2k3k3v20v20m360s5",1,20,20,40,360,2160,1,1,2,0,0,360,42,36,3,3,20,1.48055555555556,1.51944444444444,26.7,54,26.65,40.075,54,26.65,1466.9,0.505555555555556,0.247685185185185,0.50462962962963,0.499084249084249,0.253240740740741,0.974405850091408,0.978021978021978,1,0.50462962962963,0.493518518518518,0.50462962962963,0.998168498168498,0.974405850091408,1,0.1,0.505246913580247,0.660493827160494,0.337654320987654,0.49537037037037,1.33499688084841,0.668295662797801,"sSolve",0.78,"ok" "Qq2k3k3v20v20m360s6",1,20,20,40,360,2160,1,1,2,0,0,360,40,48,3,3,20,1.53611111111111,1.46388888888889,27.4,54,27.65,40.975,54,27.65,1470.7,0.492592592592593,0.251388888888889,0.497222222222222,0.504699248120301,0.243981481481481,1.04933586337761,1.03007518796992,1,0.497222222222222,0.512037037037037,0.497222222222222,1.0093984962406,1.04933586337761,1,0.133333333333333,0.494135802469136,0.67037037037037,0.325308641975309,0.502777777777778,1.32519829164124,0.658338538413492,"X2clsQ",1.44,"ok" "Qq2k3k3v20v20m360s6",1,20,20,40,360,2160,1,1,2,0,0,360,40,48,3,3,20,1.53611111111111,1.46388888888889,27.4,54,27.65,40.975,54,27.65,1470.7,0.492592592592593,0.251388888888889,0.497222222222222,0.504699248120301,0.243981481481481,1.04933586337761,1.03007518796992,1,0.497222222222222,0.512037037037037,0.497222222222222,1.0093984962406,1.04933586337761,1,0.133333333333333,0.494135802469136,0.67037037037037,0.325308641975309,0.502777777777778,1.32519829164124,0.658338538413492,"quantor",3600,"memout" "Qq2k3k3v20v20m360s6",1,20,20,40,360,2160,1,1,2,0,0,360,40,48,3,3,20,1.53611111111111,1.46388888888889,27.4,54,27.65,40.975,54,27.65,1470.7,0.492592592592593,0.251388888888889,0.497222222222222,0.504699248120301,0.243981481481481,1.04933586337761,1.03007518796992,1,0.497222222222222,0.512037037037037,0.497222222222222,1.0093984962406,1.04933586337761,1,0.133333333333333,0.494135802469136,0.67037037037037,0.325308641975309,0.502777777777778,1.32519829164124,0.658338538413492,"QuBE",0.07,"ok" "Qq2k3k3v20v20m360s6",1,20,20,40,360,2160,1,1,2,0,0,360,40,48,3,3,20,1.53611111111111,1.46388888888889,27.4,54,27.65,40.975,54,27.65,1470.7,0.492592592592593,0.251388888888889,0.497222222222222,0.504699248120301,0.243981481481481,1.04933586337761,1.03007518796992,1,0.497222222222222,0.512037037037037,0.497222222222222,1.0093984962406,1.04933586337761,1,0.133333333333333,0.494135802469136,0.67037037037037,0.325308641975309,0.502777777777778,1.32519829164124,0.658338538413492,"sKizzo",362.21,"ok" "Qq2k3k3v20v20m360s6",1,20,20,40,360,2160,1,1,2,0,0,360,40,48,3,3,20,1.53611111111111,1.46388888888889,27.4,54,27.65,40.975,54,27.65,1470.7,0.492592592592593,0.251388888888889,0.497222222222222,0.504699248120301,0.243981481481481,1.04933586337761,1.03007518796992,1,0.497222222222222,0.512037037037037,0.497222222222222,1.0093984962406,1.04933586337761,1,0.133333333333333,0.494135802469136,0.67037037037037,0.325308641975309,0.502777777777778,1.32519829164124,0.658338538413492,"sSolve",0.84,"ok" "Qq2k3k3v20v20m360s7",1,20,20,40,360,2160,1,1,2,0,0,360,40,45,3,3,20,1.49166666666667,1.50833333333333,27.2,54,26.85,40.975,54,26.85,1452.1,0.496296296296296,0.255092592592593,0.489814814814815,0.493470149253731,0.251388888888889,0.988950276243094,1.01492537313433,1,0.489814814814815,0.497222222222222,0.489814814814815,0.986940298507463,0.988950276243094,1,0.125,0.494135802469136,0.680246913580247,0.335185185185185,0.510185185185185,1.34472239170226,0.678326046221112,"X2clsQ",10.2,"ok" "Qq2k3k3v20v20m360s7",1,20,20,40,360,2160,1,1,2,0,0,360,40,45,3,3,20,1.49166666666667,1.50833333333333,27.2,54,26.85,40.975,54,26.85,1452.1,0.496296296296296,0.255092592592593,0.489814814814815,0.493470149253731,0.251388888888889,0.988950276243094,1.01492537313433,1,0.489814814814815,0.497222222222222,0.489814814814815,0.986940298507463,0.988950276243094,1,0.125,0.494135802469136,0.680246913580247,0.335185185185185,0.510185185185185,1.34472239170226,0.678326046221112,"quantor",3600,"memout" "Qq2k3k3v20v20m360s7",1,20,20,40,360,2160,1,1,2,0,0,360,40,45,3,3,20,1.49166666666667,1.50833333333333,27.2,54,26.85,40.975,54,26.85,1452.1,0.496296296296296,0.255092592592593,0.489814814814815,0.493470149253731,0.251388888888889,0.988950276243094,1.01492537313433,1,0.489814814814815,0.497222222222222,0.489814814814815,0.986940298507463,0.988950276243094,1,0.125,0.494135802469136,0.680246913580247,0.335185185185185,0.510185185185185,1.34472239170226,0.678326046221112,"QuBE",5.99,"ok" "Qq2k3k3v20v20m360s7",1,20,20,40,360,2160,1,1,2,0,0,360,40,45,3,3,20,1.49166666666667,1.50833333333333,27.2,54,26.85,40.975,54,26.85,1452.1,0.496296296296296,0.255092592592593,0.489814814814815,0.493470149253731,0.251388888888889,0.988950276243094,1.01492537313433,1,0.489814814814815,0.497222222222222,0.489814814814815,0.986940298507463,0.988950276243094,1,0.125,0.494135802469136,0.680246913580247,0.335185185185185,0.510185185185185,1.34472239170226,0.678326046221112,"sKizzo",244.07,"ok" "Qq2k3k3v20v20m360s7",1,20,20,40,360,2160,1,1,2,0,0,360,40,45,3,3,20,1.49166666666667,1.50833333333333,27.2,54,26.85,40.975,54,26.85,1452.1,0.496296296296296,0.255092592592593,0.489814814814815,0.493470149253731,0.251388888888889,0.988950276243094,1.01492537313433,1,0.489814814814815,0.497222222222222,0.489814814814815,0.986940298507463,0.988950276243094,1,0.125,0.494135802469136,0.680246913580247,0.335185185185185,0.510185185185185,1.34472239170226,0.678326046221112,"sSolve",1.12,"ok" "Qq2k3k3v20v20m360s8",1,20,20,40,360,2160,1,1,2,0,0,360,35,46,3,3,20,1.53055555555556,1.46944444444444,27.025,54,27.55,40.275,54,27.55,1444.1,0.499537037037037,0.24537037037037,0.509259259259259,0.509731232622799,0.244907407407407,1.04158790170132,1.00185356811863,1,0.509259259259259,0.510185185185185,0.509259259259259,1.0194624652456,1.04158790170132,1,0.127777777777778,0.502777777777778,0.654320987654321,0.326543209876543,0.490740740740741,1.31595282433271,0.649478207489257,"X2clsQ",24.16,"ok" "Qq2k3k3v20v20m360s8",1,20,20,40,360,2160,1,1,2,0,0,360,35,46,3,3,20,1.53055555555556,1.46944444444444,27.025,54,27.55,40.275,54,27.55,1444.1,0.499537037037037,0.24537037037037,0.509259259259259,0.509731232622799,0.244907407407407,1.04158790170132,1.00185356811863,1,0.509259259259259,0.510185185185185,0.509259259259259,1.0194624652456,1.04158790170132,1,0.127777777777778,0.502777777777778,0.654320987654321,0.326543209876543,0.490740740740741,1.31595282433271,0.649478207489257,"quantor",3600,"memout" "Qq2k3k3v20v20m360s8",1,20,20,40,360,2160,1,1,2,0,0,360,35,46,3,3,20,1.53055555555556,1.46944444444444,27.025,54,27.55,40.275,54,27.55,1444.1,0.499537037037037,0.24537037037037,0.509259259259259,0.509731232622799,0.244907407407407,1.04158790170132,1.00185356811863,1,0.509259259259259,0.510185185185185,0.509259259259259,1.0194624652456,1.04158790170132,1,0.127777777777778,0.502777777777778,0.654320987654321,0.326543209876543,0.490740740740741,1.31595282433271,0.649478207489257,"QuBE",75.77,"ok" "Qq2k3k3v20v20m360s8",1,20,20,40,360,2160,1,1,2,0,0,360,35,46,3,3,20,1.53055555555556,1.46944444444444,27.025,54,27.55,40.275,54,27.55,1444.1,0.499537037037037,0.24537037037037,0.509259259259259,0.509731232622799,0.244907407407407,1.04158790170132,1.00185356811863,1,0.509259259259259,0.510185185185185,0.509259259259259,1.0194624652456,1.04158790170132,1,0.127777777777778,0.502777777777778,0.654320987654321,0.326543209876543,0.490740740740741,1.31595282433271,0.649478207489257,"sKizzo",511.77,"ok" "Qq2k3k3v20v20m360s8",1,20,20,40,360,2160,1,1,2,0,0,360,35,46,3,3,20,1.53055555555556,1.46944444444444,27.025,54,27.55,40.275,54,27.55,1444.1,0.499537037037037,0.24537037037037,0.509259259259259,0.509731232622799,0.244907407407407,1.04158790170132,1.00185356811863,1,0.509259259259259,0.510185185185185,0.509259259259259,1.0194624652456,1.04158790170132,1,0.127777777777778,0.502777777777778,0.654320987654321,0.326543209876543,0.490740740740741,1.31595282433271,0.649478207489257,"sSolve",1.07,"ok" "Qq2k3k3v20v20m360s9",1,20,20,40,360,2160,1,1,2,0,0,360,41,41,3,3,20,1.50277777777778,1.49722222222222,26.8,54,27.05,40.075,54,27.05,1445.6,0.503703703703704,0.245833333333333,0.508333333333333,0.504595588235294,0.249537037037037,1.00371057513915,0.985294117647059,1,0.508333333333333,0.500925925925926,0.508333333333333,1.00919117647059,1.00371057513915,1,0.113888888888889,0.505246913580247,0.655555555555556,0.332716049382716,0.491666666666667,1.32501559575795,0.658521686010996,"X2clsQ",5.28,"ok" "Qq2k3k3v20v20m360s9",1,20,20,40,360,2160,1,1,2,0,0,360,41,41,3,3,20,1.50277777777778,1.49722222222222,26.8,54,27.05,40.075,54,27.05,1445.6,0.503703703703704,0.245833333333333,0.508333333333333,0.504595588235294,0.249537037037037,1.00371057513915,0.985294117647059,1,0.508333333333333,0.500925925925926,0.508333333333333,1.00919117647059,1.00371057513915,1,0.113888888888889,0.505246913580247,0.655555555555556,0.332716049382716,0.491666666666667,1.32501559575795,0.658521686010996,"quantor",3600,"memout" "Qq2k3k3v20v20m360s9",1,20,20,40,360,2160,1,1,2,0,0,360,41,41,3,3,20,1.50277777777778,1.49722222222222,26.8,54,27.05,40.075,54,27.05,1445.6,0.503703703703704,0.245833333333333,0.508333333333333,0.504595588235294,0.249537037037037,1.00371057513915,0.985294117647059,1,0.508333333333333,0.500925925925926,0.508333333333333,1.00919117647059,1.00371057513915,1,0.113888888888889,0.505246913580247,0.655555555555556,0.332716049382716,0.491666666666667,1.32501559575795,0.658521686010996,"QuBE",1.53,"ok" "Qq2k3k3v20v20m360s9",1,20,20,40,360,2160,1,1,2,0,0,360,41,41,3,3,20,1.50277777777778,1.49722222222222,26.8,54,27.05,40.075,54,27.05,1445.6,0.503703703703704,0.245833333333333,0.508333333333333,0.504595588235294,0.249537037037037,1.00371057513915,0.985294117647059,1,0.508333333333333,0.500925925925926,0.508333333333333,1.00919117647059,1.00371057513915,1,0.113888888888889,0.505246913580247,0.655555555555556,0.332716049382716,0.491666666666667,1.32501559575795,0.658521686010996,"sKizzo",413.5,"ok" "Qq2k3k3v20v20m360s9",1,20,20,40,360,2160,1,1,2,0,0,360,41,41,3,3,20,1.50277777777778,1.49722222222222,26.8,54,27.05,40.075,54,27.05,1445.6,0.503703703703704,0.245833333333333,0.508333333333333,0.504595588235294,0.249537037037037,1.00371057513915,0.985294117647059,1,0.508333333333333,0.500925925925926,0.508333333333333,1.00919117647059,1.00371057513915,1,0.113888888888889,0.505246913580247,0.655555555555556,0.332716049382716,0.491666666666667,1.32501559575795,0.658521686010996,"sSolve",0.87,"ok" "Qq2k3k3v20v20m400s1",1,20,20,40,400,2400,1,1,2,0,0,400,42,44,3,3,20,1.4825,1.5175,30.45,60,29.65,46.075,60,29.65,1817,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"X2clsQ",0.13,"ok" "Qq2k3k3v20v20m400s1",1,20,20,40,400,2400,1,1,2,0,0,400,42,44,3,3,20,1.4825,1.5175,30.45,60,29.65,46.075,60,29.65,1817,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"quantor",3600,"memout" "Qq2k3k3v20v20m400s1",1,20,20,40,400,2400,1,1,2,0,0,400,42,44,3,3,20,1.4825,1.5175,30.45,60,29.65,46.075,60,29.65,1817,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"QuBE",2.53,"ok" "Qq2k3k3v20v20m400s1",1,20,20,40,400,2400,1,1,2,0,0,400,42,44,3,3,20,1.4825,1.5175,30.45,60,29.65,46.075,60,29.65,1817,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"sKizzo",718.02,"ok" "Qq2k3k3v20v20m400s1",1,20,20,40,400,2400,1,1,2,0,0,400,42,44,3,3,20,1.4825,1.5175,30.45,60,29.65,46.075,60,29.65,1817,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"sSolve",0.84,"ok" "Qq2k3k3v20v20m400s10",1,20,20,40,400,2400,1,1,2,0,0,400,45,41,3,3,20,1.5,1.5,29.875,60,30,44.75,60,30,1795,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"X2clsQ",0.09,"ok" "Qq2k3k3v20v20m400s10",1,20,20,40,400,2400,1,1,2,0,0,400,45,41,3,3,20,1.5,1.5,29.875,60,30,44.75,60,30,1795,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"quantor",3600,"memout" "Qq2k3k3v20v20m400s10",1,20,20,40,400,2400,1,1,2,0,0,400,45,41,3,3,20,1.5,1.5,29.875,60,30,44.75,60,30,1795,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"QuBE",1.91,"ok" "Qq2k3k3v20v20m400s10",1,20,20,40,400,2400,1,1,2,0,0,400,45,41,3,3,20,1.5,1.5,29.875,60,30,44.75,60,30,1795,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"sKizzo",460.53,"ok" "Qq2k3k3v20v20m400s10",1,20,20,40,400,2400,1,1,2,0,0,400,45,41,3,3,20,1.5,1.5,29.875,60,30,44.75,60,30,1795,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"sSolve",0.98,"ok" "Qq2k3k3v20v20m400s2",1,20,20,40,400,2400,1,1,2,0,0,400,48,47,3,3,20,1.505,1.495,30.25,60,30.1,45.45,60,30.1,1802,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"X2clsQ",0.54,"ok" "Qq2k3k3v20v20m400s2",1,20,20,40,400,2400,1,1,2,0,0,400,48,47,3,3,20,1.505,1.495,30.25,60,30.1,45.45,60,30.1,1802,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"quantor",3600,"memout" "Qq2k3k3v20v20m400s2",1,20,20,40,400,2400,1,1,2,0,0,400,48,47,3,3,20,1.505,1.495,30.25,60,30.1,45.45,60,30.1,1802,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"QuBE",0.74,"ok" "Qq2k3k3v20v20m400s2",1,20,20,40,400,2400,1,1,2,0,0,400,48,47,3,3,20,1.505,1.495,30.25,60,30.1,45.45,60,30.1,1802,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"sKizzo",601.48,"ok" "Qq2k3k3v20v20m400s2",1,20,20,40,400,2400,1,1,2,0,0,400,48,47,3,3,20,1.505,1.495,30.25,60,30.1,45.45,60,30.1,1802,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"sSolve",0.86,"ok" "Qq2k3k3v20v20m400s3",1,20,20,40,400,2400,1,1,2,0,0,400,49,53,3,3,20,1.4925,1.5075,30.425,60,29.85,45.925,60,29.85,1801,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"X2clsQ",0.1,"ok" "Qq2k3k3v20v20m400s3",1,20,20,40,400,2400,1,1,2,0,0,400,49,53,3,3,20,1.4925,1.5075,30.425,60,29.85,45.925,60,29.85,1801,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"quantor",3600,"memout" "Qq2k3k3v20v20m400s3",1,20,20,40,400,2400,1,1,2,0,0,400,49,53,3,3,20,1.4925,1.5075,30.425,60,29.85,45.925,60,29.85,1801,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"QuBE",99.27,"ok" "Qq2k3k3v20v20m400s3",1,20,20,40,400,2400,1,1,2,0,0,400,49,53,3,3,20,1.4925,1.5075,30.425,60,29.85,45.925,60,29.85,1801,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"sKizzo",509.28,"ok" "Qq2k3k3v20v20m400s3",1,20,20,40,400,2400,1,1,2,0,0,400,49,53,3,3,20,1.4925,1.5075,30.425,60,29.85,45.925,60,29.85,1801,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"sSolve",0.77,"ok" "Qq2k3k3v20v20m400s4",1,20,20,40,400,2400,1,1,2,0,0,400,53,45,3,3,20,1.4975,1.5025,29.125,60,29.95,43.275,60,29.95,1797.9,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"X2clsQ",0.01,"ok" "Qq2k3k3v20v20m400s4",1,20,20,40,400,2400,1,1,2,0,0,400,53,45,3,3,20,1.4975,1.5025,29.125,60,29.95,43.275,60,29.95,1797.9,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"quantor",3600,"memout" "Qq2k3k3v20v20m400s4",1,20,20,40,400,2400,1,1,2,0,0,400,53,45,3,3,20,1.4975,1.5025,29.125,60,29.95,43.275,60,29.95,1797.9,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"QuBE",0.13,"ok" "Qq2k3k3v20v20m400s4",1,20,20,40,400,2400,1,1,2,0,0,400,53,45,3,3,20,1.4975,1.5025,29.125,60,29.95,43.275,60,29.95,1797.9,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"sKizzo",791.71,"ok" "Qq2k3k3v20v20m400s4",1,20,20,40,400,2400,1,1,2,0,0,400,53,45,3,3,20,1.4975,1.5025,29.125,60,29.95,43.275,60,29.95,1797.9,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"sSolve",0.77,"ok" "Qq2k3k3v20v20m400s5",1,20,20,40,400,2400,1,1,2,0,0,400,46,40,3,3,20,1.4825,1.5175,29.525,60,29.65,44.225,60,29.65,1815.6,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301066,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"X2clsQ",0.7,"ok" "Qq2k3k3v20v20m400s5",1,20,20,40,400,2400,1,1,2,0,0,400,46,40,3,3,20,1.4825,1.5175,29.525,60,29.65,44.225,60,29.65,1815.6,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301066,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"quantor",3600,"memout" "Qq2k3k3v20v20m400s5",1,20,20,40,400,2400,1,1,2,0,0,400,46,40,3,3,20,1.4825,1.5175,29.525,60,29.65,44.225,60,29.65,1815.6,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301066,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"QuBE",0.67,"ok" "Qq2k3k3v20v20m400s5",1,20,20,40,400,2400,1,1,2,0,0,400,46,40,3,3,20,1.4825,1.5175,29.525,60,29.65,44.225,60,29.65,1815.6,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301066,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"sKizzo",550.2,"ok" "Qq2k3k3v20v20m400s5",1,20,20,40,400,2400,1,1,2,0,0,400,46,40,3,3,20,1.4825,1.5175,29.525,60,29.65,44.225,60,29.65,1815.6,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301066,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"sSolve",0.91,"ok" "Qq2k3k3v20v20m400s6",1,20,20,40,400,2400,1,1,2,0,0,400,45,54,3,3,20,1.555,1.445,30.55,60,31.1,45.55,60,31.1,1813.7,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"X2clsQ",0.16,"ok" "Qq2k3k3v20v20m400s6",1,20,20,40,400,2400,1,1,2,0,0,400,45,54,3,3,20,1.555,1.445,30.55,60,31.1,45.55,60,31.1,1813.7,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"quantor",3600,"memout" "Qq2k3k3v20v20m400s6",1,20,20,40,400,2400,1,1,2,0,0,400,45,54,3,3,20,1.555,1.445,30.55,60,31.1,45.55,60,31.1,1813.7,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"QuBE",0.06,"ok" "Qq2k3k3v20v20m400s6",1,20,20,40,400,2400,1,1,2,0,0,400,45,54,3,3,20,1.555,1.445,30.55,60,31.1,45.55,60,31.1,1813.7,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"sKizzo",646.61,"ok" "Qq2k3k3v20v20m400s6",1,20,20,40,400,2400,1,1,2,0,0,400,45,54,3,3,20,1.555,1.445,30.55,60,31.1,45.55,60,31.1,1813.7,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"sSolve",0.83,"ok" "Qq2k3k3v20v20m400s7",1,20,20,40,400,2400,1,1,2,0,0,400,47,48,3,3,20,1.4825,1.5175,29.95,60,29.65,45.075,60,29.65,1797.8,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"X2clsQ",2.62,"ok" "Qq2k3k3v20v20m400s7",1,20,20,40,400,2400,1,1,2,0,0,400,47,48,3,3,20,1.4825,1.5175,29.95,60,29.65,45.075,60,29.65,1797.8,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"quantor",3600,"memout" "Qq2k3k3v20v20m400s7",1,20,20,40,400,2400,1,1,2,0,0,400,47,48,3,3,20,1.4825,1.5175,29.95,60,29.65,45.075,60,29.65,1797.8,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"QuBE",3.56,"ok" "Qq2k3k3v20v20m400s7",1,20,20,40,400,2400,1,1,2,0,0,400,47,48,3,3,20,1.4825,1.5175,29.95,60,29.65,45.075,60,29.65,1797.8,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"sKizzo",630.18,"ok" "Qq2k3k3v20v20m400s7",1,20,20,40,400,2400,1,1,2,0,0,400,47,48,3,3,20,1.4825,1.5175,29.95,60,29.65,45.075,60,29.65,1797.8,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"sSolve",0.88,"ok" "Qq2k3k3v20v20m400s8",1,20,20,40,400,2400,1,1,2,0,0,400,39,51,3,3,20,1.515,1.485,29.75,60,30.3,44.35,60,30.3,1785,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"X2clsQ",1.14,"ok" "Qq2k3k3v20v20m400s8",1,20,20,40,400,2400,1,1,2,0,0,400,39,51,3,3,20,1.515,1.485,29.75,60,30.3,44.35,60,30.3,1785,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"quantor",3600,"memout" "Qq2k3k3v20v20m400s8",1,20,20,40,400,2400,1,1,2,0,0,400,39,51,3,3,20,1.515,1.485,29.75,60,30.3,44.35,60,30.3,1785,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"QuBE",0.41,"ok" "Qq2k3k3v20v20m400s8",1,20,20,40,400,2400,1,1,2,0,0,400,39,51,3,3,20,1.515,1.485,29.75,60,30.3,44.35,60,30.3,1785,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"sKizzo",288.92,"ok" "Qq2k3k3v20v20m400s8",1,20,20,40,400,2400,1,1,2,0,0,400,39,51,3,3,20,1.515,1.485,29.75,60,30.3,44.35,60,30.3,1785,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"sSolve",0.92,"ok" "Qq2k3k3v20v20m400s9",1,20,20,40,400,2400,1,1,2,0,0,400,46,44,3,3,20,1.5075,1.4925,29.8,60,30.15,44.525,60,30.15,1785.6,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"X2clsQ",0.44,"ok" "Qq2k3k3v20v20m400s9",1,20,20,40,400,2400,1,1,2,0,0,400,46,44,3,3,20,1.5075,1.4925,29.8,60,30.15,44.525,60,30.15,1785.6,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"quantor",3600,"memout" "Qq2k3k3v20v20m400s9",1,20,20,40,400,2400,1,1,2,0,0,400,46,44,3,3,20,1.5075,1.4925,29.8,60,30.15,44.525,60,30.15,1785.6,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"QuBE",3.43,"ok" "Qq2k3k3v20v20m400s9",1,20,20,40,400,2400,1,1,2,0,0,400,46,44,3,3,20,1.5075,1.4925,29.8,60,30.15,44.525,60,30.15,1785.6,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"sKizzo",874.61,"ok" "Qq2k3k3v20v20m400s9",1,20,20,40,400,2400,1,1,2,0,0,400,46,44,3,3,20,1.5075,1.4925,29.8,60,30.15,44.525,60,30.15,1785.6,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"sSolve",0.85,"ok" "Qq2k3k3v20v20m80s1",1,20,20,40,80,480,1,1,2,0,0,80,8,12,3,3,20,1.475,1.525,6.175,12,5.9,9.4,12,5.9,74.6,0.485416666666667,0.26875,0.4625,0.476394849785408,0.254166666666667,0.967213114754098,1.06008583690987,1,0.4625,0.491666666666667,0.4625,0.952789699570815,0.967213114754098,1,0.15,0.477777777777778,0.716666666666667,0.338888888888889,0.5375,1.37234042553191,0.709302325581395,"X2clsQ",0.02,"ok" "Qq2k3k3v20v20m80s1",1,20,20,40,80,480,1,1,2,0,0,80,8,12,3,3,20,1.475,1.525,6.175,12,5.9,9.4,12,5.9,74.6,0.485416666666667,0.26875,0.4625,0.476394849785408,0.254166666666667,0.967213114754098,1.06008583690987,1,0.4625,0.491666666666667,0.4625,0.952789699570815,0.967213114754098,1,0.15,0.477777777777778,0.716666666666667,0.338888888888889,0.5375,1.37234042553191,0.709302325581395,"quantor",0,"ok" "Qq2k3k3v20v20m80s1",1,20,20,40,80,480,1,1,2,0,0,80,8,12,3,3,20,1.475,1.525,6.175,12,5.9,9.4,12,5.9,74.6,0.485416666666667,0.26875,0.4625,0.476394849785408,0.254166666666667,0.967213114754098,1.06008583690987,1,0.4625,0.491666666666667,0.4625,0.952789699570815,0.967213114754098,1,0.15,0.477777777777778,0.716666666666667,0.338888888888889,0.5375,1.37234042553191,0.709302325581395,"QuBE",0.06,"ok" "Qq2k3k3v20v20m80s1",1,20,20,40,80,480,1,1,2,0,0,80,8,12,3,3,20,1.475,1.525,6.175,12,5.9,9.4,12,5.9,74.6,0.485416666666667,0.26875,0.4625,0.476394849785408,0.254166666666667,0.967213114754098,1.06008583690987,1,0.4625,0.491666666666667,0.4625,0.952789699570815,0.967213114754098,1,0.15,0.477777777777778,0.716666666666667,0.338888888888889,0.5375,1.37234042553191,0.709302325581395,"sKizzo",0,"ok" "Qq2k3k3v20v20m80s1",1,20,20,40,80,480,1,1,2,0,0,80,8,12,3,3,20,1.475,1.525,6.175,12,5.9,9.4,12,5.9,74.6,0.485416666666667,0.26875,0.4625,0.476394849785408,0.254166666666667,0.967213114754098,1.06008583690987,1,0.4625,0.491666666666667,0.4625,0.952789699570815,0.967213114754098,1,0.15,0.477777777777778,0.716666666666667,0.338888888888889,0.5375,1.37234042553191,0.709302325581395,"sSolve",0.96,"ok" "Qq2k3k3v20v20m80s10",1,20,20,40,80,480,1,1,2,0,0,80,12,5,3,3,20,1.3875,1.6125,5.475,12,5.55,8.175,12,5.55,69.7,0.54375,0.225,0.55,0.505747126436782,0.26875,0.86046511627907,0.839080459770115,1,0.55,0.4625,0.55,1.01149425287356,0.86046511627907,1,0.0625,0.545833333333333,0.6,0.358333333333333,0.45,1.32110091743119,0.656488549618321,"X2clsQ",0.01,"ok" "Qq2k3k3v20v20m80s10",1,20,20,40,80,480,1,1,2,0,0,80,12,5,3,3,20,1.3875,1.6125,5.475,12,5.55,8.175,12,5.55,69.7,0.54375,0.225,0.55,0.505747126436782,0.26875,0.86046511627907,0.839080459770115,1,0.55,0.4625,0.55,1.01149425287356,0.86046511627907,1,0.0625,0.545833333333333,0.6,0.358333333333333,0.45,1.32110091743119,0.656488549618321,"quantor",0,"ok" "Qq2k3k3v20v20m80s10",1,20,20,40,80,480,1,1,2,0,0,80,12,5,3,3,20,1.3875,1.6125,5.475,12,5.55,8.175,12,5.55,69.7,0.54375,0.225,0.55,0.505747126436782,0.26875,0.86046511627907,0.839080459770115,1,0.55,0.4625,0.55,1.01149425287356,0.86046511627907,1,0.0625,0.545833333333333,0.6,0.358333333333333,0.45,1.32110091743119,0.656488549618321,"QuBE",0.04,"ok" "Qq2k3k3v20v20m80s10",1,20,20,40,80,480,1,1,2,0,0,80,12,5,3,3,20,1.3875,1.6125,5.475,12,5.55,8.175,12,5.55,69.7,0.54375,0.225,0.55,0.505747126436782,0.26875,0.86046511627907,0.839080459770115,1,0.55,0.4625,0.55,1.01149425287356,0.86046511627907,1,0.0625,0.545833333333333,0.6,0.358333333333333,0.45,1.32110091743119,0.656488549618321,"sKizzo",0.01,"ok" "Qq2k3k3v20v20m80s10",1,20,20,40,80,480,1,1,2,0,0,80,12,5,3,3,20,1.3875,1.6125,5.475,12,5.55,8.175,12,5.55,69.7,0.54375,0.225,0.55,0.505747126436782,0.26875,0.86046511627907,0.839080459770115,1,0.55,0.4625,0.55,1.01149425287356,0.86046511627907,1,0.0625,0.545833333333333,0.6,0.358333333333333,0.45,1.32110091743119,0.656488549618321,"sSolve",0.77,"ok" "Qq2k3k3v20v20m80s2",1,20,20,40,80,480,1,1,2,0,0,80,9,7,3,3,20,1.475,1.525,6,12,5.9,9.05,12,5.9,74,0.5,0.254166666666667,0.491666666666667,0.491666666666667,0.254166666666667,0.967213114754098,1,1,0.491666666666667,0.491666666666667,0.491666666666667,0.983333333333333,0.967213114754098,1,0.0875,0.497222222222222,0.677777777777778,0.338888888888889,0.508333333333333,1.34806629834254,0.681564245810056,"X2clsQ",0.02,"ok" "Qq2k3k3v20v20m80s2",1,20,20,40,80,480,1,1,2,0,0,80,9,7,3,3,20,1.475,1.525,6,12,5.9,9.05,12,5.9,74,0.5,0.254166666666667,0.491666666666667,0.491666666666667,0.254166666666667,0.967213114754098,1,1,0.491666666666667,0.491666666666667,0.491666666666667,0.983333333333333,0.967213114754098,1,0.0875,0.497222222222222,0.677777777777778,0.338888888888889,0.508333333333333,1.34806629834254,0.681564245810056,"quantor",0,"ok" "Qq2k3k3v20v20m80s2",1,20,20,40,80,480,1,1,2,0,0,80,9,7,3,3,20,1.475,1.525,6,12,5.9,9.05,12,5.9,74,0.5,0.254166666666667,0.491666666666667,0.491666666666667,0.254166666666667,0.967213114754098,1,1,0.491666666666667,0.491666666666667,0.491666666666667,0.983333333333333,0.967213114754098,1,0.0875,0.497222222222222,0.677777777777778,0.338888888888889,0.508333333333333,1.34806629834254,0.681564245810056,"QuBE",0.06,"ok" "Qq2k3k3v20v20m80s2",1,20,20,40,80,480,1,1,2,0,0,80,9,7,3,3,20,1.475,1.525,6,12,5.9,9.05,12,5.9,74,0.5,0.254166666666667,0.491666666666667,0.491666666666667,0.254166666666667,0.967213114754098,1,1,0.491666666666667,0.491666666666667,0.491666666666667,0.983333333333333,0.967213114754098,1,0.0875,0.497222222222222,0.677777777777778,0.338888888888889,0.508333333333333,1.34806629834254,0.681564245810056,"sKizzo",0.01,"ok" "Qq2k3k3v20v20m80s2",1,20,20,40,80,480,1,1,2,0,0,80,9,7,3,3,20,1.475,1.525,6,12,5.9,9.05,12,5.9,74,0.5,0.254166666666667,0.491666666666667,0.491666666666667,0.254166666666667,0.967213114754098,1,1,0.491666666666667,0.491666666666667,0.491666666666667,0.983333333333333,0.967213114754098,1,0.0875,0.497222222222222,0.677777777777778,0.338888888888889,0.508333333333333,1.34806629834254,0.681564245810056,"sSolve",0.77,"ok" "Qq2k3k3v20v20m80s3",1,20,20,40,80,480,1,1,2,0,0,80,12,12,3,3,20,1.5125,1.4875,5.975,12,6.05,8.925,12,6.05,76.1,0.502083333333333,0.245833333333333,0.508333333333333,0.506224066390041,0.247916666666667,1.01680672268908,0.991701244813278,1,0.508333333333333,0.504166666666667,0.508333333333333,1.01244813278008,1.01680672268908,1,0.15,0.504166666666667,0.655555555555556,0.330555555555556,0.491666666666667,1.32212885154062,0.65564738292011,"X2clsQ",0,"ok" "Qq2k3k3v20v20m80s3",1,20,20,40,80,480,1,1,2,0,0,80,12,12,3,3,20,1.5125,1.4875,5.975,12,6.05,8.925,12,6.05,76.1,0.502083333333333,0.245833333333333,0.508333333333333,0.506224066390041,0.247916666666667,1.01680672268908,0.991701244813278,1,0.508333333333333,0.504166666666667,0.508333333333333,1.01244813278008,1.01680672268908,1,0.15,0.504166666666667,0.655555555555556,0.330555555555556,0.491666666666667,1.32212885154062,0.65564738292011,"quantor",0,"ok" "Qq2k3k3v20v20m80s3",1,20,20,40,80,480,1,1,2,0,0,80,12,12,3,3,20,1.5125,1.4875,5.975,12,6.05,8.925,12,6.05,76.1,0.502083333333333,0.245833333333333,0.508333333333333,0.506224066390041,0.247916666666667,1.01680672268908,0.991701244813278,1,0.508333333333333,0.504166666666667,0.508333333333333,1.01244813278008,1.01680672268908,1,0.15,0.504166666666667,0.655555555555556,0.330555555555556,0.491666666666667,1.32212885154062,0.65564738292011,"QuBE",0.06,"ok" "Qq2k3k3v20v20m80s3",1,20,20,40,80,480,1,1,2,0,0,80,12,12,3,3,20,1.5125,1.4875,5.975,12,6.05,8.925,12,6.05,76.1,0.502083333333333,0.245833333333333,0.508333333333333,0.506224066390041,0.247916666666667,1.01680672268908,0.991701244813278,1,0.508333333333333,0.504166666666667,0.508333333333333,1.01244813278008,1.01680672268908,1,0.15,0.504166666666667,0.655555555555556,0.330555555555556,0.491666666666667,1.32212885154062,0.65564738292011,"sKizzo",0.01,"ok" "Qq2k3k3v20v20m80s3",1,20,20,40,80,480,1,1,2,0,0,80,12,12,3,3,20,1.5125,1.4875,5.975,12,6.05,8.925,12,6.05,76.1,0.502083333333333,0.245833333333333,0.508333333333333,0.506224066390041,0.247916666666667,1.01680672268908,0.991701244813278,1,0.508333333333333,0.504166666666667,0.508333333333333,1.01244813278008,1.01680672268908,1,0.15,0.504166666666667,0.655555555555556,0.330555555555556,0.491666666666667,1.32212885154062,0.65564738292011,"sSolve",0.78,"ok" "Qq2k3k3v20v20m80s4",1,20,20,40,80,480,1,1,2,0,0,80,9,5,3,3,20,1.3625,1.6375,5.55,12,5.45,8.375,12,5.45,70.9,0.5375,0.235416666666667,0.529166666666667,0.492248062015504,0.272916666666667,0.83206106870229,0.86046511627907,1,0.529166666666667,0.454166666666667,0.529166666666667,0.984496124031008,0.83206106870229,1,0.0625,0.534722222222222,0.627777777777778,0.363888888888889,0.470833333333333,1.34925373134328,0.680519480519481,"X2clsQ",0.01,"ok" "Qq2k3k3v20v20m80s4",1,20,20,40,80,480,1,1,2,0,0,80,9,5,3,3,20,1.3625,1.6375,5.55,12,5.45,8.375,12,5.45,70.9,0.5375,0.235416666666667,0.529166666666667,0.492248062015504,0.272916666666667,0.83206106870229,0.86046511627907,1,0.529166666666667,0.454166666666667,0.529166666666667,0.984496124031008,0.83206106870229,1,0.0625,0.534722222222222,0.627777777777778,0.363888888888889,0.470833333333333,1.34925373134328,0.680519480519481,"quantor",0,"ok" "Qq2k3k3v20v20m80s4",1,20,20,40,80,480,1,1,2,0,0,80,9,5,3,3,20,1.3625,1.6375,5.55,12,5.45,8.375,12,5.45,70.9,0.5375,0.235416666666667,0.529166666666667,0.492248062015504,0.272916666666667,0.83206106870229,0.86046511627907,1,0.529166666666667,0.454166666666667,0.529166666666667,0.984496124031008,0.83206106870229,1,0.0625,0.534722222222222,0.627777777777778,0.363888888888889,0.470833333333333,1.34925373134328,0.680519480519481,"QuBE",0.06,"ok" "Qq2k3k3v20v20m80s4",1,20,20,40,80,480,1,1,2,0,0,80,9,5,3,3,20,1.3625,1.6375,5.55,12,5.45,8.375,12,5.45,70.9,0.5375,0.235416666666667,0.529166666666667,0.492248062015504,0.272916666666667,0.83206106870229,0.86046511627907,1,0.529166666666667,0.454166666666667,0.529166666666667,0.984496124031008,0.83206106870229,1,0.0625,0.534722222222222,0.627777777777778,0.363888888888889,0.470833333333333,1.34925373134328,0.680519480519481,"sKizzo",0.01,"ok" "Qq2k3k3v20v20m80s4",1,20,20,40,80,480,1,1,2,0,0,80,9,5,3,3,20,1.3625,1.6375,5.55,12,5.45,8.375,12,5.45,70.9,0.5375,0.235416666666667,0.529166666666667,0.492248062015504,0.272916666666667,0.83206106870229,0.86046511627907,1,0.529166666666667,0.454166666666667,0.529166666666667,0.984496124031008,0.83206106870229,1,0.0625,0.534722222222222,0.627777777777778,0.363888888888889,0.470833333333333,1.34925373134328,0.680519480519481,"sSolve",0.76,"ok" "Qq2k3k3v20v20m80s5",1,20,20,40,80,480,1,1,2,0,0,80,7,7,3,3,20,1.4125,1.5875,5.75,12,5.65,8.675,12,5.65,68.6,0.520833333333333,0.24375,0.5125,0.492,0.264583333333333,0.889763779527559,0.92,1,0.5125,0.470833333333333,0.5125,0.984,0.889763779527559,1,0.0875,0.518055555555555,0.65,0.352777777777778,0.4875,1.34870317002882,0.680965147453083,"X2clsQ",0.02,"ok" "Qq2k3k3v20v20m80s5",1,20,20,40,80,480,1,1,2,0,0,80,7,7,3,3,20,1.4125,1.5875,5.75,12,5.65,8.675,12,5.65,68.6,0.520833333333333,0.24375,0.5125,0.492,0.264583333333333,0.889763779527559,0.92,1,0.5125,0.470833333333333,0.5125,0.984,0.889763779527559,1,0.0875,0.518055555555555,0.65,0.352777777777778,0.4875,1.34870317002882,0.680965147453083,"quantor",0,"ok" "Qq2k3k3v20v20m80s5",1,20,20,40,80,480,1,1,2,0,0,80,7,7,3,3,20,1.4125,1.5875,5.75,12,5.65,8.675,12,5.65,68.6,0.520833333333333,0.24375,0.5125,0.492,0.264583333333333,0.889763779527559,0.92,1,0.5125,0.470833333333333,0.5125,0.984,0.889763779527559,1,0.0875,0.518055555555555,0.65,0.352777777777778,0.4875,1.34870317002882,0.680965147453083,"QuBE",0.07,"ok" "Qq2k3k3v20v20m80s5",1,20,20,40,80,480,1,1,2,0,0,80,7,7,3,3,20,1.4125,1.5875,5.75,12,5.65,8.675,12,5.65,68.6,0.520833333333333,0.24375,0.5125,0.492,0.264583333333333,0.889763779527559,0.92,1,0.5125,0.470833333333333,0.5125,0.984,0.889763779527559,1,0.0875,0.518055555555555,0.65,0.352777777777778,0.4875,1.34870317002882,0.680965147453083,"sKizzo",0,"ok" "Qq2k3k3v20v20m80s5",1,20,20,40,80,480,1,1,2,0,0,80,7,7,3,3,20,1.4125,1.5875,5.75,12,5.65,8.675,12,5.65,68.6,0.520833333333333,0.24375,0.5125,0.492,0.264583333333333,0.889763779527559,0.92,1,0.5125,0.470833333333333,0.5125,0.984,0.889763779527559,1,0.0875,0.518055555555555,0.65,0.352777777777778,0.4875,1.34870317002882,0.680965147453083,"sSolve",0.76,"ok" "Qq2k3k3v20v20m80s6",1,20,20,40,80,480,1,1,2,0,0,80,11,7,3,3,20,1.45,1.55,5.7,12,5.8,8.5,12,5.8,73.2,0.525,0.233333333333333,0.533333333333333,0.507936507936508,0.258333333333333,0.935483870967742,0.904761904761905,1,0.533333333333333,0.483333333333333,0.533333333333333,1.01587301587302,0.935483870967742,1,0.0875,0.527777777777778,0.622222222222222,0.344444444444444,0.466666666666667,1.31764705882353,0.652631578947368,"X2clsQ",0.01,"ok" "Qq2k3k3v20v20m80s6",1,20,20,40,80,480,1,1,2,0,0,80,11,7,3,3,20,1.45,1.55,5.7,12,5.8,8.5,12,5.8,73.2,0.525,0.233333333333333,0.533333333333333,0.507936507936508,0.258333333333333,0.935483870967742,0.904761904761905,1,0.533333333333333,0.483333333333333,0.533333333333333,1.01587301587302,0.935483870967742,1,0.0875,0.527777777777778,0.622222222222222,0.344444444444444,0.466666666666667,1.31764705882353,0.652631578947368,"quantor",0,"ok" "Qq2k3k3v20v20m80s6",1,20,20,40,80,480,1,1,2,0,0,80,11,7,3,3,20,1.45,1.55,5.7,12,5.8,8.5,12,5.8,73.2,0.525,0.233333333333333,0.533333333333333,0.507936507936508,0.258333333333333,0.935483870967742,0.904761904761905,1,0.533333333333333,0.483333333333333,0.533333333333333,1.01587301587302,0.935483870967742,1,0.0875,0.527777777777778,0.622222222222222,0.344444444444444,0.466666666666667,1.31764705882353,0.652631578947368,"QuBE",0.05,"ok" "Qq2k3k3v20v20m80s6",1,20,20,40,80,480,1,1,2,0,0,80,11,7,3,3,20,1.45,1.55,5.7,12,5.8,8.5,12,5.8,73.2,0.525,0.233333333333333,0.533333333333333,0.507936507936508,0.258333333333333,0.935483870967742,0.904761904761905,1,0.533333333333333,0.483333333333333,0.533333333333333,1.01587301587302,0.935483870967742,1,0.0875,0.527777777777778,0.622222222222222,0.344444444444444,0.466666666666667,1.31764705882353,0.652631578947368,"sKizzo",0,"ok" "Qq2k3k3v20v20m80s6",1,20,20,40,80,480,1,1,2,0,0,80,11,7,3,3,20,1.45,1.55,5.7,12,5.8,8.5,12,5.8,73.2,0.525,0.233333333333333,0.533333333333333,0.507936507936508,0.258333333333333,0.935483870967742,0.904761904761905,1,0.533333333333333,0.483333333333333,0.533333333333333,1.01587301587302,0.935483870967742,1,0.0875,0.527777777777778,0.622222222222222,0.344444444444444,0.466666666666667,1.31764705882353,0.652631578947368,"sSolve",0.91,"ok" "Qq2k3k3v20v20m80s7",1,20,20,40,80,480,1,1,2,0,0,80,7,10,3,3,20,1.4875,1.5125,6.025,12,5.95,9.075,12,5.95,70.5,0.497916666666667,0.254166666666667,0.491666666666667,0.493723849372385,0.252083333333333,0.983471074380165,1.00836820083682,1,0.491666666666667,0.495833333333333,0.491666666666667,0.98744769874477,0.983471074380165,1,0.125,0.495833333333333,0.677777777777778,0.336111111111111,0.508333333333333,1.34435261707989,0.677871148459384,"X2clsQ",0.01,"ok" "Qq2k3k3v20v20m80s7",1,20,20,40,80,480,1,1,2,0,0,80,7,10,3,3,20,1.4875,1.5125,6.025,12,5.95,9.075,12,5.95,70.5,0.497916666666667,0.254166666666667,0.491666666666667,0.493723849372385,0.252083333333333,0.983471074380165,1.00836820083682,1,0.491666666666667,0.495833333333333,0.491666666666667,0.98744769874477,0.983471074380165,1,0.125,0.495833333333333,0.677777777777778,0.336111111111111,0.508333333333333,1.34435261707989,0.677871148459384,"quantor",0,"ok" "Qq2k3k3v20v20m80s7",1,20,20,40,80,480,1,1,2,0,0,80,7,10,3,3,20,1.4875,1.5125,6.025,12,5.95,9.075,12,5.95,70.5,0.497916666666667,0.254166666666667,0.491666666666667,0.493723849372385,0.252083333333333,0.983471074380165,1.00836820083682,1,0.491666666666667,0.495833333333333,0.491666666666667,0.98744769874477,0.983471074380165,1,0.125,0.495833333333333,0.677777777777778,0.336111111111111,0.508333333333333,1.34435261707989,0.677871148459384,"QuBE",0.05,"ok" "Qq2k3k3v20v20m80s7",1,20,20,40,80,480,1,1,2,0,0,80,7,10,3,3,20,1.4875,1.5125,6.025,12,5.95,9.075,12,5.95,70.5,0.497916666666667,0.254166666666667,0.491666666666667,0.493723849372385,0.252083333333333,0.983471074380165,1.00836820083682,1,0.491666666666667,0.495833333333333,0.491666666666667,0.98744769874477,0.983471074380165,1,0.125,0.495833333333333,0.677777777777778,0.336111111111111,0.508333333333333,1.34435261707989,0.677871148459384,"sKizzo",0.01,"ok" "Qq2k3k3v20v20m80s7",1,20,20,40,80,480,1,1,2,0,0,80,7,10,3,3,20,1.4875,1.5125,6.025,12,5.95,9.075,12,5.95,70.5,0.497916666666667,0.254166666666667,0.491666666666667,0.493723849372385,0.252083333333333,0.983471074380165,1.00836820083682,1,0.491666666666667,0.495833333333333,0.491666666666667,0.98744769874477,0.983471074380165,1,0.125,0.495833333333333,0.677777777777778,0.336111111111111,0.508333333333333,1.34435261707989,0.677871148459384,"sSolve",0.84,"ok" "Qq2k3k3v20v20m80s8",1,20,20,40,80,480,1,1,2,0,0,80,11,13,3,3,20,1.675,1.325,6.175,12,6.7,9,12,6.7,69.1,0.485416666666667,0.235416666666667,0.529166666666667,0.545064377682403,0.220833333333333,1.26415094339623,1.06008583690987,1,0.529166666666667,0.558333333333333,0.529166666666667,1.09012875536481,1.26415094339623,1,0.1625,0.5,0.627777777777778,0.294444444444444,0.470833333333333,1.25555555555556,0.588888888888889,"X2clsQ",0.01,"ok" "Qq2k3k3v20v20m80s8",1,20,20,40,80,480,1,1,2,0,0,80,11,13,3,3,20,1.675,1.325,6.175,12,6.7,9,12,6.7,69.1,0.485416666666667,0.235416666666667,0.529166666666667,0.545064377682403,0.220833333333333,1.26415094339623,1.06008583690987,1,0.529166666666667,0.558333333333333,0.529166666666667,1.09012875536481,1.26415094339623,1,0.1625,0.5,0.627777777777778,0.294444444444444,0.470833333333333,1.25555555555556,0.588888888888889,"quantor",0,"ok" "Qq2k3k3v20v20m80s8",1,20,20,40,80,480,1,1,2,0,0,80,11,13,3,3,20,1.675,1.325,6.175,12,6.7,9,12,6.7,69.1,0.485416666666667,0.235416666666667,0.529166666666667,0.545064377682403,0.220833333333333,1.26415094339623,1.06008583690987,1,0.529166666666667,0.558333333333333,0.529166666666667,1.09012875536481,1.26415094339623,1,0.1625,0.5,0.627777777777778,0.294444444444444,0.470833333333333,1.25555555555556,0.588888888888889,"QuBE",0.06,"ok" "Qq2k3k3v20v20m80s8",1,20,20,40,80,480,1,1,2,0,0,80,11,13,3,3,20,1.675,1.325,6.175,12,6.7,9,12,6.7,69.1,0.485416666666667,0.235416666666667,0.529166666666667,0.545064377682403,0.220833333333333,1.26415094339623,1.06008583690987,1,0.529166666666667,0.558333333333333,0.529166666666667,1.09012875536481,1.26415094339623,1,0.1625,0.5,0.627777777777778,0.294444444444444,0.470833333333333,1.25555555555556,0.588888888888889,"sKizzo",0,"ok" "Qq2k3k3v20v20m80s8",1,20,20,40,80,480,1,1,2,0,0,80,11,13,3,3,20,1.675,1.325,6.175,12,6.7,9,12,6.7,69.1,0.485416666666667,0.235416666666667,0.529166666666667,0.545064377682403,0.220833333333333,1.26415094339623,1.06008583690987,1,0.529166666666667,0.558333333333333,0.529166666666667,1.09012875536481,1.26415094339623,1,0.1625,0.5,0.627777777777778,0.294444444444444,0.470833333333333,1.25555555555556,0.588888888888889,"sSolve",0.85,"ok" "Qq2k3k3v20v20m80s9",1,20,20,40,80,480,1,1,2,0,0,80,8,10,3,3,20,1.575,1.425,6.1,12,6.3,9.05,12,6.3,72.3,0.491666666666667,0.245833333333333,0.508333333333333,0.516949152542373,0.2375,1.10526315789474,1.03389830508475,1,0.508333333333333,0.525,0.508333333333333,1.03389830508475,1.10526315789474,1,0.125,0.497222222222222,0.655555555555556,0.316666666666667,0.491666666666667,1.30386740331492,0.636871508379888,"X2clsQ",0.04,"ok" "Qq2k3k3v20v20m80s9",1,20,20,40,80,480,1,1,2,0,0,80,8,10,3,3,20,1.575,1.425,6.1,12,6.3,9.05,12,6.3,72.3,0.491666666666667,0.245833333333333,0.508333333333333,0.516949152542373,0.2375,1.10526315789474,1.03389830508475,1,0.508333333333333,0.525,0.508333333333333,1.03389830508475,1.10526315789474,1,0.125,0.497222222222222,0.655555555555556,0.316666666666667,0.491666666666667,1.30386740331492,0.636871508379888,"quantor",0,"ok" "Qq2k3k3v20v20m80s9",1,20,20,40,80,480,1,1,2,0,0,80,8,10,3,3,20,1.575,1.425,6.1,12,6.3,9.05,12,6.3,72.3,0.491666666666667,0.245833333333333,0.508333333333333,0.516949152542373,0.2375,1.10526315789474,1.03389830508475,1,0.508333333333333,0.525,0.508333333333333,1.03389830508475,1.10526315789474,1,0.125,0.497222222222222,0.655555555555556,0.316666666666667,0.491666666666667,1.30386740331492,0.636871508379888,"QuBE",0.06,"ok" "Qq2k3k3v20v20m80s9",1,20,20,40,80,480,1,1,2,0,0,80,8,10,3,3,20,1.575,1.425,6.1,12,6.3,9.05,12,6.3,72.3,0.491666666666667,0.245833333333333,0.508333333333333,0.516949152542373,0.2375,1.10526315789474,1.03389830508475,1,0.508333333333333,0.525,0.508333333333333,1.03389830508475,1.10526315789474,1,0.125,0.497222222222222,0.655555555555556,0.316666666666667,0.491666666666667,1.30386740331492,0.636871508379888,"sKizzo",0,"ok" "Qq2k3k3v20v20m80s9",1,20,20,40,80,480,1,1,2,0,0,80,8,10,3,3,20,1.575,1.425,6.1,12,6.3,9.05,12,6.3,72.3,0.491666666666667,0.245833333333333,0.508333333333333,0.516949152542373,0.2375,1.10526315789474,1.03389830508475,1,0.508333333333333,0.525,0.508333333333333,1.03389830508475,1.10526315789474,1,0.125,0.497222222222222,0.655555555555556,0.316666666666667,0.491666666666667,1.30386740331492,0.636871508379888,"sSolve",0.79,"ok" "Qq2k3k3v50v50m1000s1",1,50,50,100,1000,6000,1,1,2,0,0,1000,106,101,3,3,50,1.48,1.52,30.07,60,29.6,45.34,60,29.6,1804.96,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m1000s1",1,50,50,100,1000,6000,1,1,2,0,0,1000,106,101,3,3,50,1.48,1.52,30.07,60,29.6,45.34,60,29.6,1804.96,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s1",1,50,50,100,1000,6000,1,1,2,0,0,1000,106,101,3,3,50,1.48,1.52,30.07,60,29.6,45.34,60,29.6,1804.96,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s1",1,50,50,100,1000,6000,1,1,2,0,0,1000,106,101,3,3,50,1.48,1.52,30.07,60,29.6,45.34,60,29.6,1804.96,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s1",1,50,50,100,1000,6000,1,1,2,0,0,1000,106,101,3,3,50,1.48,1.52,30.07,60,29.6,45.34,60,29.6,1804.96,0.498833333333333,0.2545,0.491,0.492148346140996,0.253333333333333,0.973684210526316,1.00467758102239,1,0.491,0.493333333333333,0.491,0.984296692281991,0.973684210526316,1,0.101,0.496222222222222,0.678666666666667,0.337777777777778,0.509,1.34715483017203,0.680698611733095,"sSolve",1.33,"ok" "Qq2k3k3v50v50m1000s10",1,50,50,100,1000,6000,1,1,2,0,0,1000,101,111,3,3,50,1.511,1.489,30.17,60,30.22,45.23,60,30.22,1796.88,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m1000s10",1,50,50,100,1000,6000,1,1,2,0,0,1000,101,111,3,3,50,1.511,1.489,30.17,60,30.22,45.23,60,30.22,1796.88,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s10",1,50,50,100,1000,6000,1,1,2,0,0,1000,101,111,3,3,50,1.511,1.489,30.17,60,30.22,45.23,60,30.22,1796.88,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s10",1,50,50,100,1000,6000,1,1,2,0,0,1000,101,111,3,3,50,1.511,1.489,30.17,60,30.22,45.23,60,30.22,1796.88,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s10",1,50,50,100,1000,6000,1,1,2,0,0,1000,101,111,3,3,50,1.511,1.489,30.17,60,30.22,45.23,60,30.22,1796.88,0.497166666666667,0.251,0.498,0.500838082467315,0.248166666666667,1.01477501678979,1.01139792155548,1,0.498,0.503666666666667,0.498,1.00167616493463,1.01477501678979,1,0.111,0.497444444444444,0.669333333333333,0.330888888888889,0.502,1.3318593853637,0.665177574268483,"sSolve",0.82,"ok" "Qq2k3k3v50v50m1000s2",1,50,50,100,1000,6000,1,1,2,0,0,1000,120,116,3,3,50,1.487,1.513,29.85,60,29.74,44.83,60,29.74,1793.76,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m1000s2",1,50,50,100,1000,6000,1,1,2,0,0,1000,120,116,3,3,50,1.487,1.513,29.85,60,29.74,44.83,60,29.74,1793.76,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s2",1,50,50,100,1000,6000,1,1,2,0,0,1000,120,116,3,3,50,1.487,1.513,29.85,60,29.74,44.83,60,29.74,1793.76,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s2",1,50,50,100,1000,6000,1,1,2,0,0,1000,120,116,3,3,50,1.487,1.513,29.85,60,29.74,44.83,60,29.74,1793.76,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s2",1,50,50,100,1000,6000,1,1,2,0,0,1000,120,116,3,3,50,1.487,1.513,29.85,60,29.74,44.83,60,29.74,1793.76,0.5025,0.249666666666667,0.500666666666667,0.498175787728027,0.252166666666667,0.982815598149372,0.990049751243781,1,0.500666666666667,0.495666666666667,0.500666666666667,0.996351575456053,0.982815598149372,1,0.116,0.501888888888889,0.665777777777778,0.336222222222222,0.499333333333333,1.33660495204104,0.669913659508523,"sSolve",2.38,"ok" "Qq2k3k3v50v50m1000s3",1,50,50,100,1000,6000,1,1,2,0,0,1000,121,128,3,3,50,1.488,1.512,30.2,60,29.76,45.52,60,29.76,1795.96,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m1000s3",1,50,50,100,1000,6000,1,1,2,0,0,1000,121,128,3,3,50,1.488,1.512,30.2,60,29.76,45.52,60,29.76,1795.96,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s3",1,50,50,100,1000,6000,1,1,2,0,0,1000,121,128,3,3,50,1.488,1.512,30.2,60,29.76,45.52,60,29.76,1795.96,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s3",1,50,50,100,1000,6000,1,1,2,0,0,1000,121,128,3,3,50,1.488,1.512,30.2,60,29.76,45.52,60,29.76,1795.96,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s3",1,50,50,100,1000,6000,1,1,2,0,0,1000,121,128,3,3,50,1.488,1.512,30.2,60,29.76,45.52,60,29.76,1795.96,0.496666666666667,0.255333333333333,0.489333333333333,0.492617449664429,0.252,0.984126984126984,1.01342281879195,1,0.489333333333333,0.496,0.489333333333333,0.985234899328859,0.984126984126984,1,0.128,0.494222222222222,0.680888888888889,0.336,0.510666666666667,1.34622144112478,0.679856115107914,"sSolve",4.1,"ok" "Qq2k3k3v50v50m1000s4",1,50,50,100,1000,6000,1,1,2,0,0,1000,127,119,3,3,50,1.507,1.493,29.69,60,30.14,44.31,60,30.14,1800.32,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m1000s4",1,50,50,100,1000,6000,1,1,2,0,0,1000,127,119,3,3,50,1.507,1.493,29.69,60,30.14,44.31,60,30.14,1800.32,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s4",1,50,50,100,1000,6000,1,1,2,0,0,1000,127,119,3,3,50,1.507,1.493,29.69,60,30.14,44.31,60,30.14,1800.32,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s4",1,50,50,100,1000,6000,1,1,2,0,0,1000,127,119,3,3,50,1.507,1.493,29.69,60,30.14,44.31,60,30.14,1800.32,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s4",1,50,50,100,1000,6000,1,1,2,0,0,1000,127,119,3,3,50,1.507,1.493,29.69,60,30.14,44.31,60,30.14,1800.32,0.505166666666667,0.243666666666667,0.512666666666667,0.507423292642692,0.248833333333333,1.00937709310114,0.979544704717915,1,0.512666666666667,0.502333333333333,0.512666666666667,1.01484658528538,1.00937709310114,1,0.119,0.507666666666667,0.649777777777778,0.331777777777778,0.487333333333333,1.31979237192507,0.653534690304224,"sSolve",0.81,"ok" "Qq2k3k3v50v50m1000s5",1,50,50,100,1000,6000,1,1,2,0,0,1000,117,114,3,3,50,1.482,1.518,29.68,60,29.64,44.54,60,29.64,1793.08,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m1000s5",1,50,50,100,1000,6000,1,1,2,0,0,1000,117,114,3,3,50,1.482,1.518,29.68,60,29.64,44.54,60,29.64,1793.08,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s5",1,50,50,100,1000,6000,1,1,2,0,0,1000,117,114,3,3,50,1.482,1.518,29.68,60,29.64,44.54,60,29.64,1793.08,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s5",1,50,50,100,1000,6000,1,1,2,0,0,1000,117,114,3,3,50,1.482,1.518,29.68,60,29.64,44.54,60,29.64,1793.08,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s5",1,50,50,100,1000,6000,1,1,2,0,0,1000,117,114,3,3,50,1.482,1.518,29.68,60,29.64,44.54,60,29.64,1793.08,0.505333333333333,0.247666666666667,0.504666666666667,0.49934036939314,0.253,0.976284584980237,0.978891820580475,1,0.504666666666667,0.494,0.504666666666667,0.99868073878628,0.976284584980237,1,0.114,0.505111111111111,0.660444444444444,0.337333333333333,0.495333333333333,1.33453075886843,0.667839859216894,"sSolve",1.15,"ok" "Qq2k3k3v50v50m1000s6",1,50,50,100,1000,6000,1,1,2,0,0,1000,109,130,3,3,50,1.54,1.46,30.46,60,30.8,45.52,60,30.8,1803.44,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m1000s6",1,50,50,100,1000,6000,1,1,2,0,0,1000,109,130,3,3,50,1.54,1.46,30.46,60,30.8,45.52,60,30.8,1803.44,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s6",1,50,50,100,1000,6000,1,1,2,0,0,1000,109,130,3,3,50,1.54,1.46,30.46,60,30.8,45.52,60,30.8,1803.44,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s6",1,50,50,100,1000,6000,1,1,2,0,0,1000,109,130,3,3,50,1.54,1.46,30.46,60,30.8,45.52,60,30.8,1803.44,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s6",1,50,50,100,1000,6000,1,1,2,0,0,1000,109,130,3,3,50,1.54,1.46,30.46,60,30.8,45.52,60,30.8,1803.44,0.492333333333333,0.251,0.498,0.50575490859851,0.243333333333333,1.05479452054795,1.031144211239,1,0.498,0.513333333333333,0.498,1.01150981719702,1.05479452054795,1,0.13,0.494222222222222,0.669333333333333,0.324444444444444,0.502,1.32337434094903,0.656474820143885,"sSolve",1.38,"ok" "Qq2k3k3v50v50m1000s7",1,50,50,100,1000,6000,1,1,2,0,0,1000,126,114,3,3,50,1.474,1.526,29.72,60,29.48,44.7,60,29.48,1814.68,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m1000s7",1,50,50,100,1000,6000,1,1,2,0,0,1000,126,114,3,3,50,1.474,1.526,29.72,60,29.48,44.7,60,29.48,1814.68,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s7",1,50,50,100,1000,6000,1,1,2,0,0,1000,126,114,3,3,50,1.474,1.526,29.72,60,29.48,44.7,60,29.48,1814.68,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s7",1,50,50,100,1000,6000,1,1,2,0,0,1000,126,114,3,3,50,1.474,1.526,29.72,60,29.48,44.7,60,29.48,1814.68,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s7",1,50,50,100,1000,6000,1,1,2,0,0,1000,126,114,3,3,50,1.474,1.526,29.72,60,29.48,44.7,60,29.48,1814.68,0.504666666666667,0.249666666666667,0.500666666666667,0.496036988110964,0.254333333333333,0.965923984272608,0.981505944517833,1,0.500666666666667,0.491333333333333,0.500666666666667,0.992073976221929,0.965923984272608,1,0.114,0.503333333333333,0.665777777777778,0.339111111111111,0.499333333333333,1.34049217002237,0.673730684326711,"sSolve",1,"ok" "Qq2k3k3v50v50m1000s8",1,50,50,100,1000,6000,1,1,2,0,0,1000,91,122,3,3,50,1.527,1.473,30.46,60,30.54,45.65,60,30.54,1785.28,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m1000s8",1,50,50,100,1000,6000,1,1,2,0,0,1000,91,122,3,3,50,1.527,1.473,30.46,60,30.54,45.65,60,30.54,1785.28,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s8",1,50,50,100,1000,6000,1,1,2,0,0,1000,91,122,3,3,50,1.527,1.473,30.46,60,30.54,45.65,60,30.54,1785.28,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s8",1,50,50,100,1000,6000,1,1,2,0,0,1000,91,122,3,3,50,1.527,1.473,30.46,60,30.54,45.65,60,30.54,1785.28,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s8",1,50,50,100,1000,6000,1,1,2,0,0,1000,91,122,3,3,50,1.527,1.473,30.46,60,30.54,45.65,60,30.54,1785.28,0.492333333333333,0.253166666666667,0.493666666666667,0.501354096140826,0.2455,1.03665987780041,1.031144211239,1,0.493666666666667,0.509,0.493666666666667,1.00270819228165,1.03665987780041,1,0.122,0.492777777777778,0.675111111111111,0.327333333333333,0.506333333333333,1.33099671412924,0.664261555806088,"sSolve",1.21,"ok" "Qq2k3k3v50v50m1000s9",1,50,50,100,1000,6000,1,1,2,0,0,1000,110,110,3,3,50,1.467,1.533,29.7,60,29.34,44.73,60,29.34,1794.48,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m1000s9",1,50,50,100,1000,6000,1,1,2,0,0,1000,110,110,3,3,50,1.467,1.533,29.7,60,29.34,44.73,60,29.34,1794.48,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"quantor",3600,"memout" "Qq2k3k3v50v50m1000s9",1,50,50,100,1000,6000,1,1,2,0,0,1000,110,110,3,3,50,1.467,1.533,29.7,60,29.34,44.73,60,29.34,1794.48,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"QuBE",3600,"timeout" "Qq2k3k3v50v50m1000s9",1,50,50,100,1000,6000,1,1,2,0,0,1000,110,110,3,3,50,1.467,1.533,29.7,60,29.34,44.73,60,29.34,1794.48,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"sKizzo",3600,"memout" "Qq2k3k3v50v50m1000s9",1,50,50,100,1000,6000,1,1,2,0,0,1000,110,110,3,3,50,1.467,1.533,29.7,60,29.34,44.73,60,29.34,1794.48,0.505,0.2505,0.499,0.494059405940594,0.2555,0.956947162426615,0.98019801980198,1,0.499,0.489,0.499,0.988118811881188,0.956947162426614,1,0.11,0.503,0.668,0.340666666666667,0.501,1.34406438631791,0.677269715043075,"sSolve",6.99,"ok" "Qq2k3k3v50v50m200s1",1,50,50,100,200,1200,1,1,2,0,0,200,18,23,3,3,50,1.51,1.49,6.18,12,6.04,9.34,12,6.04,74.08,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m200s1",1,50,50,100,200,1200,1,1,2,0,0,200,18,23,3,3,50,1.51,1.49,6.18,12,6.04,9.34,12,6.04,74.08,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"quantor",175.09,"ok" "Qq2k3k3v50v50m200s1",1,50,50,100,200,1200,1,1,2,0,0,200,18,23,3,3,50,1.51,1.49,6.18,12,6.04,9.34,12,6.04,74.08,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"QuBE",150.63,"ok" "Qq2k3k3v50v50m200s1",1,50,50,100,200,1200,1,1,2,0,0,200,18,23,3,3,50,1.51,1.49,6.18,12,6.04,9.34,12,6.04,74.08,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"sKizzo",1235.52,"ok" "Qq2k3k3v50v50m200s1",1,50,50,100,200,1200,1,1,2,0,0,200,18,23,3,3,50,1.51,1.49,6.18,12,6.04,9.34,12,6.04,74.08,0.485,0.263333333333333,0.473333333333333,0.487972508591065,0.248333333333333,1.01342281879195,1.06185567010309,1,0.473333333333333,0.503333333333333,0.473333333333333,0.97594501718213,1.01342281879195,1,0.115,0.481111111111111,0.702222222222222,0.331111111111111,0.526666666666667,1.35331905781585,0.688221709006928,"sSolve",0.82,"ok" "Qq2k3k3v50v50m200s10",1,50,50,100,200,1200,1,1,2,0,0,200,25,19,3,3,50,1.475,1.525,5.81,12,5.9,8.67,12,5.9,68.84,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m200s10",1,50,50,100,200,1200,1,1,2,0,0,200,25,19,3,3,50,1.475,1.525,5.81,12,5.9,8.67,12,5.9,68.84,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"quantor",25.78,"ok" "Qq2k3k3v50v50m200s10",1,50,50,100,200,1200,1,1,2,0,0,200,25,19,3,3,50,1.475,1.525,5.81,12,5.9,8.67,12,5.9,68.84,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"QuBE",10.07,"ok" "Qq2k3k3v50v50m200s10",1,50,50,100,200,1200,1,1,2,0,0,200,25,19,3,3,50,1.475,1.525,5.81,12,5.9,8.67,12,5.9,68.84,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"sKizzo",0,"ok" "Qq2k3k3v50v50m200s10",1,50,50,100,200,1200,1,1,2,0,0,200,25,19,3,3,50,1.475,1.525,5.81,12,5.9,8.67,12,5.9,68.84,0.515833333333333,0.238333333333333,0.523333333333333,0.507269789983845,0.254166666666667,0.967213114754098,0.938610662358643,1,0.523333333333333,0.491666666666667,0.523333333333333,1.01453957996769,0.967213114754098,1,0.095,0.518333333333333,0.635555555555556,0.338888888888889,0.476666666666667,1.31949250288351,0.653804930332262,"sSolve",0.78,"ok" "Qq2k3k3v50v50m200s2",1,50,50,100,200,1200,1,1,2,0,0,200,27,19,3,3,50,1.44,1.56,5.92,12,5.76,8.96,12,5.76,74.8,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m200s2",1,50,50,100,200,1200,1,1,2,0,0,200,27,19,3,3,50,1.44,1.56,5.92,12,5.76,8.96,12,5.76,74.8,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"quantor",118.86,"ok" "Qq2k3k3v50v50m200s2",1,50,50,100,200,1200,1,1,2,0,0,200,27,19,3,3,50,1.44,1.56,5.92,12,5.76,8.96,12,5.76,74.8,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"QuBE",87.29,"ok" "Qq2k3k3v50v50m200s2",1,50,50,100,200,1200,1,1,2,0,0,200,27,19,3,3,50,1.44,1.56,5.92,12,5.76,8.96,12,5.76,74.8,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"sKizzo",67.1,"ok" "Qq2k3k3v50v50m200s2",1,50,50,100,200,1200,1,1,2,0,0,200,27,19,3,3,50,1.44,1.56,5.92,12,5.76,8.96,12,5.76,74.8,0.506666666666667,0.253333333333333,0.493333333333333,0.486842105263158,0.26,0.923076923076923,0.973684210526316,1,0.493333333333333,0.48,0.493333333333333,0.973684210526316,0.923076923076923,1,0.095,0.502222222222222,0.675555555555556,0.346666666666667,0.506666666666667,1.35714285714286,0.690265486725664,"sSolve",0.86,"ok" "Qq2k3k3v50v50m200s3",1,50,50,100,200,1200,1,1,2,0,0,200,28,25,3,3,50,1.475,1.525,5.97,12,5.9,8.99,12,5.9,70.16,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m200s3",1,50,50,100,200,1200,1,1,2,0,0,200,28,25,3,3,50,1.475,1.525,5.97,12,5.9,8.99,12,5.9,70.16,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"quantor",46.79,"ok" "Qq2k3k3v50v50m200s3",1,50,50,100,200,1200,1,1,2,0,0,200,28,25,3,3,50,1.475,1.525,5.97,12,5.9,8.99,12,5.9,70.16,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"QuBE",50.84,"ok" "Qq2k3k3v50v50m200s3",1,50,50,100,200,1200,1,1,2,0,0,200,28,25,3,3,50,1.475,1.525,5.97,12,5.9,8.99,12,5.9,70.16,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"sKizzo",3600,"memout" "Qq2k3k3v50v50m200s3",1,50,50,100,200,1200,1,1,2,0,0,200,28,25,3,3,50,1.475,1.525,5.97,12,5.9,8.99,12,5.9,70.16,0.5025,0.251666666666667,0.496666666666667,0.494195688225539,0.254166666666667,0.967213114754098,0.990049751243781,1,0.496666666666667,0.491666666666667,0.496666666666667,0.988391376451078,0.967213114754098,1,0.125,0.500555555555556,0.671111111111111,0.338888888888889,0.503333333333333,1.34371523915462,0.677025527192009,"sSolve",0.9,"ok" "Qq2k3k3v50v50m200s4",1,50,50,100,200,1200,1,1,2,0,0,200,23,19,3,3,50,1.51,1.49,5.85,12,6.04,8.68,12,6.04,70.04,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540772,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m200s4",1,50,50,100,200,1200,1,1,2,0,0,200,23,19,3,3,50,1.51,1.49,5.85,12,6.04,8.68,12,6.04,70.04,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540772,"quantor",164.89,"ok" "Qq2k3k3v50v50m200s4",1,50,50,100,200,1200,1,1,2,0,0,200,23,19,3,3,50,1.51,1.49,5.85,12,6.04,8.68,12,6.04,70.04,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540772,"QuBE",133.18,"ok" "Qq2k3k3v50v50m200s4",1,50,50,100,200,1200,1,1,2,0,0,200,23,19,3,3,50,1.51,1.49,5.85,12,6.04,8.68,12,6.04,70.04,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540772,"sKizzo",0.47,"ok" "Qq2k3k3v50v50m200s4",1,50,50,100,200,1200,1,1,2,0,0,200,23,19,3,3,50,1.51,1.49,5.85,12,6.04,8.68,12,6.04,70.04,0.5125,0.235833333333333,0.528333333333333,0.515447154471545,0.248333333333333,1.01342281879195,0.951219512195122,1,0.528333333333333,0.503333333333333,0.528333333333333,1.03089430894309,1.01342281879195,1,0.095,0.517777777777778,0.628888888888889,0.331111111111111,0.471666666666667,1.30414746543779,0.639484978540772,"sSolve",0.87,"ok" "Qq2k3k3v50v50m200s5",1,50,50,100,200,1200,1,1,2,0,0,200,21,16,3,3,50,1.465,1.535,5.84,12,5.86,8.75,12,5.86,74.28,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m200s5",1,50,50,100,200,1200,1,1,2,0,0,200,21,16,3,3,50,1.465,1.535,5.84,12,5.86,8.75,12,5.86,74.28,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"quantor",3600,"memout" "Qq2k3k3v50v50m200s5",1,50,50,100,200,1200,1,1,2,0,0,200,21,16,3,3,50,1.465,1.535,5.84,12,5.86,8.75,12,5.86,74.28,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"QuBE",844.85,"ok" "Qq2k3k3v50v50m200s5",1,50,50,100,200,1200,1,1,2,0,0,200,21,16,3,3,50,1.465,1.535,5.84,12,5.86,8.75,12,5.86,74.28,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"sKizzo",17.52,"ok" "Qq2k3k3v50v50m200s5",1,50,50,100,200,1200,1,1,2,0,0,200,21,16,3,3,50,1.465,1.535,5.84,12,5.86,8.75,12,5.86,74.28,0.513333333333333,0.2425,0.515,0.501623376623377,0.255833333333333,0.954397394136808,0.948051948051948,1,0.515,0.488333333333333,0.515,1.00324675324675,0.954397394136808,1,0.08,0.513888888888889,0.646666666666667,0.341111111111111,0.485,1.33028571428571,0.663783783783784,"sSolve",0.77,"ok" "Qq2k3k3v50v50m200s6",1,50,50,100,200,1200,1,1,2,0,0,200,27,20,3,3,50,1.48,1.52,5.86,12,5.92,8.76,12,5.92,72.28,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m200s6",1,50,50,100,200,1200,1,1,2,0,0,200,27,20,3,3,50,1.48,1.52,5.86,12,5.92,8.76,12,5.92,72.28,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"quantor",284.11,"ok" "Qq2k3k3v50v50m200s6",1,50,50,100,200,1200,1,1,2,0,0,200,27,20,3,3,50,1.48,1.52,5.86,12,5.92,8.76,12,5.92,72.28,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"QuBE",66.4,"ok" "Qq2k3k3v50v50m200s6",1,50,50,100,200,1200,1,1,2,0,0,200,27,20,3,3,50,1.48,1.52,5.86,12,5.92,8.76,12,5.92,72.28,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"sKizzo",70.65,"ok" "Qq2k3k3v50v50m200s6",1,50,50,100,200,1200,1,1,2,0,0,200,27,20,3,3,50,1.48,1.52,5.86,12,5.92,8.76,12,5.92,72.28,0.511666666666667,0.241666666666667,0.516666666666667,0.504885993485342,0.253333333333333,0.973684210526316,0.954397394136808,1,0.516666666666667,0.493333333333333,0.516666666666667,1.00977198697068,0.973684210526316,1,0.1,0.513333333333333,0.644444444444444,0.337777777777778,0.483333333333333,1.32420091324201,0.658008658008658,"sSolve",0.86,"ok" "Qq2k3k3v50v50m200s7",1,50,50,100,200,1200,1,1,2,0,0,200,19,27,3,3,50,1.48,1.52,6.03,12,5.92,9.1,12,5.92,74.48,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"X2clsQ",840.58,"ok" "Qq2k3k3v50v50m200s7",1,50,50,100,200,1200,1,1,2,0,0,200,19,27,3,3,50,1.48,1.52,6.03,12,5.92,9.1,12,5.92,74.48,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"quantor",3600,"memout" "Qq2k3k3v50v50m200s7",1,50,50,100,200,1200,1,1,2,0,0,200,19,27,3,3,50,1.48,1.52,6.03,12,5.92,9.1,12,5.92,74.48,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"QuBE",14.94,"ok" "Qq2k3k3v50v50m200s7",1,50,50,100,200,1200,1,1,2,0,0,200,19,27,3,3,50,1.48,1.52,6.03,12,5.92,9.1,12,5.92,74.48,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"sKizzo",0.64,"ok" "Qq2k3k3v50v50m200s7",1,50,50,100,200,1200,1,1,2,0,0,200,19,27,3,3,50,1.48,1.52,6.03,12,5.92,9.1,12,5.92,74.48,0.4975,0.255833333333333,0.488333333333333,0.490787269681742,0.253333333333333,0.973684210526316,1.01005025125628,1,0.488333333333333,0.493333333333333,0.488333333333333,0.981574539363484,0.973684210526316,1,0.135,0.494444444444444,0.682222222222222,0.337777777777778,0.511666666666667,1.34945054945055,0.68314606741573,"sSolve",0.77,"ok" "Qq2k3k3v50v50m200s8",1,50,50,100,200,1200,1,1,2,0,0,200,24,22,3,3,50,1.54,1.46,5.87,12,6.16,8.66,12,6.16,73.88,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m200s8",1,50,50,100,200,1200,1,1,2,0,0,200,24,22,3,3,50,1.54,1.46,5.87,12,6.16,8.66,12,6.16,73.88,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"quantor",93.39,"ok" "Qq2k3k3v50v50m200s8",1,50,50,100,200,1200,1,1,2,0,0,200,24,22,3,3,50,1.54,1.46,5.87,12,6.16,8.66,12,6.16,73.88,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"QuBE",40.82,"ok" "Qq2k3k3v50v50m200s8",1,50,50,100,200,1200,1,1,2,0,0,200,24,22,3,3,50,1.54,1.46,5.87,12,6.16,8.66,12,6.16,73.88,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"sKizzo",0.08,"ok" "Qq2k3k3v50v50m200s8",1,50,50,100,200,1200,1,1,2,0,0,200,24,22,3,3,50,1.54,1.46,5.87,12,6.16,8.66,12,6.16,73.88,0.510833333333333,0.2325,0.535,0.523654159869494,0.243333333333333,1.05479452054795,0.957585644371941,1,0.535,0.513333333333333,0.535,1.04730831973899,1.05479452054795,1,0.11,0.518888888888889,0.62,0.324444444444444,0.465,1.28868360277136,0.625267665952891,"sSolve",0.77,"ok" "Qq2k3k3v50v50m200s9",1,50,50,100,200,1200,1,1,2,0,0,200,25,24,3,3,50,1.495,1.505,5.95,12,5.98,8.91,12,5.98,68.88,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"X2clsQ",1261.04,"ok" "Qq2k3k3v50v50m200s9",1,50,50,100,200,1200,1,1,2,0,0,200,25,24,3,3,50,1.495,1.505,5.95,12,5.98,8.91,12,5.98,68.88,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"quantor",3600,"memout" "Qq2k3k3v50v50m200s9",1,50,50,100,200,1200,1,1,2,0,0,200,25,24,3,3,50,1.495,1.505,5.95,12,5.98,8.91,12,5.98,68.88,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"QuBE",9.73,"ok" "Qq2k3k3v50v50m200s9",1,50,50,100,200,1200,1,1,2,0,0,200,25,24,3,3,50,1.495,1.505,5.95,12,5.98,8.91,12,5.98,68.88,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"sKizzo",9.03,"ok" "Qq2k3k3v50v50m200s9",1,50,50,100,200,1200,1,1,2,0,0,200,25,24,3,3,50,1.495,1.505,5.95,12,5.98,8.91,12,5.98,68.88,0.504166666666667,0.246666666666667,0.506666666666667,0.502479338842975,0.250833333333333,0.993355481727575,0.983471074380165,1,0.506666666666667,0.498333333333333,0.506666666666667,1.00495867768595,0.993355481727575,1,0.12,0.505,0.657777777777778,0.334444444444444,0.493333333333333,1.32884399551066,0.662266226622662,"sSolve",0.86,"ok" "Qq2k3k3v50v50m300s1",1,50,50,100,300,1800,1,1,2,0,0,300,31,36,3,3,50,1.48666666666667,1.51333333333333,9.2,18,8.92,13.94,18,8.92,161.2,0.488888888888889,0.263333333333333,0.473333333333333,0.484090909090909,0.252222222222222,0.982378854625551,1.04545454545455,1,0.473333333333333,0.495555555555556,0.473333333333333,0.968181818181818,0.982378854625551,1,0.12,0.483703703703704,0.702222222222222,0.336296296296296,0.526666666666667,1.36011477761836,0.695252679938744,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s1",1,50,50,100,300,1800,1,1,2,0,0,300,31,36,3,3,50,1.48666666666667,1.51333333333333,9.2,18,8.92,13.94,18,8.92,161.2,0.488888888888889,0.263333333333333,0.473333333333333,0.484090909090909,0.252222222222222,0.982378854625551,1.04545454545455,1,0.473333333333333,0.495555555555556,0.473333333333333,0.968181818181818,0.982378854625551,1,0.12,0.483703703703704,0.702222222222222,0.336296296296296,0.526666666666667,1.36011477761836,0.695252679938744,"quantor",3600,"memout" "Qq2k3k3v50v50m300s1",1,50,50,100,300,1800,1,1,2,0,0,300,31,36,3,3,50,1.48666666666667,1.51333333333333,9.2,18,8.92,13.94,18,8.92,161.2,0.488888888888889,0.263333333333333,0.473333333333333,0.484090909090909,0.252222222222222,0.982378854625551,1.04545454545455,1,0.473333333333333,0.495555555555556,0.473333333333333,0.968181818181818,0.982378854625551,1,0.12,0.483703703703704,0.702222222222222,0.336296296296296,0.526666666666667,1.36011477761836,0.695252679938744,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s1",1,50,50,100,300,1800,1,1,2,0,0,300,31,36,3,3,50,1.48666666666667,1.51333333333333,9.2,18,8.92,13.94,18,8.92,161.2,0.488888888888889,0.263333333333333,0.473333333333333,0.484090909090909,0.252222222222222,0.982378854625551,1.04545454545455,1,0.473333333333333,0.495555555555556,0.473333333333333,0.968181818181818,0.982378854625551,1,0.12,0.483703703703704,0.702222222222222,0.336296296296296,0.526666666666667,1.36011477761836,0.695252679938744,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s1",1,50,50,100,300,1800,1,1,2,0,0,300,31,36,3,3,50,1.48666666666667,1.51333333333333,9.2,18,8.92,13.94,18,8.92,161.2,0.488888888888889,0.263333333333333,0.473333333333333,0.484090909090909,0.252222222222222,0.982378854625551,1.04545454545455,1,0.473333333333333,0.495555555555556,0.473333333333333,0.968181818181818,0.982378854625551,1,0.12,0.483703703703704,0.702222222222222,0.336296296296296,0.526666666666667,1.36011477761836,0.695252679938744,"sSolve",0.95,"ok" "Qq2k3k3v50v50m300s10",1,50,50,100,300,1800,1,1,2,0,0,300,33,29,3,3,50,1.48,1.52,8.84,18,8.88,13.24,18,8.88,158.64,0.508888888888889,0.244444444444444,0.511111111111111,0.502183406113537,0.253333333333333,0.973684210526316,0.965065502183406,1,0.511111111111111,0.493333333333333,0.511111111111111,1.00436681222707,0.973684210526316,1,0.0966666666666667,0.50962962962963,0.651851851851852,0.337777777777778,0.488888888888889,1.32930513595166,0.662790697674419,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s10",1,50,50,100,300,1800,1,1,2,0,0,300,33,29,3,3,50,1.48,1.52,8.84,18,8.88,13.24,18,8.88,158.64,0.508888888888889,0.244444444444444,0.511111111111111,0.502183406113537,0.253333333333333,0.973684210526316,0.965065502183406,1,0.511111111111111,0.493333333333333,0.511111111111111,1.00436681222707,0.973684210526316,1,0.0966666666666667,0.50962962962963,0.651851851851852,0.337777777777778,0.488888888888889,1.32930513595166,0.662790697674419,"quantor",3600,"memout" "Qq2k3k3v50v50m300s10",1,50,50,100,300,1800,1,1,2,0,0,300,33,29,3,3,50,1.48,1.52,8.84,18,8.88,13.24,18,8.88,158.64,0.508888888888889,0.244444444444444,0.511111111111111,0.502183406113537,0.253333333333333,0.973684210526316,0.965065502183406,1,0.511111111111111,0.493333333333333,0.511111111111111,1.00436681222707,0.973684210526316,1,0.0966666666666667,0.50962962962963,0.651851851851852,0.337777777777778,0.488888888888889,1.32930513595166,0.662790697674419,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s10",1,50,50,100,300,1800,1,1,2,0,0,300,33,29,3,3,50,1.48,1.52,8.84,18,8.88,13.24,18,8.88,158.64,0.508888888888889,0.244444444444444,0.511111111111111,0.502183406113537,0.253333333333333,0.973684210526316,0.965065502183406,1,0.511111111111111,0.493333333333333,0.511111111111111,1.00436681222707,0.973684210526316,1,0.0966666666666667,0.50962962962963,0.651851851851852,0.337777777777778,0.488888888888889,1.32930513595166,0.662790697674419,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s10",1,50,50,100,300,1800,1,1,2,0,0,300,33,29,3,3,50,1.48,1.52,8.84,18,8.88,13.24,18,8.88,158.64,0.508888888888889,0.244444444444444,0.511111111111111,0.502183406113537,0.253333333333333,0.973684210526316,0.965065502183406,1,0.511111111111111,0.493333333333333,0.511111111111111,1.00436681222707,0.973684210526316,1,0.0966666666666667,0.50962962962963,0.651851851851852,0.337777777777778,0.488888888888889,1.32930513595166,0.662790697674419,"sSolve",0.91,"ok" "Qq2k3k3v50v50m300s2",1,50,50,100,300,1800,1,1,2,0,0,300,36,35,3,3,50,1.51666666666667,1.48333333333333,9.09,18,9.1,13.63,18,9.1,170.48,0.495,0.252222222222222,0.495555555555556,0.500561167227834,0.247222222222222,1.02247191011236,1.02020202020202,1,0.495555555555556,0.505555555555556,0.495555555555556,1.00112233445567,1.02247191011236,1,0.116666666666667,0.495185185185185,0.672592592592593,0.32962962962963,0.504444444444444,1.33235509904622,0.665669409124907,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s2",1,50,50,100,300,1800,1,1,2,0,0,300,36,35,3,3,50,1.51666666666667,1.48333333333333,9.09,18,9.1,13.63,18,9.1,170.48,0.495,0.252222222222222,0.495555555555556,0.500561167227834,0.247222222222222,1.02247191011236,1.02020202020202,1,0.495555555555556,0.505555555555556,0.495555555555556,1.00112233445567,1.02247191011236,1,0.116666666666667,0.495185185185185,0.672592592592593,0.32962962962963,0.504444444444444,1.33235509904622,0.665669409124907,"quantor",3600,"memout" "Qq2k3k3v50v50m300s2",1,50,50,100,300,1800,1,1,2,0,0,300,36,35,3,3,50,1.51666666666667,1.48333333333333,9.09,18,9.1,13.63,18,9.1,170.48,0.495,0.252222222222222,0.495555555555556,0.500561167227834,0.247222222222222,1.02247191011236,1.02020202020202,1,0.495555555555556,0.505555555555556,0.495555555555556,1.00112233445567,1.02247191011236,1,0.116666666666667,0.495185185185185,0.672592592592593,0.32962962962963,0.504444444444444,1.33235509904622,0.665669409124907,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s2",1,50,50,100,300,1800,1,1,2,0,0,300,36,35,3,3,50,1.51666666666667,1.48333333333333,9.09,18,9.1,13.63,18,9.1,170.48,0.495,0.252222222222222,0.495555555555556,0.500561167227834,0.247222222222222,1.02247191011236,1.02020202020202,1,0.495555555555556,0.505555555555556,0.495555555555556,1.00112233445567,1.02247191011236,1,0.116666666666667,0.495185185185185,0.672592592592593,0.32962962962963,0.504444444444444,1.33235509904622,0.665669409124907,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s2",1,50,50,100,300,1800,1,1,2,0,0,300,36,35,3,3,50,1.51666666666667,1.48333333333333,9.09,18,9.1,13.63,18,9.1,170.48,0.495,0.252222222222222,0.495555555555556,0.500561167227834,0.247222222222222,1.02247191011236,1.02020202020202,1,0.495555555555556,0.505555555555556,0.495555555555556,1.00112233445567,1.02247191011236,1,0.116666666666667,0.495185185185185,0.672592592592593,0.32962962962963,0.504444444444444,1.33235509904622,0.665669409124907,"sSolve",1.01,"ok" "Qq2k3k3v50v50m300s3",1,50,50,100,300,1800,1,1,2,0,0,300,39,36,3,3,50,1.47,1.53,9.07,18,8.82,13.73,18,8.82,161.2,0.496111111111111,0.258888888888889,0.482222222222222,0.486002239641657,0.255,0.96078431372549,1.01567749160134,1,0.482222222222222,0.49,0.482222222222222,0.972004479283315,0.96078431372549,1,0.12,0.491481481481481,0.69037037037037,0.34,0.517777777777778,1.35761107064822,0.691785983421251,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s3",1,50,50,100,300,1800,1,1,2,0,0,300,39,36,3,3,50,1.47,1.53,9.07,18,8.82,13.73,18,8.82,161.2,0.496111111111111,0.258888888888889,0.482222222222222,0.486002239641657,0.255,0.96078431372549,1.01567749160134,1,0.482222222222222,0.49,0.482222222222222,0.972004479283315,0.96078431372549,1,0.12,0.491481481481481,0.69037037037037,0.34,0.517777777777778,1.35761107064822,0.691785983421251,"quantor",3600,"memout" "Qq2k3k3v50v50m300s3",1,50,50,100,300,1800,1,1,2,0,0,300,39,36,3,3,50,1.47,1.53,9.07,18,8.82,13.73,18,8.82,161.2,0.496111111111111,0.258888888888889,0.482222222222222,0.486002239641657,0.255,0.96078431372549,1.01567749160134,1,0.482222222222222,0.49,0.482222222222222,0.972004479283315,0.96078431372549,1,0.12,0.491481481481481,0.69037037037037,0.34,0.517777777777778,1.35761107064822,0.691785983421251,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s3",1,50,50,100,300,1800,1,1,2,0,0,300,39,36,3,3,50,1.47,1.53,9.07,18,8.82,13.73,18,8.82,161.2,0.496111111111111,0.258888888888889,0.482222222222222,0.486002239641657,0.255,0.96078431372549,1.01567749160134,1,0.482222222222222,0.49,0.482222222222222,0.972004479283315,0.96078431372549,1,0.12,0.491481481481481,0.69037037037037,0.34,0.517777777777778,1.35761107064822,0.691785983421251,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s3",1,50,50,100,300,1800,1,1,2,0,0,300,39,36,3,3,50,1.47,1.53,9.07,18,8.82,13.73,18,8.82,161.2,0.496111111111111,0.258888888888889,0.482222222222222,0.486002239641657,0.255,0.96078431372549,1.01567749160134,1,0.482222222222222,0.49,0.482222222222222,0.972004479283315,0.96078431372549,1,0.12,0.491481481481481,0.69037037037037,0.34,0.517777777777778,1.35761107064822,0.691785983421251,"sSolve",0.9,"ok" "Qq2k3k3v50v50m300s4",1,50,50,100,300,1800,1,1,2,0,0,300,32,35,3,3,50,1.52333333333333,1.47666666666667,8.86,18,9.14,13.15,18,9.14,162,0.507777777777778,0.238333333333333,0.523333333333333,0.515317286652079,0.246111111111111,1.03160270880361,0.969365426695842,1,0.523333333333333,0.507777777777778,0.523333333333333,1.03063457330416,1.03160270880361,1,0.116666666666667,0.512962962962963,0.635555555555556,0.328148148148148,0.476666666666667,1.30494296577947,0.63971119133574,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s4",1,50,50,100,300,1800,1,1,2,0,0,300,32,35,3,3,50,1.52333333333333,1.47666666666667,8.86,18,9.14,13.15,18,9.14,162,0.507777777777778,0.238333333333333,0.523333333333333,0.515317286652079,0.246111111111111,1.03160270880361,0.969365426695842,1,0.523333333333333,0.507777777777778,0.523333333333333,1.03063457330416,1.03160270880361,1,0.116666666666667,0.512962962962963,0.635555555555556,0.328148148148148,0.476666666666667,1.30494296577947,0.63971119133574,"quantor",3600,"memout" "Qq2k3k3v50v50m300s4",1,50,50,100,300,1800,1,1,2,0,0,300,32,35,3,3,50,1.52333333333333,1.47666666666667,8.86,18,9.14,13.15,18,9.14,162,0.507777777777778,0.238333333333333,0.523333333333333,0.515317286652079,0.246111111111111,1.03160270880361,0.969365426695842,1,0.523333333333333,0.507777777777778,0.523333333333333,1.03063457330416,1.03160270880361,1,0.116666666666667,0.512962962962963,0.635555555555556,0.328148148148148,0.476666666666667,1.30494296577947,0.63971119133574,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s4",1,50,50,100,300,1800,1,1,2,0,0,300,32,35,3,3,50,1.52333333333333,1.47666666666667,8.86,18,9.14,13.15,18,9.14,162,0.507777777777778,0.238333333333333,0.523333333333333,0.515317286652079,0.246111111111111,1.03160270880361,0.969365426695842,1,0.523333333333333,0.507777777777778,0.523333333333333,1.03063457330416,1.03160270880361,1,0.116666666666667,0.512962962962963,0.635555555555556,0.328148148148148,0.476666666666667,1.30494296577947,0.63971119133574,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s4",1,50,50,100,300,1800,1,1,2,0,0,300,32,35,3,3,50,1.52333333333333,1.47666666666667,8.86,18,9.14,13.15,18,9.14,162,0.507777777777778,0.238333333333333,0.523333333333333,0.515317286652079,0.246111111111111,1.03160270880361,0.969365426695842,1,0.523333333333333,0.507777777777778,0.523333333333333,1.03063457330416,1.03160270880361,1,0.116666666666667,0.512962962962963,0.635555555555556,0.328148148148148,0.476666666666667,1.30494296577947,0.63971119133574,"sSolve",1.01,"ok" "Qq2k3k3v50v50m300s5",1,50,50,100,300,1800,1,1,2,0,0,300,34,29,3,3,50,1.46,1.54,8.87,18,8.76,13.36,18,8.76,164.16,0.507222222222222,0.249444444444444,0.501111111111111,0.493975903614458,0.256666666666667,0.948051948051948,0.971522453450164,1,0.501111111111111,0.486666666666667,0.501111111111111,0.987951807228916,0.948051948051948,1,0.0966666666666667,0.505185185185185,0.665185185185185,0.342222222222222,0.498888888888889,1.34431137724551,0.67741935483871,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s5",1,50,50,100,300,1800,1,1,2,0,0,300,34,29,3,3,50,1.46,1.54,8.87,18,8.76,13.36,18,8.76,164.16,0.507222222222222,0.249444444444444,0.501111111111111,0.493975903614458,0.256666666666667,0.948051948051948,0.971522453450164,1,0.501111111111111,0.486666666666667,0.501111111111111,0.987951807228916,0.948051948051948,1,0.0966666666666667,0.505185185185185,0.665185185185185,0.342222222222222,0.498888888888889,1.34431137724551,0.67741935483871,"quantor",3600,"memout" "Qq2k3k3v50v50m300s5",1,50,50,100,300,1800,1,1,2,0,0,300,34,29,3,3,50,1.46,1.54,8.87,18,8.76,13.36,18,8.76,164.16,0.507222222222222,0.249444444444444,0.501111111111111,0.493975903614458,0.256666666666667,0.948051948051948,0.971522453450164,1,0.501111111111111,0.486666666666667,0.501111111111111,0.987951807228916,0.948051948051948,1,0.0966666666666667,0.505185185185185,0.665185185185185,0.342222222222222,0.498888888888889,1.34431137724551,0.67741935483871,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s5",1,50,50,100,300,1800,1,1,2,0,0,300,34,29,3,3,50,1.46,1.54,8.87,18,8.76,13.36,18,8.76,164.16,0.507222222222222,0.249444444444444,0.501111111111111,0.493975903614458,0.256666666666667,0.948051948051948,0.971522453450164,1,0.501111111111111,0.486666666666667,0.501111111111111,0.987951807228916,0.948051948051948,1,0.0966666666666667,0.505185185185185,0.665185185185185,0.342222222222222,0.498888888888889,1.34431137724551,0.67741935483871,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s5",1,50,50,100,300,1800,1,1,2,0,0,300,34,29,3,3,50,1.46,1.54,8.87,18,8.76,13.36,18,8.76,164.16,0.507222222222222,0.249444444444444,0.501111111111111,0.493975903614458,0.256666666666667,0.948051948051948,0.971522453450164,1,0.501111111111111,0.486666666666667,0.501111111111111,0.987951807228916,0.948051948051948,1,0.0966666666666667,0.505185185185185,0.665185185185185,0.342222222222222,0.498888888888889,1.34431137724551,0.67741935483871,"sSolve",1.2,"ok" "Qq2k3k3v50v50m300s6",1,50,50,100,300,1800,1,1,2,0,0,300,35,37,3,3,50,1.49666666666667,1.50333333333333,9.04,18,8.98,13.59,18,8.98,163.64,0.497777777777778,0.252777777777778,0.494444444444444,0.496651785714286,0.250555555555556,0.995565410199556,1.00892857142857,1,0.494444444444444,0.498888888888889,0.494444444444444,0.993303571428571,0.995565410199557,1,0.123333333333333,0.496666666666667,0.674074074074074,0.334074074074074,0.505555555555556,1.3392200147167,0.672632363907532,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s6",1,50,50,100,300,1800,1,1,2,0,0,300,35,37,3,3,50,1.49666666666667,1.50333333333333,9.04,18,8.98,13.59,18,8.98,163.64,0.497777777777778,0.252777777777778,0.494444444444444,0.496651785714286,0.250555555555556,0.995565410199556,1.00892857142857,1,0.494444444444444,0.498888888888889,0.494444444444444,0.993303571428571,0.995565410199557,1,0.123333333333333,0.496666666666667,0.674074074074074,0.334074074074074,0.505555555555556,1.3392200147167,0.672632363907532,"quantor",3600,"memout" "Qq2k3k3v50v50m300s6",1,50,50,100,300,1800,1,1,2,0,0,300,35,37,3,3,50,1.49666666666667,1.50333333333333,9.04,18,8.98,13.59,18,8.98,163.64,0.497777777777778,0.252777777777778,0.494444444444444,0.496651785714286,0.250555555555556,0.995565410199556,1.00892857142857,1,0.494444444444444,0.498888888888889,0.494444444444444,0.993303571428571,0.995565410199557,1,0.123333333333333,0.496666666666667,0.674074074074074,0.334074074074074,0.505555555555556,1.3392200147167,0.672632363907532,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s6",1,50,50,100,300,1800,1,1,2,0,0,300,35,37,3,3,50,1.49666666666667,1.50333333333333,9.04,18,8.98,13.59,18,8.98,163.64,0.497777777777778,0.252777777777778,0.494444444444444,0.496651785714286,0.250555555555556,0.995565410199556,1.00892857142857,1,0.494444444444444,0.498888888888889,0.494444444444444,0.993303571428571,0.995565410199557,1,0.123333333333333,0.496666666666667,0.674074074074074,0.334074074074074,0.505555555555556,1.3392200147167,0.672632363907532,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s6",1,50,50,100,300,1800,1,1,2,0,0,300,35,37,3,3,50,1.49666666666667,1.50333333333333,9.04,18,8.98,13.59,18,8.98,163.64,0.497777777777778,0.252777777777778,0.494444444444444,0.496651785714286,0.250555555555556,0.995565410199556,1.00892857142857,1,0.494444444444444,0.498888888888889,0.494444444444444,0.993303571428571,0.995565410199557,1,0.123333333333333,0.496666666666667,0.674074074074074,0.334074074074074,0.505555555555556,1.3392200147167,0.672632363907532,"sSolve",0.95,"ok" "Qq2k3k3v50v50m300s7",1,50,50,100,300,1800,1,1,2,0,0,300,31,38,3,3,50,1.49,1.51,9.14,18,8.94,13.81,18,8.94,165,0.492222222222222,0.259444444444444,0.481111111111111,0.488713318284424,0.251666666666667,0.986754966887417,1.03160270880361,1,0.481111111111111,0.496666666666667,0.481111111111111,0.977426636568849,0.986754966887417,1,0.126666666666667,0.488518518518519,0.691851851851852,0.335555555555556,0.518888888888889,1.35264301230992,0.686884003032601,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s7",1,50,50,100,300,1800,1,1,2,0,0,300,31,38,3,3,50,1.49,1.51,9.14,18,8.94,13.81,18,8.94,165,0.492222222222222,0.259444444444444,0.481111111111111,0.488713318284424,0.251666666666667,0.986754966887417,1.03160270880361,1,0.481111111111111,0.496666666666667,0.481111111111111,0.977426636568849,0.986754966887417,1,0.126666666666667,0.488518518518519,0.691851851851852,0.335555555555556,0.518888888888889,1.35264301230992,0.686884003032601,"quantor",3600,"memout" "Qq2k3k3v50v50m300s7",1,50,50,100,300,1800,1,1,2,0,0,300,31,38,3,3,50,1.49,1.51,9.14,18,8.94,13.81,18,8.94,165,0.492222222222222,0.259444444444444,0.481111111111111,0.488713318284424,0.251666666666667,0.986754966887417,1.03160270880361,1,0.481111111111111,0.496666666666667,0.481111111111111,0.977426636568849,0.986754966887417,1,0.126666666666667,0.488518518518519,0.691851851851852,0.335555555555556,0.518888888888889,1.35264301230992,0.686884003032601,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s7",1,50,50,100,300,1800,1,1,2,0,0,300,31,38,3,3,50,1.49,1.51,9.14,18,8.94,13.81,18,8.94,165,0.492222222222222,0.259444444444444,0.481111111111111,0.488713318284424,0.251666666666667,0.986754966887417,1.03160270880361,1,0.481111111111111,0.496666666666667,0.481111111111111,0.977426636568849,0.986754966887417,1,0.126666666666667,0.488518518518519,0.691851851851852,0.335555555555556,0.518888888888889,1.35264301230992,0.686884003032601,"sKizzo",1064.07,"ok" "Qq2k3k3v50v50m300s7",1,50,50,100,300,1800,1,1,2,0,0,300,31,38,3,3,50,1.49,1.51,9.14,18,8.94,13.81,18,8.94,165,0.492222222222222,0.259444444444444,0.481111111111111,0.488713318284424,0.251666666666667,0.986754966887417,1.03160270880361,1,0.481111111111111,0.496666666666667,0.481111111111111,0.977426636568849,0.986754966887417,1,0.126666666666667,0.488518518518519,0.691851851851852,0.335555555555556,0.518888888888889,1.35264301230992,0.686884003032601,"sSolve",0.96,"ok" "Qq2k3k3v50v50m300s8",1,50,50,100,300,1800,1,1,2,0,0,300,29,37,3,3,50,1.53,1.47,8.98,18,9.18,13.37,18,9.18,160.44,0.501111111111111,0.243888888888889,0.512222222222222,0.511086474501109,0.245,1.04081632653061,0.995565410199557,1,0.512222222222222,0.51,0.512222222222222,1.02217294900222,1.04081632653061,1,0.123333333333333,0.504814814814815,0.65037037037037,0.326666666666667,0.487777777777778,1.31338818249813,0.647101980924431,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s8",1,50,50,100,300,1800,1,1,2,0,0,300,29,37,3,3,50,1.53,1.47,8.98,18,9.18,13.37,18,9.18,160.44,0.501111111111111,0.243888888888889,0.512222222222222,0.511086474501109,0.245,1.04081632653061,0.995565410199557,1,0.512222222222222,0.51,0.512222222222222,1.02217294900222,1.04081632653061,1,0.123333333333333,0.504814814814815,0.65037037037037,0.326666666666667,0.487777777777778,1.31338818249813,0.647101980924431,"quantor",3600,"memout" "Qq2k3k3v50v50m300s8",1,50,50,100,300,1800,1,1,2,0,0,300,29,37,3,3,50,1.53,1.47,8.98,18,9.18,13.37,18,9.18,160.44,0.501111111111111,0.243888888888889,0.512222222222222,0.511086474501109,0.245,1.04081632653061,0.995565410199557,1,0.512222222222222,0.51,0.512222222222222,1.02217294900222,1.04081632653061,1,0.123333333333333,0.504814814814815,0.65037037037037,0.326666666666667,0.487777777777778,1.31338818249813,0.647101980924431,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s8",1,50,50,100,300,1800,1,1,2,0,0,300,29,37,3,3,50,1.53,1.47,8.98,18,9.18,13.37,18,9.18,160.44,0.501111111111111,0.243888888888889,0.512222222222222,0.511086474501109,0.245,1.04081632653061,0.995565410199557,1,0.512222222222222,0.51,0.512222222222222,1.02217294900222,1.04081632653061,1,0.123333333333333,0.504814814814815,0.65037037037037,0.326666666666667,0.487777777777778,1.31338818249813,0.647101980924431,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s8",1,50,50,100,300,1800,1,1,2,0,0,300,29,37,3,3,50,1.53,1.47,8.98,18,9.18,13.37,18,9.18,160.44,0.501111111111111,0.243888888888889,0.512222222222222,0.511086474501109,0.245,1.04081632653061,0.995565410199557,1,0.512222222222222,0.51,0.512222222222222,1.02217294900222,1.04081632653061,1,0.123333333333333,0.504814814814815,0.65037037037037,0.326666666666667,0.487777777777778,1.31338818249813,0.647101980924431,"sSolve",1.19,"ok" "Qq2k3k3v50v50m300s9",1,50,50,100,300,1800,1,1,2,0,0,300,35,35,3,3,50,1.49333333333333,1.50666666666667,9,18,8.96,13.52,18,8.96,160.72,0.5,0.251111111111111,0.497777777777778,0.497777777777778,0.251111111111111,0.991150442477876,1,1,0.497777777777778,0.497777777777778,0.497777777777778,0.995555555555556,0.991150442477876,1,0.116666666666667,0.499259259259259,0.66962962962963,0.334814814814815,0.502222222222222,1.33727810650888,0.670623145400593,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m300s9",1,50,50,100,300,1800,1,1,2,0,0,300,35,35,3,3,50,1.49333333333333,1.50666666666667,9,18,8.96,13.52,18,8.96,160.72,0.5,0.251111111111111,0.497777777777778,0.497777777777778,0.251111111111111,0.991150442477876,1,1,0.497777777777778,0.497777777777778,0.497777777777778,0.995555555555556,0.991150442477876,1,0.116666666666667,0.499259259259259,0.66962962962963,0.334814814814815,0.502222222222222,1.33727810650888,0.670623145400593,"quantor",3600,"memout" "Qq2k3k3v50v50m300s9",1,50,50,100,300,1800,1,1,2,0,0,300,35,35,3,3,50,1.49333333333333,1.50666666666667,9,18,8.96,13.52,18,8.96,160.72,0.5,0.251111111111111,0.497777777777778,0.497777777777778,0.251111111111111,0.991150442477876,1,1,0.497777777777778,0.497777777777778,0.497777777777778,0.995555555555556,0.991150442477876,1,0.116666666666667,0.499259259259259,0.66962962962963,0.334814814814815,0.502222222222222,1.33727810650888,0.670623145400593,"QuBE",3600,"timeout" "Qq2k3k3v50v50m300s9",1,50,50,100,300,1800,1,1,2,0,0,300,35,35,3,3,50,1.49333333333333,1.50666666666667,9,18,8.96,13.52,18,8.96,160.72,0.5,0.251111111111111,0.497777777777778,0.497777777777778,0.251111111111111,0.991150442477876,1,1,0.497777777777778,0.497777777777778,0.497777777777778,0.995555555555556,0.991150442477876,1,0.116666666666667,0.499259259259259,0.66962962962963,0.334814814814815,0.502222222222222,1.33727810650888,0.670623145400593,"sKizzo",3600,"memout" "Qq2k3k3v50v50m300s9",1,50,50,100,300,1800,1,1,2,0,0,300,35,35,3,3,50,1.49333333333333,1.50666666666667,9,18,8.96,13.52,18,8.96,160.72,0.5,0.251111111111111,0.497777777777778,0.497777777777778,0.251111111111111,0.991150442477876,1,1,0.497777777777778,0.497777777777778,0.497777777777778,0.995555555555556,0.991150442477876,1,0.116666666666667,0.499259259259259,0.66962962962963,0.334814814814815,0.502222222222222,1.33727810650888,0.670623145400593,"sSolve",1.32,"ok" "Qq2k3k3v50v50m400s1",1,50,50,100,400,2400,1,1,2,0,0,400,42,44,3,3,50,1.4825,1.5175,12.18,24,11.86,18.43,24,11.86,288.92,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s1",1,50,50,100,400,2400,1,1,2,0,0,400,42,44,3,3,50,1.4825,1.5175,12.18,24,11.86,18.43,24,11.86,288.92,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"quantor",3600,"memout" "Qq2k3k3v50v50m400s1",1,50,50,100,400,2400,1,1,2,0,0,400,42,44,3,3,50,1.4825,1.5175,12.18,24,11.86,18.43,24,11.86,288.92,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s1",1,50,50,100,400,2400,1,1,2,0,0,400,42,44,3,3,50,1.4825,1.5175,12.18,24,11.86,18.43,24,11.86,288.92,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s1",1,50,50,100,400,2400,1,1,2,0,0,400,42,44,3,3,50,1.4825,1.5175,12.18,24,11.86,18.43,24,11.86,288.92,0.4925,0.260416666666667,0.479166666666667,0.486463620981387,0.252916666666667,0.976935749588138,1.03045685279188,1,0.479166666666667,0.494166666666667,0.479166666666667,0.972927241962775,0.976935749588138,1,0.11,0.488055555555556,0.694444444444444,0.337222222222222,0.520833333333333,1.35648399348888,0.690950483779169,"sSolve",12.68,"ok" "Qq2k3k3v50v50m400s10",1,50,50,100,400,2400,1,1,2,0,0,400,45,41,3,3,50,1.5,1.5,11.95,24,12,17.9,24,12,284.04,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s10",1,50,50,100,400,2400,1,1,2,0,0,400,45,41,3,3,50,1.5,1.5,11.95,24,12,17.9,24,12,284.04,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"quantor",3600,"memout" "Qq2k3k3v50v50m400s10",1,50,50,100,400,2400,1,1,2,0,0,400,45,41,3,3,50,1.5,1.5,11.95,24,12,17.9,24,12,284.04,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s10",1,50,50,100,400,2400,1,1,2,0,0,400,45,41,3,3,50,1.5,1.5,11.95,24,12,17.9,24,12,284.04,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s10",1,50,50,100,400,2400,1,1,2,0,0,400,45,41,3,3,50,1.5,1.5,11.95,24,12,17.9,24,12,284.04,0.502083333333333,0.247916666666667,0.504166666666667,0.50207468879668,0.25,1,0.991701244813278,1,0.504166666666667,0.5,0.504166666666667,1.00414937759336,1,1,0.1025,0.502777777777778,0.661111111111111,0.333333333333333,0.495833333333333,1.32960893854749,0.662983425414365,"sSolve",13.85,"ok" "Qq2k3k3v50v50m400s2",1,50,50,100,400,2400,1,1,2,0,0,400,48,47,3,3,50,1.505,1.495,12.1,24,12.04,18.18,24,12.04,292.92,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s2",1,50,50,100,400,2400,1,1,2,0,0,400,48,47,3,3,50,1.505,1.495,12.1,24,12.04,18.18,24,12.04,292.92,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"quantor",3600,"memout" "Qq2k3k3v50v50m400s2",1,50,50,100,400,2400,1,1,2,0,0,400,48,47,3,3,50,1.505,1.495,12.1,24,12.04,18.18,24,12.04,292.92,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s2",1,50,50,100,400,2400,1,1,2,0,0,400,48,47,3,3,50,1.505,1.495,12.1,24,12.04,18.18,24,12.04,292.92,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s2",1,50,50,100,400,2400,1,1,2,0,0,400,48,47,3,3,50,1.505,1.495,12.1,24,12.04,18.18,24,12.04,292.92,0.495833333333333,0.253333333333333,0.493333333333333,0.497478991596639,0.249166666666667,1.0066889632107,1.01680672268908,1,0.493333333333333,0.501666666666667,0.493333333333333,0.994957983193277,1.0066889632107,1,0.1175,0.495,0.675555555555556,0.332222222222222,0.506666666666667,1.33773377337734,0.671156004489338,"sSolve",18.91,"ok" "Qq2k3k3v50v50m400s3",1,50,50,100,400,2400,1,1,2,0,0,400,49,53,3,3,50,1.4925,1.5075,12.17,24,11.94,18.37,24,11.94,289.12,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s3",1,50,50,100,400,2400,1,1,2,0,0,400,49,53,3,3,50,1.4925,1.5075,12.17,24,11.94,18.37,24,11.94,289.12,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"quantor",3600,"memout" "Qq2k3k3v50v50m400s3",1,50,50,100,400,2400,1,1,2,0,0,400,49,53,3,3,50,1.4925,1.5075,12.17,24,11.94,18.37,24,11.94,289.12,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s3",1,50,50,100,400,2400,1,1,2,0,0,400,49,53,3,3,50,1.4925,1.5075,12.17,24,11.94,18.37,24,11.94,289.12,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s3",1,50,50,100,400,2400,1,1,2,0,0,400,49,53,3,3,50,1.4925,1.5075,12.17,24,11.94,18.37,24,11.94,289.12,0.492916666666667,0.258333333333333,0.483333333333333,0.490278951817413,0.25125,0.990049751243781,1.02874049027895,1,0.483333333333333,0.4975,0.483333333333333,0.980557903634827,0.990049751243781,1,0.1325,0.489722222222222,0.688888888888889,0.335,0.516666666666667,1.35002721829069,0.684061259217243,"sSolve",7.69,"ok" "Qq2k3k3v50v50m400s4",1,50,50,100,400,2400,1,1,2,0,0,400,53,45,3,3,50,1.4975,1.5025,11.65,24,11.98,17.31,24,11.98,285.08,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s4",1,50,50,100,400,2400,1,1,2,0,0,400,53,45,3,3,50,1.4975,1.5025,11.65,24,11.98,17.31,24,11.98,285.08,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"quantor",3600,"memout" "Qq2k3k3v50v50m400s4",1,50,50,100,400,2400,1,1,2,0,0,400,53,45,3,3,50,1.4975,1.5025,11.65,24,11.98,17.31,24,11.98,285.08,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s4",1,50,50,100,400,2400,1,1,2,0,0,400,53,45,3,3,50,1.4975,1.5025,11.65,24,11.98,17.31,24,11.98,285.08,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s4",1,50,50,100,400,2400,1,1,2,0,0,400,53,45,3,3,50,1.4975,1.5025,11.65,24,11.98,17.31,24,11.98,285.08,0.514583333333333,0.235833333333333,0.528333333333333,0.51336032388664,0.250416666666667,0.996672212978369,0.94331983805668,1,0.528333333333333,0.499166666666667,0.528333333333333,1.02672064777328,0.996672212978369,1,0.1125,0.519166666666667,0.628888888888889,0.333888888888889,0.471666666666667,1.30791450028885,0.643124665596576,"sSolve",19.65,"ok" "Qq2k3k3v50v50m400s5",1,50,50,100,400,2400,1,1,2,0,0,400,46,40,3,3,50,1.4825,1.5175,11.81,24,11.86,17.69,24,11.86,289.36,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s5",1,50,50,100,400,2400,1,1,2,0,0,400,46,40,3,3,50,1.4825,1.5175,11.81,24,11.86,17.69,24,11.86,289.36,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"quantor",3600,"memout" "Qq2k3k3v50v50m400s5",1,50,50,100,400,2400,1,1,2,0,0,400,46,40,3,3,50,1.4825,1.5175,11.81,24,11.86,17.69,24,11.86,289.36,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s5",1,50,50,100,400,2400,1,1,2,0,0,400,46,40,3,3,50,1.4825,1.5175,11.81,24,11.86,17.69,24,11.86,289.36,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s5",1,50,50,100,400,2400,1,1,2,0,0,400,46,40,3,3,50,1.4825,1.5175,11.81,24,11.86,17.69,24,11.86,289.36,0.507916666666667,0.245,0.51,0.502050861361772,0.252916666666667,0.976935749588138,0.968826907301067,1,0.51,0.494166666666667,0.51,1.00410172272354,0.976935749588138,1,0.1,0.508611111111111,0.653333333333333,0.337222222222222,0.49,1.3295647258338,0.663025669033315,"sSolve",59.2,"ok" "Qq2k3k3v50v50m400s6",1,50,50,100,400,2400,1,1,2,0,0,400,45,54,3,3,50,1.555,1.445,12.22,24,12.44,18.22,24,12.44,291.36,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s6",1,50,50,100,400,2400,1,1,2,0,0,400,45,54,3,3,50,1.555,1.445,12.22,24,12.44,18.22,24,12.44,291.36,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"quantor",3600,"memout" "Qq2k3k3v50v50m400s6",1,50,50,100,400,2400,1,1,2,0,0,400,45,54,3,3,50,1.555,1.445,12.22,24,12.44,18.22,24,12.44,291.36,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s6",1,50,50,100,400,2400,1,1,2,0,0,400,45,54,3,3,50,1.555,1.445,12.22,24,12.44,18.22,24,12.44,291.36,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s6",1,50,50,100,400,2400,1,1,2,0,0,400,45,54,3,3,50,1.555,1.445,12.22,24,12.44,18.22,24,12.44,291.36,0.490833333333333,0.25,0.5,0.509337860780985,0.240833333333333,1.07612456747405,1.03735144312394,1,0.5,0.518333333333333,0.5,1.01867572156197,1.07612456747405,1,0.135,0.493888888888889,0.666666666666667,0.321111111111111,0.5,1.3172338090011,0.650168728908886,"sSolve",28.66,"ok" "Qq2k3k3v50v50m400s7",1,50,50,100,400,2400,1,1,2,0,0,400,47,48,3,3,50,1.4825,1.5175,11.98,24,11.86,18.03,24,11.86,289.88,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s7",1,50,50,100,400,2400,1,1,2,0,0,400,47,48,3,3,50,1.4825,1.5175,11.98,24,11.86,18.03,24,11.86,289.88,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"quantor",3600,"memout" "Qq2k3k3v50v50m400s7",1,50,50,100,400,2400,1,1,2,0,0,400,47,48,3,3,50,1.4825,1.5175,11.98,24,11.86,18.03,24,11.86,289.88,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s7",1,50,50,100,400,2400,1,1,2,0,0,400,47,48,3,3,50,1.4825,1.5175,11.98,24,11.86,18.03,24,11.86,289.88,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s7",1,50,50,100,400,2400,1,1,2,0,0,400,47,48,3,3,50,1.4825,1.5175,11.98,24,11.86,18.03,24,11.86,289.88,0.500833333333333,0.252083333333333,0.495833333333333,0.495008319467554,0.252916666666667,0.976935749588138,0.996672212978369,1,0.495833333333333,0.494166666666667,0.495833333333333,0.990016638935108,0.976935749588138,1,0.12,0.499166666666667,0.672222222222222,0.337222222222222,0.504166666666667,1.34220743205768,0.675570395102949,"sSolve",19.66,"ok" "Qq2k3k3v50v50m400s8",1,50,50,100,400,2400,1,1,2,0,0,400,39,51,3,3,50,1.515,1.485,11.9,24,12.12,17.74,24,12.12,287.28,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s8",1,50,50,100,400,2400,1,1,2,0,0,400,39,51,3,3,50,1.515,1.485,11.9,24,12.12,17.74,24,12.12,287.28,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"quantor",3600,"memout" "Qq2k3k3v50v50m400s8",1,50,50,100,400,2400,1,1,2,0,0,400,39,51,3,3,50,1.515,1.485,11.9,24,12.12,17.74,24,12.12,287.28,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s8",1,50,50,100,400,2400,1,1,2,0,0,400,39,51,3,3,50,1.515,1.485,11.9,24,12.12,17.74,24,12.12,287.28,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s8",1,50,50,100,400,2400,1,1,2,0,0,400,39,51,3,3,50,1.515,1.485,11.9,24,12.12,17.74,24,12.12,287.28,0.504166666666667,0.243333333333333,0.513333333333333,0.509090909090909,0.2475,1.02020202020202,0.983471074380165,1,0.513333333333333,0.505,0.513333333333333,1.01818181818182,1.02020202020202,1,0.1275,0.507222222222222,0.648888888888889,0.33,0.486666666666667,1.31679819616685,0.650602409638554,"sSolve",39.41,"ok" "Qq2k3k3v50v50m400s9",1,50,50,100,400,2400,1,1,2,0,0,400,46,44,3,3,50,1.5075,1.4925,11.92,24,12.06,17.81,24,12.06,285.48,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m400s9",1,50,50,100,400,2400,1,1,2,0,0,400,46,44,3,3,50,1.5075,1.4925,11.92,24,12.06,17.81,24,12.06,285.48,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"quantor",3600,"memout" "Qq2k3k3v50v50m400s9",1,50,50,100,400,2400,1,1,2,0,0,400,46,44,3,3,50,1.5075,1.4925,11.92,24,12.06,17.81,24,12.06,285.48,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"QuBE",3600,"timeout" "Qq2k3k3v50v50m400s9",1,50,50,100,400,2400,1,1,2,0,0,400,46,44,3,3,50,1.5075,1.4925,11.92,24,12.06,17.81,24,12.06,285.48,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"sKizzo",3600,"memout" "Qq2k3k3v50v50m400s9",1,50,50,100,400,2400,1,1,2,0,0,400,46,44,3,3,50,1.5075,1.4925,11.92,24,12.06,17.81,24,12.06,285.48,0.503333333333333,0.245416666666667,0.509166666666667,0.505794701986755,0.24875,1.01005025125628,0.986754966887417,1,0.509166666666667,0.5025,0.509166666666667,1.01158940397351,1.01005025125628,1,0.11,0.505277777777778,0.654444444444444,0.331666666666667,0.490833333333333,1.3228523301516,0.656404617921935,"sSolve",29.6,"ok" "Qq2k3k3v50v50m500s1",1,50,50,100,500,3000,1,1,2,0,0,500,53,50,3,3,50,1.476,1.524,15.04,30,14.76,22.7,30,14.76,453.32,0.498666666666667,0.255333333333333,0.489333333333333,0.490641711229947,0.254,0.968503937007874,1.00534759358289,1,0.489333333333333,0.492,0.489333333333333,0.981283422459893,0.968503937007874,1,0.1,0.495555555555556,0.680888888888889,0.338666666666667,0.510666666666667,1.34977973568282,0.683408071748879,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s1",1,50,50,100,500,3000,1,1,2,0,0,500,53,50,3,3,50,1.476,1.524,15.04,30,14.76,22.7,30,14.76,453.32,0.498666666666667,0.255333333333333,0.489333333333333,0.490641711229947,0.254,0.968503937007874,1.00534759358289,1,0.489333333333333,0.492,0.489333333333333,0.981283422459893,0.968503937007874,1,0.1,0.495555555555556,0.680888888888889,0.338666666666667,0.510666666666667,1.34977973568282,0.683408071748879,"quantor",3600,"memout" "Qq2k3k3v50v50m500s1",1,50,50,100,500,3000,1,1,2,0,0,500,53,50,3,3,50,1.476,1.524,15.04,30,14.76,22.7,30,14.76,453.32,0.498666666666667,0.255333333333333,0.489333333333333,0.490641711229947,0.254,0.968503937007874,1.00534759358289,1,0.489333333333333,0.492,0.489333333333333,0.981283422459893,0.968503937007874,1,0.1,0.495555555555556,0.680888888888889,0.338666666666667,0.510666666666667,1.34977973568282,0.683408071748879,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s1",1,50,50,100,500,3000,1,1,2,0,0,500,53,50,3,3,50,1.476,1.524,15.04,30,14.76,22.7,30,14.76,453.32,0.498666666666667,0.255333333333333,0.489333333333333,0.490641711229947,0.254,0.968503937007874,1.00534759358289,1,0.489333333333333,0.492,0.489333333333333,0.981283422459893,0.968503937007874,1,0.1,0.495555555555556,0.680888888888889,0.338666666666667,0.510666666666667,1.34977973568282,0.683408071748879,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s1",1,50,50,100,500,3000,1,1,2,0,0,500,53,50,3,3,50,1.476,1.524,15.04,30,14.76,22.7,30,14.76,453.32,0.498666666666667,0.255333333333333,0.489333333333333,0.490641711229947,0.254,0.968503937007874,1.00534759358289,1,0.489333333333333,0.492,0.489333333333333,0.981283422459893,0.968503937007874,1,0.1,0.495555555555556,0.680888888888889,0.338666666666667,0.510666666666667,1.34977973568282,0.683408071748879,"sSolve",1021.16,"ok" "Qq2k3k3v50v50m500s10",1,50,50,100,500,3000,1,1,2,0,0,500,58,54,3,3,50,1.498,1.502,14.96,30,14.98,22.43,30,14.98,445.92,0.501333333333333,0.249,0.502,0.500664893617021,0.250333333333333,0.997336884154461,0.99468085106383,1,0.502,0.499333333333333,0.502,1.00132978723404,0.997336884154461,1,0.108,0.501555555555556,0.664,0.333777777777778,0.498,1.33214444939813,0.665485157288436,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s10",1,50,50,100,500,3000,1,1,2,0,0,500,58,54,3,3,50,1.498,1.502,14.96,30,14.98,22.43,30,14.98,445.92,0.501333333333333,0.249,0.502,0.500664893617021,0.250333333333333,0.997336884154461,0.99468085106383,1,0.502,0.499333333333333,0.502,1.00132978723404,0.997336884154461,1,0.108,0.501555555555556,0.664,0.333777777777778,0.498,1.33214444939813,0.665485157288436,"quantor",3600,"memout" "Qq2k3k3v50v50m500s10",1,50,50,100,500,3000,1,1,2,0,0,500,58,54,3,3,50,1.498,1.502,14.96,30,14.98,22.43,30,14.98,445.92,0.501333333333333,0.249,0.502,0.500664893617021,0.250333333333333,0.997336884154461,0.99468085106383,1,0.502,0.499333333333333,0.502,1.00132978723404,0.997336884154461,1,0.108,0.501555555555556,0.664,0.333777777777778,0.498,1.33214444939813,0.665485157288436,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s10",1,50,50,100,500,3000,1,1,2,0,0,500,58,54,3,3,50,1.498,1.502,14.96,30,14.98,22.43,30,14.98,445.92,0.501333333333333,0.249,0.502,0.500664893617021,0.250333333333333,0.997336884154461,0.99468085106383,1,0.502,0.499333333333333,0.502,1.00132978723404,0.997336884154461,1,0.108,0.501555555555556,0.664,0.333777777777778,0.498,1.33214444939813,0.665485157288436,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s10",1,50,50,100,500,3000,1,1,2,0,0,500,58,54,3,3,50,1.498,1.502,14.96,30,14.98,22.43,30,14.98,445.92,0.501333333333333,0.249,0.502,0.500664893617021,0.250333333333333,0.997336884154461,0.99468085106383,1,0.502,0.499333333333333,0.502,1.00132978723404,0.997336884154461,1,0.108,0.501555555555556,0.664,0.333777777777778,0.498,1.33214444939813,0.665485157288436,"sSolve",761.72,"ok" "Qq2k3k3v50v50m500s2",1,50,50,100,500,3000,1,1,2,0,0,500,61,55,3,3,50,1.484,1.516,14.9,30,14.84,22.38,30,14.84,454.68,0.503333333333333,0.249333333333333,0.501333333333333,0.498013245033113,0.252666666666667,0.978891820580475,0.986754966887417,1,0.501333333333333,0.494666666666667,0.501333333333333,0.996026490066225,0.978891820580475,1,0.11,0.502666666666667,0.664888888888889,0.336888888888889,0.498666666666667,1.33690795352994,0.670203359858532,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s2",1,50,50,100,500,3000,1,1,2,0,0,500,61,55,3,3,50,1.484,1.516,14.9,30,14.84,22.38,30,14.84,454.68,0.503333333333333,0.249333333333333,0.501333333333333,0.498013245033113,0.252666666666667,0.978891820580475,0.986754966887417,1,0.501333333333333,0.494666666666667,0.501333333333333,0.996026490066225,0.978891820580475,1,0.11,0.502666666666667,0.664888888888889,0.336888888888889,0.498666666666667,1.33690795352994,0.670203359858532,"quantor",3600,"memout" "Qq2k3k3v50v50m500s2",1,50,50,100,500,3000,1,1,2,0,0,500,61,55,3,3,50,1.484,1.516,14.9,30,14.84,22.38,30,14.84,454.68,0.503333333333333,0.249333333333333,0.501333333333333,0.498013245033113,0.252666666666667,0.978891820580475,0.986754966887417,1,0.501333333333333,0.494666666666667,0.501333333333333,0.996026490066225,0.978891820580475,1,0.11,0.502666666666667,0.664888888888889,0.336888888888889,0.498666666666667,1.33690795352994,0.670203359858532,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s2",1,50,50,100,500,3000,1,1,2,0,0,500,61,55,3,3,50,1.484,1.516,14.9,30,14.84,22.38,30,14.84,454.68,0.503333333333333,0.249333333333333,0.501333333333333,0.498013245033113,0.252666666666667,0.978891820580475,0.986754966887417,1,0.501333333333333,0.494666666666667,0.501333333333333,0.996026490066225,0.978891820580475,1,0.11,0.502666666666667,0.664888888888889,0.336888888888889,0.498666666666667,1.33690795352994,0.670203359858532,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s2",1,50,50,100,500,3000,1,1,2,0,0,500,61,55,3,3,50,1.484,1.516,14.9,30,14.84,22.38,30,14.84,454.68,0.503333333333333,0.249333333333333,0.501333333333333,0.498013245033113,0.252666666666667,0.978891820580475,0.986754966887417,1,0.501333333333333,0.494666666666667,0.501333333333333,0.996026490066225,0.978891820580475,1,0.11,0.502666666666667,0.664888888888889,0.336888888888889,0.498666666666667,1.33690795352994,0.670203359858532,"sSolve",1196.06,"ok" "Qq2k3k3v50v50m500s3",1,50,50,100,500,3000,1,1,2,0,0,500,61,65,3,3,50,1.514,1.486,15.15,30,15.14,22.73,30,15.14,454.6,0.495,0.252666666666667,0.494666666666667,0.4996632996633,0.247666666666667,1.01884253028264,1.02020202020202,1,0.494666666666667,0.504666666666667,0.494666666666667,0.999326599326599,1.01884253028264,1,0.13,0.494888888888889,0.673777777777778,0.330222222222222,0.505333333333333,1.33391992960845,0.667265379434216,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s3",1,50,50,100,500,3000,1,1,2,0,0,500,61,65,3,3,50,1.514,1.486,15.15,30,15.14,22.73,30,15.14,454.6,0.495,0.252666666666667,0.494666666666667,0.4996632996633,0.247666666666667,1.01884253028264,1.02020202020202,1,0.494666666666667,0.504666666666667,0.494666666666667,0.999326599326599,1.01884253028264,1,0.13,0.494888888888889,0.673777777777778,0.330222222222222,0.505333333333333,1.33391992960845,0.667265379434216,"quantor",3600,"memout" "Qq2k3k3v50v50m500s3",1,50,50,100,500,3000,1,1,2,0,0,500,61,65,3,3,50,1.514,1.486,15.15,30,15.14,22.73,30,15.14,454.6,0.495,0.252666666666667,0.494666666666667,0.4996632996633,0.247666666666667,1.01884253028264,1.02020202020202,1,0.494666666666667,0.504666666666667,0.494666666666667,0.999326599326599,1.01884253028264,1,0.13,0.494888888888889,0.673777777777778,0.330222222222222,0.505333333333333,1.33391992960845,0.667265379434216,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s3",1,50,50,100,500,3000,1,1,2,0,0,500,61,65,3,3,50,1.514,1.486,15.15,30,15.14,22.73,30,15.14,454.6,0.495,0.252666666666667,0.494666666666667,0.4996632996633,0.247666666666667,1.01884253028264,1.02020202020202,1,0.494666666666667,0.504666666666667,0.494666666666667,0.999326599326599,1.01884253028264,1,0.13,0.494888888888889,0.673777777777778,0.330222222222222,0.505333333333333,1.33391992960845,0.667265379434216,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s3",1,50,50,100,500,3000,1,1,2,0,0,500,61,65,3,3,50,1.514,1.486,15.15,30,15.14,22.73,30,15.14,454.6,0.495,0.252666666666667,0.494666666666667,0.4996632996633,0.247666666666667,1.01884253028264,1.02020202020202,1,0.494666666666667,0.504666666666667,0.494666666666667,0.999326599326599,1.01884253028264,1,0.13,0.494888888888889,0.673777777777778,0.330222222222222,0.505333333333333,1.33391992960845,0.667265379434216,"sSolve",494.37,"ok" "Qq2k3k3v50v50m500s4",1,50,50,100,500,3000,1,1,2,0,0,500,64,58,3,3,50,1.504,1.496,14.73,30,15.04,21.94,30,15.04,447.48,0.509,0.240333333333333,0.519333333333333,0.510150622134905,0.249333333333333,1.00534759358289,0.964636542239686,1,0.519333333333333,0.501333333333333,0.519333333333333,1.02030124426981,1.00534759358289,1,0.116,0.512444444444444,0.640888888888889,0.332444444444444,0.480666666666667,1.31449407474932,0.648742411101474,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s4",1,50,50,100,500,3000,1,1,2,0,0,500,64,58,3,3,50,1.504,1.496,14.73,30,15.04,21.94,30,15.04,447.48,0.509,0.240333333333333,0.519333333333333,0.510150622134905,0.249333333333333,1.00534759358289,0.964636542239686,1,0.519333333333333,0.501333333333333,0.519333333333333,1.02030124426981,1.00534759358289,1,0.116,0.512444444444444,0.640888888888889,0.332444444444444,0.480666666666667,1.31449407474932,0.648742411101474,"quantor",3600,"memout" "Qq2k3k3v50v50m500s4",1,50,50,100,500,3000,1,1,2,0,0,500,64,58,3,3,50,1.504,1.496,14.73,30,15.04,21.94,30,15.04,447.48,0.509,0.240333333333333,0.519333333333333,0.510150622134905,0.249333333333333,1.00534759358289,0.964636542239686,1,0.519333333333333,0.501333333333333,0.519333333333333,1.02030124426981,1.00534759358289,1,0.116,0.512444444444444,0.640888888888889,0.332444444444444,0.480666666666667,1.31449407474932,0.648742411101474,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s4",1,50,50,100,500,3000,1,1,2,0,0,500,64,58,3,3,50,1.504,1.496,14.73,30,15.04,21.94,30,15.04,447.48,0.509,0.240333333333333,0.519333333333333,0.510150622134905,0.249333333333333,1.00534759358289,0.964636542239686,1,0.519333333333333,0.501333333333333,0.519333333333333,1.02030124426981,1.00534759358289,1,0.116,0.512444444444444,0.640888888888889,0.332444444444444,0.480666666666667,1.31449407474932,0.648742411101474,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s4",1,50,50,100,500,3000,1,1,2,0,0,500,64,58,3,3,50,1.504,1.496,14.73,30,15.04,21.94,30,15.04,447.48,0.509,0.240333333333333,0.519333333333333,0.510150622134905,0.249333333333333,1.00534759358289,0.964636542239686,1,0.519333333333333,0.501333333333333,0.519333333333333,1.02030124426981,1.00534759358289,1,0.116,0.512444444444444,0.640888888888889,0.332444444444444,0.480666666666667,1.31449407474932,0.648742411101474,"sSolve",1323.34,"ok" "Qq2k3k3v50v50m500s5",1,50,50,100,500,3000,1,1,2,0,0,500,54,52,3,3,50,1.496,1.504,14.77,30,14.96,22.06,30,14.96,451.36,0.507666666666667,0.243,0.514,0.50623768877216,0.250666666666667,0.99468085106383,0.969796454366382,1,0.514,0.498666666666667,0.514,1.01247537754432,0.99468085106383,1,0.104,0.509777777777778,0.648,0.334222222222222,0.486,1.32184950135993,0.655623365300785,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s5",1,50,50,100,500,3000,1,1,2,0,0,500,54,52,3,3,50,1.496,1.504,14.77,30,14.96,22.06,30,14.96,451.36,0.507666666666667,0.243,0.514,0.50623768877216,0.250666666666667,0.99468085106383,0.969796454366382,1,0.514,0.498666666666667,0.514,1.01247537754432,0.99468085106383,1,0.104,0.509777777777778,0.648,0.334222222222222,0.486,1.32184950135993,0.655623365300785,"quantor",3600,"memout" "Qq2k3k3v50v50m500s5",1,50,50,100,500,3000,1,1,2,0,0,500,54,52,3,3,50,1.496,1.504,14.77,30,14.96,22.06,30,14.96,451.36,0.507666666666667,0.243,0.514,0.50623768877216,0.250666666666667,0.99468085106383,0.969796454366382,1,0.514,0.498666666666667,0.514,1.01247537754432,0.99468085106383,1,0.104,0.509777777777778,0.648,0.334222222222222,0.486,1.32184950135993,0.655623365300785,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s5",1,50,50,100,500,3000,1,1,2,0,0,500,54,52,3,3,50,1.496,1.504,14.77,30,14.96,22.06,30,14.96,451.36,0.507666666666667,0.243,0.514,0.50623768877216,0.250666666666667,0.99468085106383,0.969796454366382,1,0.514,0.498666666666667,0.514,1.01247537754432,0.99468085106383,1,0.104,0.509777777777778,0.648,0.334222222222222,0.486,1.32184950135993,0.655623365300785,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s5",1,50,50,100,500,3000,1,1,2,0,0,500,54,52,3,3,50,1.496,1.504,14.77,30,14.96,22.06,30,14.96,451.36,0.507666666666667,0.243,0.514,0.50623768877216,0.250666666666667,0.99468085106383,0.969796454366382,1,0.514,0.498666666666667,0.514,1.01247537754432,0.99468085106383,1,0.104,0.509777777777778,0.648,0.334222222222222,0.486,1.32184950135993,0.655623365300785,"sSolve",3188.02,"ok" "Qq2k3k3v50v50m500s6",1,50,50,100,500,3000,1,1,2,0,0,500,56,66,3,3,50,1.552,1.448,15.22,30,15.52,22.68,30,15.52,449.8,0.492666666666667,0.248666666666667,0.502666666666667,0.510148849797023,0.241333333333333,1.07182320441989,1.0297699594046,1,0.502666666666667,0.517333333333333,0.502666666666667,1.02029769959405,1.07182320441989,1,0.132,0.496,0.663111111111111,0.321777777777778,0.497333333333333,1.31569664902998,0.648745519713262,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s6",1,50,50,100,500,3000,1,1,2,0,0,500,56,66,3,3,50,1.552,1.448,15.22,30,15.52,22.68,30,15.52,449.8,0.492666666666667,0.248666666666667,0.502666666666667,0.510148849797023,0.241333333333333,1.07182320441989,1.0297699594046,1,0.502666666666667,0.517333333333333,0.502666666666667,1.02029769959405,1.07182320441989,1,0.132,0.496,0.663111111111111,0.321777777777778,0.497333333333333,1.31569664902998,0.648745519713262,"quantor",3600,"memout" "Qq2k3k3v50v50m500s6",1,50,50,100,500,3000,1,1,2,0,0,500,56,66,3,3,50,1.552,1.448,15.22,30,15.52,22.68,30,15.52,449.8,0.492666666666667,0.248666666666667,0.502666666666667,0.510148849797023,0.241333333333333,1.07182320441989,1.0297699594046,1,0.502666666666667,0.517333333333333,0.502666666666667,1.02029769959405,1.07182320441989,1,0.132,0.496,0.663111111111111,0.321777777777778,0.497333333333333,1.31569664902998,0.648745519713262,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s6",1,50,50,100,500,3000,1,1,2,0,0,500,56,66,3,3,50,1.552,1.448,15.22,30,15.52,22.68,30,15.52,449.8,0.492666666666667,0.248666666666667,0.502666666666667,0.510148849797023,0.241333333333333,1.07182320441989,1.0297699594046,1,0.502666666666667,0.517333333333333,0.502666666666667,1.02029769959405,1.07182320441989,1,0.132,0.496,0.663111111111111,0.321777777777778,0.497333333333333,1.31569664902998,0.648745519713262,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s6",1,50,50,100,500,3000,1,1,2,0,0,500,56,66,3,3,50,1.552,1.448,15.22,30,15.52,22.68,30,15.52,449.8,0.492666666666667,0.248666666666667,0.502666666666667,0.510148849797023,0.241333333333333,1.07182320441989,1.0297699594046,1,0.502666666666667,0.517333333333333,0.502666666666667,1.02029769959405,1.07182320441989,1,0.132,0.496,0.663111111111111,0.321777777777778,0.497333333333333,1.31569664902998,0.648745519713262,"sSolve",1025.89,"ok" "Qq2k3k3v50v50m500s7",1,50,50,100,500,3000,1,1,2,0,0,500,60,58,3,3,50,1.494,1.506,15,30,14.94,22.53,30,14.94,452.64,0.5,0.251,0.498,0.498,0.251,0.99203187250996,1,1,0.498,0.498,0.498,0.996,0.99203187250996,1,0.116,0.499333333333333,0.669333333333333,0.334666666666667,0.502,1.33688415446072,0.67022696929239,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s7",1,50,50,100,500,3000,1,1,2,0,0,500,60,58,3,3,50,1.494,1.506,15,30,14.94,22.53,30,14.94,452.64,0.5,0.251,0.498,0.498,0.251,0.99203187250996,1,1,0.498,0.498,0.498,0.996,0.99203187250996,1,0.116,0.499333333333333,0.669333333333333,0.334666666666667,0.502,1.33688415446072,0.67022696929239,"quantor",3600,"memout" "Qq2k3k3v50v50m500s7",1,50,50,100,500,3000,1,1,2,0,0,500,60,58,3,3,50,1.494,1.506,15,30,14.94,22.53,30,14.94,452.64,0.5,0.251,0.498,0.498,0.251,0.99203187250996,1,1,0.498,0.498,0.498,0.996,0.99203187250996,1,0.116,0.499333333333333,0.669333333333333,0.334666666666667,0.502,1.33688415446072,0.67022696929239,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s7",1,50,50,100,500,3000,1,1,2,0,0,500,60,58,3,3,50,1.494,1.506,15,30,14.94,22.53,30,14.94,452.64,0.5,0.251,0.498,0.498,0.251,0.99203187250996,1,1,0.498,0.498,0.498,0.996,0.99203187250996,1,0.116,0.499333333333333,0.669333333333333,0.334666666666667,0.502,1.33688415446072,0.67022696929239,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s7",1,50,50,100,500,3000,1,1,2,0,0,500,60,58,3,3,50,1.494,1.506,15,30,14.94,22.53,30,14.94,452.64,0.5,0.251,0.498,0.498,0.251,0.99203187250996,1,1,0.498,0.498,0.498,0.996,0.99203187250996,1,0.116,0.499333333333333,0.669333333333333,0.334666666666667,0.502,1.33688415446072,0.67022696929239,"sSolve",1056.94,"ok" "Qq2k3k3v50v50m500s8",1,50,50,100,500,3000,1,1,2,0,0,500,47,62,3,3,50,1.53,1.47,14.97,30,15.3,22.29,30,15.3,453.56,0.501,0.244,0.512,0.510978043912176,0.245,1.04081632653061,0.996007984031936,1,0.512,0.51,0.512,1.02195608782435,1.04081632653061,1,0.124,0.504666666666667,0.650666666666667,0.326666666666667,0.488,1.3135935397039,0.647291941875826,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s8",1,50,50,100,500,3000,1,1,2,0,0,500,47,62,3,3,50,1.53,1.47,14.97,30,15.3,22.29,30,15.3,453.56,0.501,0.244,0.512,0.510978043912176,0.245,1.04081632653061,0.996007984031936,1,0.512,0.51,0.512,1.02195608782435,1.04081632653061,1,0.124,0.504666666666667,0.650666666666667,0.326666666666667,0.488,1.3135935397039,0.647291941875826,"quantor",3600,"memout" "Qq2k3k3v50v50m500s8",1,50,50,100,500,3000,1,1,2,0,0,500,47,62,3,3,50,1.53,1.47,14.97,30,15.3,22.29,30,15.3,453.56,0.501,0.244,0.512,0.510978043912176,0.245,1.04081632653061,0.996007984031936,1,0.512,0.51,0.512,1.02195608782435,1.04081632653061,1,0.124,0.504666666666667,0.650666666666667,0.326666666666667,0.488,1.3135935397039,0.647291941875826,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s8",1,50,50,100,500,3000,1,1,2,0,0,500,47,62,3,3,50,1.53,1.47,14.97,30,15.3,22.29,30,15.3,453.56,0.501,0.244,0.512,0.510978043912176,0.245,1.04081632653061,0.996007984031936,1,0.512,0.51,0.512,1.02195608782435,1.04081632653061,1,0.124,0.504666666666667,0.650666666666667,0.326666666666667,0.488,1.3135935397039,0.647291941875826,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s8",1,50,50,100,500,3000,1,1,2,0,0,500,47,62,3,3,50,1.53,1.47,14.97,30,15.3,22.29,30,15.3,453.56,0.501,0.244,0.512,0.510978043912176,0.245,1.04081632653061,0.996007984031936,1,0.512,0.51,0.512,1.02195608782435,1.04081632653061,1,0.124,0.504666666666667,0.650666666666667,0.326666666666667,0.488,1.3135935397039,0.647291941875826,"sSolve",1373.95,"ok" "Qq2k3k3v50v50m500s9",1,50,50,100,500,3000,1,1,2,0,0,500,55,56,3,3,50,1.5,1.5,14.87,30,15,22.24,30,15,444.64,0.504333333333333,0.245666666666667,0.508666666666667,0.504296100462657,0.25,1,0.982815598149372,1,0.508666666666667,0.5,0.508666666666667,1.00859220092531,1,1,0.112,0.505777777777778,0.655111111111111,0.333333333333333,0.491333333333333,1.32553956834532,0.659050966608084,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m500s9",1,50,50,100,500,3000,1,1,2,0,0,500,55,56,3,3,50,1.5,1.5,14.87,30,15,22.24,30,15,444.64,0.504333333333333,0.245666666666667,0.508666666666667,0.504296100462657,0.25,1,0.982815598149372,1,0.508666666666667,0.5,0.508666666666667,1.00859220092531,1,1,0.112,0.505777777777778,0.655111111111111,0.333333333333333,0.491333333333333,1.32553956834532,0.659050966608084,"quantor",3600,"memout" "Qq2k3k3v50v50m500s9",1,50,50,100,500,3000,1,1,2,0,0,500,55,56,3,3,50,1.5,1.5,14.87,30,15,22.24,30,15,444.64,0.504333333333333,0.245666666666667,0.508666666666667,0.504296100462657,0.25,1,0.982815598149372,1,0.508666666666667,0.5,0.508666666666667,1.00859220092531,1,1,0.112,0.505777777777778,0.655111111111111,0.333333333333333,0.491333333333333,1.32553956834532,0.659050966608084,"QuBE",3600,"timeout" "Qq2k3k3v50v50m500s9",1,50,50,100,500,3000,1,1,2,0,0,500,55,56,3,3,50,1.5,1.5,14.87,30,15,22.24,30,15,444.64,0.504333333333333,0.245666666666667,0.508666666666667,0.504296100462657,0.25,1,0.982815598149372,1,0.508666666666667,0.5,0.508666666666667,1.00859220092531,1,1,0.112,0.505777777777778,0.655111111111111,0.333333333333333,0.491333333333333,1.32553956834532,0.659050966608084,"sKizzo",3600,"memout" "Qq2k3k3v50v50m500s9",1,50,50,100,500,3000,1,1,2,0,0,500,55,56,3,3,50,1.5,1.5,14.87,30,15,22.24,30,15,444.64,0.504333333333333,0.245666666666667,0.508666666666667,0.504296100462657,0.25,1,0.982815598149372,1,0.508666666666667,0.5,0.508666666666667,1.00859220092531,1,1,0.112,0.505777777777778,0.655111111111111,0.333333333333333,0.491333333333333,1.32553956834532,0.659050966608084,"sSolve",971.38,"ok" "Qq2k3k3v50v50m600s1",1,50,50,100,600,3600,1,1,2,0,0,600,68,60,3,3,50,1.47833333333333,1.52166666666667,17.96,36,17.74,27.05,36,17.74,650.92,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s1",1,50,50,100,600,3600,1,1,2,0,0,600,68,60,3,3,50,1.47833333333333,1.52166666666667,17.96,36,17.74,27.05,36,17.74,650.92,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"quantor",3600,"memout" "Qq2k3k3v50v50m600s1",1,50,50,100,600,3600,1,1,2,0,0,600,68,60,3,3,50,1.47833333333333,1.52166666666667,17.96,36,17.74,27.05,36,17.74,650.92,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s1",1,50,50,100,600,3600,1,1,2,0,0,600,68,60,3,3,50,1.47833333333333,1.52166666666667,17.96,36,17.74,27.05,36,17.74,650.92,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s1",1,50,50,100,600,3600,1,1,2,0,0,600,68,60,3,3,50,1.47833333333333,1.52166666666667,17.96,36,17.74,27.05,36,17.74,650.92,0.501111111111111,0.2525,0.495,0.49390243902439,0.253611111111111,0.971522453450164,0.995565410199557,1,0.495,0.492777777777778,0.495,0.987804878048781,0.971522453450164,1,0.1,0.499074074074074,0.673333333333333,0.338148148148148,0.505,1.34417744916821,0.677551020408163,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s10",1,50,50,100,600,3600,1,1,2,0,0,600,68,64,3,3,50,1.505,1.495,18.02,36,18.06,27.01,36,18.06,643.08,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s10",1,50,50,100,600,3600,1,1,2,0,0,600,68,64,3,3,50,1.505,1.495,18.02,36,18.06,27.01,36,18.06,643.08,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"quantor",3600,"memout" "Qq2k3k3v50v50m600s10",1,50,50,100,600,3600,1,1,2,0,0,600,68,64,3,3,50,1.505,1.495,18.02,36,18.06,27.01,36,18.06,643.08,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s10",1,50,50,100,600,3600,1,1,2,0,0,600,68,64,3,3,50,1.505,1.495,18.02,36,18.06,27.01,36,18.06,643.08,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s10",1,50,50,100,600,3600,1,1,2,0,0,600,68,64,3,3,50,1.505,1.495,18.02,36,18.06,27.01,36,18.06,643.08,0.499444444444444,0.249722222222222,0.500555555555556,0.50111234705228,0.249166666666667,1.0066889632107,1.00222469410456,1,0.500555555555556,0.501666666666667,0.500555555555556,1.00222469410456,1.0066889632107,1,0.106666666666667,0.499814814814815,0.665925925925926,0.332222222222222,0.499444444444444,1.33135875601629,0.664690626157836,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s2",1,50,50,100,600,3600,1,1,2,0,0,600,79,66,3,3,50,1.46833333333333,1.53166666666667,17.67,36,17.62,26.53,36,17.62,647.84,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s2",1,50,50,100,600,3600,1,1,2,0,0,600,79,66,3,3,50,1.46833333333333,1.53166666666667,17.67,36,17.62,26.53,36,17.62,647.84,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"quantor",3600,"memout" "Qq2k3k3v50v50m600s2",1,50,50,100,600,3600,1,1,2,0,0,600,79,66,3,3,50,1.46833333333333,1.53166666666667,17.67,36,17.62,26.53,36,17.62,647.84,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s2",1,50,50,100,600,3600,1,1,2,0,0,600,79,66,3,3,50,1.46833333333333,1.53166666666667,17.67,36,17.62,26.53,36,17.62,647.84,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s2",1,50,50,100,600,3600,1,1,2,0,0,600,79,66,3,3,50,1.46833333333333,1.53166666666667,17.67,36,17.62,26.53,36,17.62,647.84,0.509166666666667,0.246111111111111,0.507777777777778,0.498636115657392,0.255277777777778,0.958650707290533,0.963993453355156,1,0.507777777777778,0.489444444444444,0.507777777777778,0.997272231314785,0.958650707290533,1,0.11,0.508703703703704,0.656296296296296,0.34037037037037,0.492222222222222,1.33584621183566,0.669093556607208,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s3",1,50,50,100,600,3600,1,1,2,0,0,600,69,73,3,3,50,1.515,1.485,18.13,36,18.18,27.17,36,18.18,646.68,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s3",1,50,50,100,600,3600,1,1,2,0,0,600,69,73,3,3,50,1.515,1.485,18.13,36,18.18,27.17,36,18.18,646.68,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"quantor",3600,"memout" "Qq2k3k3v50v50m600s3",1,50,50,100,600,3600,1,1,2,0,0,600,69,73,3,3,50,1.515,1.485,18.13,36,18.18,27.17,36,18.18,646.68,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s3",1,50,50,100,600,3600,1,1,2,0,0,600,69,73,3,3,50,1.515,1.485,18.13,36,18.18,27.17,36,18.18,646.68,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s3",1,50,50,100,600,3600,1,1,2,0,0,600,69,73,3,3,50,1.515,1.485,18.13,36,18.18,27.17,36,18.18,646.68,0.496388888888889,0.251111111111111,0.497777777777778,0.501398992725238,0.2475,1.02020202020202,1.01454952434247,1,0.497777777777778,0.505,0.497777777777778,1.00279798545048,1.02020202020202,1,0.121666666666667,0.496851851851852,0.66962962962963,0.33,0.502222222222222,1.33087964666912,0.664181885948565,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s4",1,50,50,100,600,3600,1,1,2,0,0,600,74,70,3,3,50,1.51,1.49,17.77,36,18.12,26.48,36,18.12,646.64,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s4",1,50,50,100,600,3600,1,1,2,0,0,600,74,70,3,3,50,1.51,1.49,17.77,36,18.12,26.48,36,18.12,646.64,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"quantor",3600,"memout" "Qq2k3k3v50v50m600s4",1,50,50,100,600,3600,1,1,2,0,0,600,74,70,3,3,50,1.51,1.49,17.77,36,18.12,26.48,36,18.12,646.64,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s4",1,50,50,100,600,3600,1,1,2,0,0,600,74,70,3,3,50,1.51,1.49,17.77,36,18.12,26.48,36,18.12,646.64,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s4",1,50,50,100,600,3600,1,1,2,0,0,600,74,70,3,3,50,1.51,1.49,17.77,36,18.12,26.48,36,18.12,646.64,0.506388888888889,0.241944444444444,0.516111111111111,0.509599561162918,0.248333333333333,1.01342281879195,0.974766867800329,1,0.516111111111111,0.503333333333333,0.516111111111111,1.01919912232584,1.01342281879195,1,0.116666666666667,0.50962962962963,0.645185185185185,0.331111111111111,0.483888888888889,1.31570996978852,0.649709302325581,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s5",1,50,50,100,600,3600,1,1,2,0,0,600,63,70,3,3,50,1.51,1.49,17.96,36,18.12,26.86,36,18.12,648.48,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s5",1,50,50,100,600,3600,1,1,2,0,0,600,63,70,3,3,50,1.51,1.49,17.96,36,18.12,26.86,36,18.12,648.48,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"quantor",3600,"memout" "Qq2k3k3v50v50m600s5",1,50,50,100,600,3600,1,1,2,0,0,600,63,70,3,3,50,1.51,1.49,17.96,36,18.12,26.86,36,18.12,648.48,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s5",1,50,50,100,600,3600,1,1,2,0,0,600,63,70,3,3,50,1.51,1.49,17.96,36,18.12,26.86,36,18.12,648.48,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s5",1,50,50,100,600,3600,1,1,2,0,0,600,63,70,3,3,50,1.51,1.49,17.96,36,18.12,26.86,36,18.12,648.48,0.501111111111111,0.247222222222222,0.505555555555556,0.504434589800443,0.248333333333333,1.01342281879195,0.995565410199557,1,0.505555555555556,0.503333333333333,0.505555555555556,1.00886917960089,1.01342281879195,1,0.116666666666667,0.502592592592593,0.659259259259259,0.331111111111111,0.494444444444444,1.32539091586002,0.658806190125276,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s6",1,50,50,100,600,3600,1,1,2,0,0,600,65,75,3,3,50,1.55333333333333,1.44666666666667,18.27,36,18.64,27.22,36,18.64,653.76,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s6",1,50,50,100,600,3600,1,1,2,0,0,600,65,75,3,3,50,1.55333333333333,1.44666666666667,18.27,36,18.64,27.22,36,18.64,653.76,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"quantor",3600,"memout" "Qq2k3k3v50v50m600s6",1,50,50,100,600,3600,1,1,2,0,0,600,65,75,3,3,50,1.55333333333333,1.44666666666667,18.27,36,18.64,27.22,36,18.64,653.76,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s6",1,50,50,100,600,3600,1,1,2,0,0,600,65,75,3,3,50,1.55333333333333,1.44666666666667,18.27,36,18.64,27.22,36,18.64,653.76,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s6",1,50,50,100,600,3600,1,1,2,0,0,600,65,75,3,3,50,1.55333333333333,1.44666666666667,18.27,36,18.64,27.22,36,18.64,653.76,0.4925,0.248611111111111,0.502777777777778,0.51043429216018,0.241111111111111,1.07373271889401,1.03045685279188,1,0.502777777777778,0.517777777777778,0.502777777777778,1.02086858432036,1.07373271889401,1,0.125,0.495925925925926,0.662962962962963,0.321481481481481,0.497222222222222,1.31520940484938,0.648244958924571,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s7",1,50,50,100,600,3600,1,1,2,0,0,600,73,70,3,3,50,1.485,1.515,17.93,36,17.82,26.95,36,17.82,652.68,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s7",1,50,50,100,600,3600,1,1,2,0,0,600,73,70,3,3,50,1.485,1.515,17.93,36,17.82,26.95,36,17.82,652.68,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"quantor",3600,"memout" "Qq2k3k3v50v50m600s7",1,50,50,100,600,3600,1,1,2,0,0,600,73,70,3,3,50,1.485,1.515,17.93,36,17.82,26.95,36,17.82,652.68,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s7",1,50,50,100,600,3600,1,1,2,0,0,600,73,70,3,3,50,1.485,1.515,17.93,36,17.82,26.95,36,17.82,652.68,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s7",1,50,50,100,600,3600,1,1,2,0,0,600,73,70,3,3,50,1.485,1.515,17.93,36,17.82,26.95,36,17.82,652.68,0.501944444444444,0.250555555555556,0.498888888888889,0.496956281128943,0.2525,0.98019801980198,0.992252351964582,1,0.498888888888889,0.495,0.498888888888889,0.993912562257886,0.98019801980198,1,0.116666666666667,0.500925925925926,0.668148148148148,0.336666666666667,0.501111111111111,1.33877551020408,0.672088724584104,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s8",1,50,50,100,600,3600,1,1,2,0,0,600,51,79,3,3,50,1.535,1.465,18.19,36,18.42,27.17,36,18.42,651,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s8",1,50,50,100,600,3600,1,1,2,0,0,600,51,79,3,3,50,1.535,1.465,18.19,36,18.42,27.17,36,18.42,651,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"quantor",3600,"memout" "Qq2k3k3v50v50m600s8",1,50,50,100,600,3600,1,1,2,0,0,600,51,79,3,3,50,1.535,1.465,18.19,36,18.42,27.17,36,18.42,651,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s8",1,50,50,100,600,3600,1,1,2,0,0,600,51,79,3,3,50,1.535,1.465,18.19,36,18.42,27.17,36,18.42,651,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s8",1,50,50,100,600,3600,1,1,2,0,0,600,51,79,3,3,50,1.535,1.465,18.19,36,18.42,27.17,36,18.42,651,0.494722222222222,0.249444444444444,0.501111111111111,0.506457046603032,0.244166666666667,1.04778156996587,1.02133632790567,1,0.501111111111111,0.511666666666667,0.501111111111111,1.01291409320606,1.04778156996587,1,0.131666666666667,0.496851851851852,0.665185185185185,0.325555555555556,0.498888888888889,1.32204637467795,0.655236675363399,"sSolve",3600,"timeout" "Qq2k3k3v50v50m600s9",1,50,50,100,600,3600,1,1,2,0,0,600,64,73,3,3,50,1.50333333333333,1.49666666666667,17.99,36,18.04,26.96,36,18.04,645.8,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m600s9",1,50,50,100,600,3600,1,1,2,0,0,600,64,73,3,3,50,1.50333333333333,1.49666666666667,17.99,36,18.04,26.96,36,18.04,645.8,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"quantor",3600,"memout" "Qq2k3k3v50v50m600s9",1,50,50,100,600,3600,1,1,2,0,0,600,64,73,3,3,50,1.50333333333333,1.49666666666667,17.99,36,18.04,26.96,36,18.04,645.8,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"QuBE",3600,"timeout" "Qq2k3k3v50v50m600s9",1,50,50,100,600,3600,1,1,2,0,0,600,64,73,3,3,50,1.50333333333333,1.49666666666667,17.99,36,18.04,26.96,36,18.04,645.8,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"sKizzo",3600,"memout" "Qq2k3k3v50v50m600s9",1,50,50,100,600,3600,1,1,2,0,0,600,64,73,3,3,50,1.50333333333333,1.49666666666667,17.99,36,18.04,26.96,36,18.04,645.8,0.500277777777778,0.249166666666667,0.501666666666667,0.501388117712382,0.249444444444444,1.00445434298441,0.998889505830094,1,0.501666666666667,0.501111111111111,0.501666666666667,1.00277623542476,1.00445434298441,1,0.121666666666667,0.500740740740741,0.664444444444445,0.332592592592593,0.498333333333333,1.33086053412463,0.664201183431953,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s1",1,50,50,100,700,4200,1,1,2,0,0,700,73,68,3,3,50,1.49428571428571,1.50571428571429,21.1,42,20.92,31.74,42,20.92,885.12,0.497619047619048,0.253333333333333,0.493333333333333,0.495693779904306,0.250952380952381,0.992409867172675,1.00956937799043,1,0.493333333333333,0.498095238095238,0.493333333333333,0.991387559808612,0.992409867172676,1,0.0971428571428571,0.496190476190476,0.675555555555556,0.334603174603175,0.506666666666667,1.3408947700063,0.674344209852847,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s1",1,50,50,100,700,4200,1,1,2,0,0,700,73,68,3,3,50,1.49428571428571,1.50571428571429,21.1,42,20.92,31.74,42,20.92,885.12,0.497619047619048,0.253333333333333,0.493333333333333,0.495693779904306,0.250952380952381,0.992409867172675,1.00956937799043,1,0.493333333333333,0.498095238095238,0.493333333333333,0.991387559808612,0.992409867172676,1,0.0971428571428571,0.496190476190476,0.675555555555556,0.334603174603175,0.506666666666667,1.3408947700063,0.674344209852847,"quantor",3600,"memout" "Qq2k3k3v50v50m700s1",1,50,50,100,700,4200,1,1,2,0,0,700,73,68,3,3,50,1.49428571428571,1.50571428571429,21.1,42,20.92,31.74,42,20.92,885.12,0.497619047619048,0.253333333333333,0.493333333333333,0.495693779904306,0.250952380952381,0.992409867172675,1.00956937799043,1,0.493333333333333,0.498095238095238,0.493333333333333,0.991387559808612,0.992409867172676,1,0.0971428571428571,0.496190476190476,0.675555555555556,0.334603174603175,0.506666666666667,1.3408947700063,0.674344209852847,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s1",1,50,50,100,700,4200,1,1,2,0,0,700,73,68,3,3,50,1.49428571428571,1.50571428571429,21.1,42,20.92,31.74,42,20.92,885.12,0.497619047619048,0.253333333333333,0.493333333333333,0.495693779904306,0.250952380952381,0.992409867172675,1.00956937799043,1,0.493333333333333,0.498095238095238,0.493333333333333,0.991387559808612,0.992409867172676,1,0.0971428571428571,0.496190476190476,0.675555555555556,0.334603174603175,0.506666666666667,1.3408947700063,0.674344209852847,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s1",1,50,50,100,700,4200,1,1,2,0,0,700,73,68,3,3,50,1.49428571428571,1.50571428571429,21.1,42,20.92,31.74,42,20.92,885.12,0.497619047619048,0.253333333333333,0.493333333333333,0.495693779904306,0.250952380952381,0.992409867172675,1.00956937799043,1,0.493333333333333,0.498095238095238,0.493333333333333,0.991387559808612,0.992409867172676,1,0.0971428571428571,0.496190476190476,0.675555555555556,0.334603174603175,0.506666666666667,1.3408947700063,0.674344209852847,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s10",1,50,50,100,700,4200,1,1,2,0,0,700,79,76,3,3,50,1.50857142857143,1.49142857142857,20.98,42,21.12,31.4,42,21.12,878.24,0.50047619047619,0.248095238095238,0.503809523809524,0.503330161750714,0.248571428571429,1.01149425287356,0.998097050428164,1,0.503809523809524,0.502857142857143,0.503809523809524,1.00666032350143,1.01149425287356,1,0.108571428571429,0.501587301587302,0.661587301587302,0.331428571428571,0.496190476190476,1.32738853503185,0.660759493670886,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s10",1,50,50,100,700,4200,1,1,2,0,0,700,79,76,3,3,50,1.50857142857143,1.49142857142857,20.98,42,21.12,31.4,42,21.12,878.24,0.50047619047619,0.248095238095238,0.503809523809524,0.503330161750714,0.248571428571429,1.01149425287356,0.998097050428164,1,0.503809523809524,0.502857142857143,0.503809523809524,1.00666032350143,1.01149425287356,1,0.108571428571429,0.501587301587302,0.661587301587302,0.331428571428571,0.496190476190476,1.32738853503185,0.660759493670886,"quantor",3600,"memout" "Qq2k3k3v50v50m700s10",1,50,50,100,700,4200,1,1,2,0,0,700,79,76,3,3,50,1.50857142857143,1.49142857142857,20.98,42,21.12,31.4,42,21.12,878.24,0.50047619047619,0.248095238095238,0.503809523809524,0.503330161750714,0.248571428571429,1.01149425287356,0.998097050428164,1,0.503809523809524,0.502857142857143,0.503809523809524,1.00666032350143,1.01149425287356,1,0.108571428571429,0.501587301587302,0.661587301587302,0.331428571428571,0.496190476190476,1.32738853503185,0.660759493670886,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s10",1,50,50,100,700,4200,1,1,2,0,0,700,79,76,3,3,50,1.50857142857143,1.49142857142857,20.98,42,21.12,31.4,42,21.12,878.24,0.50047619047619,0.248095238095238,0.503809523809524,0.503330161750714,0.248571428571429,1.01149425287356,0.998097050428164,1,0.503809523809524,0.502857142857143,0.503809523809524,1.00666032350143,1.01149425287356,1,0.108571428571429,0.501587301587302,0.661587301587302,0.331428571428571,0.496190476190476,1.32738853503185,0.660759493670886,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s10",1,50,50,100,700,4200,1,1,2,0,0,700,79,76,3,3,50,1.50857142857143,1.49142857142857,20.98,42,21.12,31.4,42,21.12,878.24,0.50047619047619,0.248095238095238,0.503809523809524,0.503330161750714,0.248571428571429,1.01149425287356,0.998097050428164,1,0.503809523809524,0.502857142857143,0.503809523809524,1.00666032350143,1.01149425287356,1,0.108571428571429,0.501587301587302,0.661587301587302,0.331428571428571,0.496190476190476,1.32738853503185,0.660759493670886,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s2",1,50,50,100,700,4200,1,1,2,0,0,700,94,76,3,3,50,1.44857142857143,1.55142857142857,20.52,42,20.28,30.9,42,20.28,879.32,0.511428571428571,0.247142857142857,0.505714285714286,0.494413407821229,0.258571428571429,0.933701657458564,0.955307262569832,1,0.505714285714286,0.482857142857143,0.505714285714286,0.988826815642458,0.933701657458564,1,0.108571428571429,0.50952380952381,0.659047619047619,0.344761904761905,0.494285714285714,1.34368932038835,0.676635514018691,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s2",1,50,50,100,700,4200,1,1,2,0,0,700,94,76,3,3,50,1.44857142857143,1.55142857142857,20.52,42,20.28,30.9,42,20.28,879.32,0.511428571428571,0.247142857142857,0.505714285714286,0.494413407821229,0.258571428571429,0.933701657458564,0.955307262569832,1,0.505714285714286,0.482857142857143,0.505714285714286,0.988826815642458,0.933701657458564,1,0.108571428571429,0.50952380952381,0.659047619047619,0.344761904761905,0.494285714285714,1.34368932038835,0.676635514018691,"quantor",3600,"memout" "Qq2k3k3v50v50m700s2",1,50,50,100,700,4200,1,1,2,0,0,700,94,76,3,3,50,1.44857142857143,1.55142857142857,20.52,42,20.28,30.9,42,20.28,879.32,0.511428571428571,0.247142857142857,0.505714285714286,0.494413407821229,0.258571428571429,0.933701657458564,0.955307262569832,1,0.505714285714286,0.482857142857143,0.505714285714286,0.988826815642458,0.933701657458564,1,0.108571428571429,0.50952380952381,0.659047619047619,0.344761904761905,0.494285714285714,1.34368932038835,0.676635514018691,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s2",1,50,50,100,700,4200,1,1,2,0,0,700,94,76,3,3,50,1.44857142857143,1.55142857142857,20.52,42,20.28,30.9,42,20.28,879.32,0.511428571428571,0.247142857142857,0.505714285714286,0.494413407821229,0.258571428571429,0.933701657458564,0.955307262569832,1,0.505714285714286,0.482857142857143,0.505714285714286,0.988826815642458,0.933701657458564,1,0.108571428571429,0.50952380952381,0.659047619047619,0.344761904761905,0.494285714285714,1.34368932038835,0.676635514018691,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s2",1,50,50,100,700,4200,1,1,2,0,0,700,94,76,3,3,50,1.44857142857143,1.55142857142857,20.52,42,20.28,30.9,42,20.28,879.32,0.511428571428571,0.247142857142857,0.505714285714286,0.494413407821229,0.258571428571429,0.933701657458564,0.955307262569832,1,0.505714285714286,0.482857142857143,0.505714285714286,0.988826815642458,0.933701657458564,1,0.108571428571429,0.50952380952381,0.659047619047619,0.344761904761905,0.494285714285714,1.34368932038835,0.676635514018691,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s3",1,50,50,100,700,4200,1,1,2,0,0,700,79,89,3,3,50,1.51714285714286,1.48285714285714,21.27,42,21.24,31.92,42,21.24,883.8,0.493571428571429,0.253571428571429,0.492857142857143,0.499276410998553,0.247142857142857,1.02312138728324,1.0260492040521,1,0.492857142857143,0.505714285714286,0.492857142857143,0.998552821997106,1.02312138728324,1,0.127142857142857,0.493333333333333,0.676190476190476,0.32952380952381,0.507142857142857,1.33458646616541,0.667953667953668,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s3",1,50,50,100,700,4200,1,1,2,0,0,700,79,89,3,3,50,1.51714285714286,1.48285714285714,21.27,42,21.24,31.92,42,21.24,883.8,0.493571428571429,0.253571428571429,0.492857142857143,0.499276410998553,0.247142857142857,1.02312138728324,1.0260492040521,1,0.492857142857143,0.505714285714286,0.492857142857143,0.998552821997106,1.02312138728324,1,0.127142857142857,0.493333333333333,0.676190476190476,0.32952380952381,0.507142857142857,1.33458646616541,0.667953667953668,"quantor",3600,"memout" "Qq2k3k3v50v50m700s3",1,50,50,100,700,4200,1,1,2,0,0,700,79,89,3,3,50,1.51714285714286,1.48285714285714,21.27,42,21.24,31.92,42,21.24,883.8,0.493571428571429,0.253571428571429,0.492857142857143,0.499276410998553,0.247142857142857,1.02312138728324,1.0260492040521,1,0.492857142857143,0.505714285714286,0.492857142857143,0.998552821997106,1.02312138728324,1,0.127142857142857,0.493333333333333,0.676190476190476,0.32952380952381,0.507142857142857,1.33458646616541,0.667953667953668,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s3",1,50,50,100,700,4200,1,1,2,0,0,700,79,89,3,3,50,1.51714285714286,1.48285714285714,21.27,42,21.24,31.92,42,21.24,883.8,0.493571428571429,0.253571428571429,0.492857142857143,0.499276410998553,0.247142857142857,1.02312138728324,1.0260492040521,1,0.492857142857143,0.505714285714286,0.492857142857143,0.998552821997106,1.02312138728324,1,0.127142857142857,0.493333333333333,0.676190476190476,0.32952380952381,0.507142857142857,1.33458646616541,0.667953667953668,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s3",1,50,50,100,700,4200,1,1,2,0,0,700,79,89,3,3,50,1.51714285714286,1.48285714285714,21.27,42,21.24,31.92,42,21.24,883.8,0.493571428571429,0.253571428571429,0.492857142857143,0.499276410998553,0.247142857142857,1.02312138728324,1.0260492040521,1,0.492857142857143,0.505714285714286,0.492857142857143,0.998552821997106,1.02312138728324,1,0.127142857142857,0.493333333333333,0.676190476190476,0.32952380952381,0.507142857142857,1.33458646616541,0.667953667953668,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s4",1,50,50,100,700,4200,1,1,2,0,0,700,87,81,3,3,50,1.50142857142857,1.49857142857143,20.72,42,21.02,30.93,42,21.02,882.96,0.506666666666667,0.243095238095238,0.513809523809524,0.507048872180451,0.249761904761905,1.00190657769304,0.973684210526316,1,0.513809523809524,0.500476190476191,0.513809523809524,1.0140977443609,1.00190657769304,1,0.115714285714286,0.509047619047619,0.648253968253968,0.333015873015873,0.486190476190476,1.32040090526996,0.654193950732772,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s4",1,50,50,100,700,4200,1,1,2,0,0,700,87,81,3,3,50,1.50142857142857,1.49857142857143,20.72,42,21.02,30.93,42,21.02,882.96,0.506666666666667,0.243095238095238,0.513809523809524,0.507048872180451,0.249761904761905,1.00190657769304,0.973684210526316,1,0.513809523809524,0.500476190476191,0.513809523809524,1.0140977443609,1.00190657769304,1,0.115714285714286,0.509047619047619,0.648253968253968,0.333015873015873,0.486190476190476,1.32040090526996,0.654193950732772,"quantor",3600,"memout" "Qq2k3k3v50v50m700s4",1,50,50,100,700,4200,1,1,2,0,0,700,87,81,3,3,50,1.50142857142857,1.49857142857143,20.72,42,21.02,30.93,42,21.02,882.96,0.506666666666667,0.243095238095238,0.513809523809524,0.507048872180451,0.249761904761905,1.00190657769304,0.973684210526316,1,0.513809523809524,0.500476190476191,0.513809523809524,1.0140977443609,1.00190657769304,1,0.115714285714286,0.509047619047619,0.648253968253968,0.333015873015873,0.486190476190476,1.32040090526996,0.654193950732772,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s4",1,50,50,100,700,4200,1,1,2,0,0,700,87,81,3,3,50,1.50142857142857,1.49857142857143,20.72,42,21.02,30.93,42,21.02,882.96,0.506666666666667,0.243095238095238,0.513809523809524,0.507048872180451,0.249761904761905,1.00190657769304,0.973684210526316,1,0.513809523809524,0.500476190476191,0.513809523809524,1.0140977443609,1.00190657769304,1,0.115714285714286,0.509047619047619,0.648253968253968,0.333015873015873,0.486190476190476,1.32040090526996,0.654193950732772,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s4",1,50,50,100,700,4200,1,1,2,0,0,700,87,81,3,3,50,1.50142857142857,1.49857142857143,20.72,42,21.02,30.93,42,21.02,882.96,0.506666666666667,0.243095238095238,0.513809523809524,0.507048872180451,0.249761904761905,1.00190657769304,0.973684210526316,1,0.513809523809524,0.500476190476191,0.513809523809524,1.0140977443609,1.00190657769304,1,0.115714285714286,0.509047619047619,0.648253968253968,0.333015873015873,0.486190476190476,1.32040090526996,0.654193950732772,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s5",1,50,50,100,700,4200,1,1,2,0,0,700,77,85,3,3,50,1.50285714285714,1.49714285714286,20.96,42,21.04,31.4,42,21.04,883.2,0.500952380952381,0.248571428571429,0.502857142857143,0.501901140684411,0.24952380952381,1.00381679389313,0.996197718631179,1,0.502857142857143,0.500952380952381,0.502857142857143,1.00380228136882,1.00381679389313,1,0.121428571428571,0.501587301587302,0.662857142857143,0.332698412698413,0.497142857142857,1.32993630573248,0.663291139240506,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s5",1,50,50,100,700,4200,1,1,2,0,0,700,77,85,3,3,50,1.50285714285714,1.49714285714286,20.96,42,21.04,31.4,42,21.04,883.2,0.500952380952381,0.248571428571429,0.502857142857143,0.501901140684411,0.24952380952381,1.00381679389313,0.996197718631179,1,0.502857142857143,0.500952380952381,0.502857142857143,1.00380228136882,1.00381679389313,1,0.121428571428571,0.501587301587302,0.662857142857143,0.332698412698413,0.497142857142857,1.32993630573248,0.663291139240506,"quantor",3600,"memout" "Qq2k3k3v50v50m700s5",1,50,50,100,700,4200,1,1,2,0,0,700,77,85,3,3,50,1.50285714285714,1.49714285714286,20.96,42,21.04,31.4,42,21.04,883.2,0.500952380952381,0.248571428571429,0.502857142857143,0.501901140684411,0.24952380952381,1.00381679389313,0.996197718631179,1,0.502857142857143,0.500952380952381,0.502857142857143,1.00380228136882,1.00381679389313,1,0.121428571428571,0.501587301587302,0.662857142857143,0.332698412698413,0.497142857142857,1.32993630573248,0.663291139240506,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s5",1,50,50,100,700,4200,1,1,2,0,0,700,77,85,3,3,50,1.50285714285714,1.49714285714286,20.96,42,21.04,31.4,42,21.04,883.2,0.500952380952381,0.248571428571429,0.502857142857143,0.501901140684411,0.24952380952381,1.00381679389313,0.996197718631179,1,0.502857142857143,0.500952380952381,0.502857142857143,1.00380228136882,1.00381679389313,1,0.121428571428571,0.501587301587302,0.662857142857143,0.332698412698413,0.497142857142857,1.32993630573248,0.663291139240506,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s5",1,50,50,100,700,4200,1,1,2,0,0,700,77,85,3,3,50,1.50285714285714,1.49714285714286,20.96,42,21.04,31.4,42,21.04,883.2,0.500952380952381,0.248571428571429,0.502857142857143,0.501901140684411,0.24952380952381,1.00381679389313,0.996197718631179,1,0.502857142857143,0.500952380952381,0.502857142857143,1.00380228136882,1.00381679389313,1,0.121428571428571,0.501587301587302,0.662857142857143,0.332698412698413,0.497142857142857,1.32993630573248,0.663291139240506,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s6",1,50,50,100,700,4200,1,1,2,0,0,700,77,83,3,3,50,1.54428571428571,1.45571428571429,21.2,42,21.62,31.59,42,21.62,888.48,0.495238095238095,0.247380952380952,0.505238095238095,0.510096153846154,0.242619047619048,1.06084396467125,1.01923076923077,1,0.505238095238095,0.514761904761905,0.505238095238095,1.02019230769231,1.06084396467125,1,0.118571428571429,0.498571428571429,0.65968253968254,0.323492063492063,0.494761904761905,1.31560620449509,0.648837949697548,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s6",1,50,50,100,700,4200,1,1,2,0,0,700,77,83,3,3,50,1.54428571428571,1.45571428571429,21.2,42,21.62,31.59,42,21.62,888.48,0.495238095238095,0.247380952380952,0.505238095238095,0.510096153846154,0.242619047619048,1.06084396467125,1.01923076923077,1,0.505238095238095,0.514761904761905,0.505238095238095,1.02019230769231,1.06084396467125,1,0.118571428571429,0.498571428571429,0.65968253968254,0.323492063492063,0.494761904761905,1.31560620449509,0.648837949697548,"quantor",3600,"memout" "Qq2k3k3v50v50m700s6",1,50,50,100,700,4200,1,1,2,0,0,700,77,83,3,3,50,1.54428571428571,1.45571428571429,21.2,42,21.62,31.59,42,21.62,888.48,0.495238095238095,0.247380952380952,0.505238095238095,0.510096153846154,0.242619047619048,1.06084396467125,1.01923076923077,1,0.505238095238095,0.514761904761905,0.505238095238095,1.02019230769231,1.06084396467125,1,0.118571428571429,0.498571428571429,0.65968253968254,0.323492063492063,0.494761904761905,1.31560620449509,0.648837949697548,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s6",1,50,50,100,700,4200,1,1,2,0,0,700,77,83,3,3,50,1.54428571428571,1.45571428571429,21.2,42,21.62,31.59,42,21.62,888.48,0.495238095238095,0.247380952380952,0.505238095238095,0.510096153846154,0.242619047619048,1.06084396467125,1.01923076923077,1,0.505238095238095,0.514761904761905,0.505238095238095,1.02019230769231,1.06084396467125,1,0.118571428571429,0.498571428571429,0.65968253968254,0.323492063492063,0.494761904761905,1.31560620449509,0.648837949697548,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s6",1,50,50,100,700,4200,1,1,2,0,0,700,77,83,3,3,50,1.54428571428571,1.45571428571429,21.2,42,21.62,31.59,42,21.62,888.48,0.495238095238095,0.247380952380952,0.505238095238095,0.510096153846154,0.242619047619048,1.06084396467125,1.01923076923077,1,0.505238095238095,0.514761904761905,0.505238095238095,1.02019230769231,1.06084396467125,1,0.118571428571429,0.498571428571429,0.65968253968254,0.323492063492063,0.494761904761905,1.31560620449509,0.648837949697548,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s7",1,50,50,100,700,4200,1,1,2,0,0,700,88,85,3,3,50,1.47714285714286,1.52285714285714,20.84,42,20.68,31.34,42,20.68,887.52,0.503809523809524,0.25,0.5,0.496219281663516,0.253809523809524,0.969981238273921,0.984877126654064,1,0.5,0.492380952380952,0.5,0.992438563327032,0.969981238273921,1,0.121428571428571,0.502539682539683,0.666666666666667,0.338412698412698,0.5,1.3401403956605,0.673404927353127,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s7",1,50,50,100,700,4200,1,1,2,0,0,700,88,85,3,3,50,1.47714285714286,1.52285714285714,20.84,42,20.68,31.34,42,20.68,887.52,0.503809523809524,0.25,0.5,0.496219281663516,0.253809523809524,0.969981238273921,0.984877126654064,1,0.5,0.492380952380952,0.5,0.992438563327032,0.969981238273921,1,0.121428571428571,0.502539682539683,0.666666666666667,0.338412698412698,0.5,1.3401403956605,0.673404927353127,"quantor",3600,"memout" "Qq2k3k3v50v50m700s7",1,50,50,100,700,4200,1,1,2,0,0,700,88,85,3,3,50,1.47714285714286,1.52285714285714,20.84,42,20.68,31.34,42,20.68,887.52,0.503809523809524,0.25,0.5,0.496219281663516,0.253809523809524,0.969981238273921,0.984877126654064,1,0.5,0.492380952380952,0.5,0.992438563327032,0.969981238273921,1,0.121428571428571,0.502539682539683,0.666666666666667,0.338412698412698,0.5,1.3401403956605,0.673404927353127,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s7",1,50,50,100,700,4200,1,1,2,0,0,700,88,85,3,3,50,1.47714285714286,1.52285714285714,20.84,42,20.68,31.34,42,20.68,887.52,0.503809523809524,0.25,0.5,0.496219281663516,0.253809523809524,0.969981238273921,0.984877126654064,1,0.5,0.492380952380952,0.5,0.992438563327032,0.969981238273921,1,0.121428571428571,0.502539682539683,0.666666666666667,0.338412698412698,0.5,1.3401403956605,0.673404927353127,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s7",1,50,50,100,700,4200,1,1,2,0,0,700,88,85,3,3,50,1.47714285714286,1.52285714285714,20.84,42,20.68,31.34,42,20.68,887.52,0.503809523809524,0.25,0.5,0.496219281663516,0.253809523809524,0.969981238273921,0.984877126654064,1,0.5,0.492380952380952,0.5,0.992438563327032,0.969981238273921,1,0.121428571428571,0.502539682539683,0.666666666666667,0.338412698412698,0.5,1.3401403956605,0.673404927353127,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s8",1,50,50,100,700,4200,1,1,2,0,0,700,65,94,3,3,50,1.52428571428571,1.47571428571429,21.23,42,21.34,31.79,42,21.34,883.92,0.49452380952381,0.251428571428571,0.497142857142857,0.50264805007222,0.245952380952381,1.03291384317522,1.02214732787675,1,0.497142857142857,0.508095238095238,0.497142857142857,1.00529610014444,1.03291384317522,1,0.134285714285714,0.495396825396825,0.670476190476191,0.327936507936508,0.502857142857143,1.32871972318339,0.661967318167254,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s8",1,50,50,100,700,4200,1,1,2,0,0,700,65,94,3,3,50,1.52428571428571,1.47571428571429,21.23,42,21.34,31.79,42,21.34,883.92,0.49452380952381,0.251428571428571,0.497142857142857,0.50264805007222,0.245952380952381,1.03291384317522,1.02214732787675,1,0.497142857142857,0.508095238095238,0.497142857142857,1.00529610014444,1.03291384317522,1,0.134285714285714,0.495396825396825,0.670476190476191,0.327936507936508,0.502857142857143,1.32871972318339,0.661967318167254,"quantor",3600,"memout" "Qq2k3k3v50v50m700s8",1,50,50,100,700,4200,1,1,2,0,0,700,65,94,3,3,50,1.52428571428571,1.47571428571429,21.23,42,21.34,31.79,42,21.34,883.92,0.49452380952381,0.251428571428571,0.497142857142857,0.50264805007222,0.245952380952381,1.03291384317522,1.02214732787675,1,0.497142857142857,0.508095238095238,0.497142857142857,1.00529610014444,1.03291384317522,1,0.134285714285714,0.495396825396825,0.670476190476191,0.327936507936508,0.502857142857143,1.32871972318339,0.661967318167254,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s8",1,50,50,100,700,4200,1,1,2,0,0,700,65,94,3,3,50,1.52428571428571,1.47571428571429,21.23,42,21.34,31.79,42,21.34,883.92,0.49452380952381,0.251428571428571,0.497142857142857,0.50264805007222,0.245952380952381,1.03291384317522,1.02214732787675,1,0.497142857142857,0.508095238095238,0.497142857142857,1.00529610014444,1.03291384317522,1,0.134285714285714,0.495396825396825,0.670476190476191,0.327936507936508,0.502857142857143,1.32871972318339,0.661967318167254,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s8",1,50,50,100,700,4200,1,1,2,0,0,700,65,94,3,3,50,1.52428571428571,1.47571428571429,21.23,42,21.34,31.79,42,21.34,883.92,0.49452380952381,0.251428571428571,0.497142857142857,0.50264805007222,0.245952380952381,1.03291384317522,1.02214732787675,1,0.497142857142857,0.508095238095238,0.497142857142857,1.00529610014444,1.03291384317522,1,0.134285714285714,0.495396825396825,0.670476190476191,0.327936507936508,0.502857142857143,1.32871972318339,0.661967318167254,"sSolve",3600,"timeout" "Qq2k3k3v50v50m700s9",1,50,50,100,700,4200,1,1,2,0,0,700,76,82,3,3,50,1.47714285714286,1.52285714285714,20.9,42,20.68,31.46,42,20.68,875.92,0.502380952380952,0.251428571428571,0.497142857142857,0.49478672985782,0.253809523809524,0.969981238273921,0.990521327014218,1,0.497142857142857,0.492380952380952,0.497142857142857,0.98957345971564,0.969981238273921,1,0.117142857142857,0.500634920634921,0.670476190476191,0.338412698412698,0.502857142857143,1.34265734265734,0.675967025998732,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m700s9",1,50,50,100,700,4200,1,1,2,0,0,700,76,82,3,3,50,1.47714285714286,1.52285714285714,20.9,42,20.68,31.46,42,20.68,875.92,0.502380952380952,0.251428571428571,0.497142857142857,0.49478672985782,0.253809523809524,0.969981238273921,0.990521327014218,1,0.497142857142857,0.492380952380952,0.497142857142857,0.98957345971564,0.969981238273921,1,0.117142857142857,0.500634920634921,0.670476190476191,0.338412698412698,0.502857142857143,1.34265734265734,0.675967025998732,"quantor",3600,"memout" "Qq2k3k3v50v50m700s9",1,50,50,100,700,4200,1,1,2,0,0,700,76,82,3,3,50,1.47714285714286,1.52285714285714,20.9,42,20.68,31.46,42,20.68,875.92,0.502380952380952,0.251428571428571,0.497142857142857,0.49478672985782,0.253809523809524,0.969981238273921,0.990521327014218,1,0.497142857142857,0.492380952380952,0.497142857142857,0.98957345971564,0.969981238273921,1,0.117142857142857,0.500634920634921,0.670476190476191,0.338412698412698,0.502857142857143,1.34265734265734,0.675967025998732,"QuBE",3600,"timeout" "Qq2k3k3v50v50m700s9",1,50,50,100,700,4200,1,1,2,0,0,700,76,82,3,3,50,1.47714285714286,1.52285714285714,20.9,42,20.68,31.46,42,20.68,875.92,0.502380952380952,0.251428571428571,0.497142857142857,0.49478672985782,0.253809523809524,0.969981238273921,0.990521327014218,1,0.497142857142857,0.492380952380952,0.497142857142857,0.98957345971564,0.969981238273921,1,0.117142857142857,0.500634920634921,0.670476190476191,0.338412698412698,0.502857142857143,1.34265734265734,0.675967025998732,"sKizzo",3600,"memout" "Qq2k3k3v50v50m700s9",1,50,50,100,700,4200,1,1,2,0,0,700,76,82,3,3,50,1.47714285714286,1.52285714285714,20.9,42,20.68,31.46,42,20.68,875.92,0.502380952380952,0.251428571428571,0.497142857142857,0.49478672985782,0.253809523809524,0.969981238273921,0.990521327014218,1,0.497142857142857,0.492380952380952,0.497142857142857,0.98957345971564,0.969981238273921,1,0.117142857142857,0.500634920634921,0.670476190476191,0.338412698412698,0.502857142857143,1.34265734265734,0.675967025998732,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s1",1,50,50,100,800,4800,1,1,2,0,0,800,85,76,3,3,50,1.47125,1.52875,23.97,48,23.54,36.17,48,23.54,1152.36,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s1",1,50,50,100,800,4800,1,1,2,0,0,800,85,76,3,3,50,1.47125,1.52875,23.97,48,23.54,36.17,48,23.54,1152.36,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"quantor",3600,"memout" "Qq2k3k3v50v50m800s1",1,50,50,100,800,4800,1,1,2,0,0,800,85,76,3,3,50,1.47125,1.52875,23.97,48,23.54,36.17,48,23.54,1152.36,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s1",1,50,50,100,800,4800,1,1,2,0,0,800,85,76,3,3,50,1.47125,1.52875,23.97,48,23.54,36.17,48,23.54,1152.36,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s1",1,50,50,100,800,4800,1,1,2,0,0,800,85,76,3,3,50,1.47125,1.52875,23.97,48,23.54,36.17,48,23.54,1152.36,0.500625,0.254166666666667,0.491666666666667,0.491052850603412,0.254791666666667,0.96238757154538,0.997503121098627,1,0.491666666666667,0.490416666666667,0.491666666666667,0.982105701206825,0.96238757154538,1,0.095,0.497638888888889,0.677777777777778,0.339722222222222,0.508333333333333,1.3491844069671,0.682668155177226,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s10",1,50,50,100,800,4800,1,1,2,0,0,800,88,88,3,3,50,1.51875,1.48125,24.11,48,24.3,36.07,48,24.3,1149,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s10",1,50,50,100,800,4800,1,1,2,0,0,800,88,88,3,3,50,1.51875,1.48125,24.11,48,24.3,36.07,48,24.3,1149,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"quantor",3600,"memout" "Qq2k3k3v50v50m800s10",1,50,50,100,800,4800,1,1,2,0,0,800,88,88,3,3,50,1.51875,1.48125,24.11,48,24.3,36.07,48,24.3,1149,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s10",1,50,50,100,800,4800,1,1,2,0,0,800,88,88,3,3,50,1.51875,1.48125,24.11,48,24.3,36.07,48,24.3,1149,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s10",1,50,50,100,800,4800,1,1,2,0,0,800,88,88,3,3,50,1.51875,1.48125,24.11,48,24.3,36.07,48,24.3,1149,0.497708333333333,0.249166666666667,0.501666666666667,0.503976559229803,0.246875,1.0253164556962,1.00920887400586,1,0.501666666666667,0.50625,0.501666666666667,1.00795311845961,1.0253164556962,1,0.11,0.499027777777778,0.664444444444445,0.329166666666667,0.498333333333333,1.32630995286942,0.659615919844141,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s2",1,50,50,100,800,4800,1,1,2,0,0,800,103,87,3,3,50,1.45875,1.54125,23.54,48,23.34,35.41,48,23.34,1145.32,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s2",1,50,50,100,800,4800,1,1,2,0,0,800,103,87,3,3,50,1.45875,1.54125,23.54,48,23.34,35.41,48,23.34,1145.32,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"quantor",3600,"memout" "Qq2k3k3v50v50m800s2",1,50,50,100,800,4800,1,1,2,0,0,800,103,87,3,3,50,1.45875,1.54125,23.54,48,23.34,35.41,48,23.34,1145.32,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s2",1,50,50,100,800,4800,1,1,2,0,0,800,103,87,3,3,50,1.45875,1.54125,23.54,48,23.34,35.41,48,23.34,1145.32,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s2",1,50,50,100,800,4800,1,1,2,0,0,800,103,87,3,3,50,1.45875,1.54125,23.54,48,23.34,35.41,48,23.34,1145.32,0.509583333333333,0.247291666666667,0.505416666666667,0.49591169255928,0.256875,0.94647201946472,0.96238757154538,1,0.505416666666667,0.48625,0.505416666666667,0.991823385118561,0.94647201946472,1,0.10875,0.508194444444445,0.659444444444444,0.3425,0.494583333333333,1.34086416266591,0.673954632413228,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s3",1,50,50,100,800,4800,1,1,2,0,0,800,91,105,3,3,50,1.5075,1.4925,24.43,48,24.12,36.8,48,24.12,1150.72,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s3",1,50,50,100,800,4800,1,1,2,0,0,800,91,105,3,3,50,1.5075,1.4925,24.43,48,24.12,36.8,48,24.12,1150.72,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"quantor",3600,"memout" "Qq2k3k3v50v50m800s3",1,50,50,100,800,4800,1,1,2,0,0,800,91,105,3,3,50,1.5075,1.4925,24.43,48,24.12,36.8,48,24.12,1150.72,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s3",1,50,50,100,800,4800,1,1,2,0,0,800,91,105,3,3,50,1.5075,1.4925,24.43,48,24.12,36.8,48,24.12,1150.72,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s3",1,50,50,100,800,4800,1,1,2,0,0,800,91,105,3,3,50,1.5075,1.4925,24.43,48,24.12,36.8,48,24.12,1150.72,0.491041666666667,0.257708333333333,0.484583333333333,0.493423843869325,0.24875,1.01005025125628,1.03648705982181,1,0.484583333333333,0.5025,0.484583333333333,0.986847687738651,1.01005025125628,1,0.13125,0.488888888888889,0.687222222222222,0.331666666666667,0.515416666666667,1.3445652173913,0.678409090909091,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s4",1,50,50,100,800,4800,1,1,2,0,0,800,103,100,3,3,50,1.505,1.495,23.85,48,24.08,35.66,48,24.08,1155.4,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s4",1,50,50,100,800,4800,1,1,2,0,0,800,103,100,3,3,50,1.505,1.495,23.85,48,24.08,35.66,48,24.08,1155.4,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"quantor",3600,"memout" "Qq2k3k3v50v50m800s4",1,50,50,100,800,4800,1,1,2,0,0,800,103,100,3,3,50,1.505,1.495,23.85,48,24.08,35.66,48,24.08,1155.4,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s4",1,50,50,100,800,4800,1,1,2,0,0,800,103,100,3,3,50,1.505,1.495,23.85,48,24.08,35.66,48,24.08,1155.4,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s4",1,50,50,100,800,4800,1,1,2,0,0,800,103,100,3,3,50,1.505,1.495,23.85,48,24.08,35.66,48,24.08,1155.4,0.503125,0.246041666666667,0.507916666666667,0.504761904761905,0.249166666666667,1.0066889632107,0.987577639751553,1,0.507916666666667,0.501666666666667,0.507916666666667,1.00952380952381,1.0066889632107,1,0.125,0.504722222222222,0.656111111111111,0.332222222222222,0.492083333333333,1.3247335950645,0.658227848101266,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s5",1,50,50,100,800,4800,1,1,2,0,0,800,86,90,3,3,50,1.48875,1.51125,23.81,48,23.82,35.71,48,23.82,1145.64,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s5",1,50,50,100,800,4800,1,1,2,0,0,800,86,90,3,3,50,1.48875,1.51125,23.81,48,23.82,35.71,48,23.82,1145.64,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"quantor",3600,"memout" "Qq2k3k3v50v50m800s5",1,50,50,100,800,4800,1,1,2,0,0,800,86,90,3,3,50,1.48875,1.51125,23.81,48,23.82,35.71,48,23.82,1145.64,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s5",1,50,50,100,800,4800,1,1,2,0,0,800,86,90,3,3,50,1.48875,1.51125,23.81,48,23.82,35.71,48,23.82,1145.64,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s5",1,50,50,100,800,4800,1,1,2,0,0,800,86,90,3,3,50,1.48875,1.51125,23.81,48,23.82,35.71,48,23.82,1145.64,0.503958333333333,0.247916666666667,0.504166666666667,0.500206696982224,0.251875,0.985111662531017,0.984291029350971,1,0.504166666666667,0.49625,0.504166666666667,1.00041339396445,0.985111662531017,1,0.1125,0.504027777777778,0.661111111111111,0.335833333333333,0.495833333333333,1.33295995519462,0.666299255993387,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s6",1,50,50,100,800,4800,1,1,2,0,0,800,84,98,3,3,50,1.54,1.46,24.35,48,24.64,36.38,48,24.64,1159.08,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s6",1,50,50,100,800,4800,1,1,2,0,0,800,84,98,3,3,50,1.54,1.46,24.35,48,24.64,36.38,48,24.64,1159.08,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"quantor",3600,"memout" "Qq2k3k3v50v50m800s6",1,50,50,100,800,4800,1,1,2,0,0,800,84,98,3,3,50,1.54,1.46,24.35,48,24.64,36.38,48,24.64,1159.08,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s6",1,50,50,100,800,4800,1,1,2,0,0,800,84,98,3,3,50,1.54,1.46,24.35,48,24.64,36.38,48,24.64,1159.08,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s6",1,50,50,100,800,4800,1,1,2,0,0,800,84,98,3,3,50,1.54,1.46,24.35,48,24.64,36.38,48,24.64,1159.08,0.492708333333333,0.250625,0.49875,0.506131078224102,0.243333333333333,1.05479452054795,1.02959830866808,1,0.49875,0.513333333333333,0.49875,1.0122621564482,1.05479452054795,1,0.1225,0.494722222222222,0.668333333333333,0.324444444444444,0.50125,1.32270478284772,0.655811341942729,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s7",1,50,50,100,800,4800,1,1,2,0,0,800,99,92,3,3,50,1.47625,1.52375,23.78,48,23.62,35.75,48,23.62,1162.44,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s7",1,50,50,100,800,4800,1,1,2,0,0,800,99,92,3,3,50,1.47625,1.52375,23.78,48,23.62,35.75,48,23.62,1162.44,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"quantor",3600,"memout" "Qq2k3k3v50v50m800s7",1,50,50,100,800,4800,1,1,2,0,0,800,99,92,3,3,50,1.47625,1.52375,23.78,48,23.62,35.75,48,23.62,1162.44,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s7",1,50,50,100,800,4800,1,1,2,0,0,800,99,92,3,3,50,1.47625,1.52375,23.78,48,23.62,35.75,48,23.62,1162.44,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s7",1,50,50,100,800,4800,1,1,2,0,0,800,99,92,3,3,50,1.47625,1.52375,23.78,48,23.62,35.75,48,23.62,1162.44,0.504583333333333,0.249375,0.50125,0.496696944673823,0.253958333333333,0.968826907301067,0.981833195706028,1,0.50125,0.492083333333333,0.50125,0.993393889347647,0.968826907301067,1,0.115,0.503472222222222,0.665,0.338611111111111,0.49875,1.3393006993007,0.672551724137931,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s8",1,50,50,100,800,4800,1,1,2,0,0,800,76,100,3,3,50,1.51875,1.48125,24.21,48,24.3,36.27,48,24.3,1146.76,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s8",1,50,50,100,800,4800,1,1,2,0,0,800,76,100,3,3,50,1.51875,1.48125,24.21,48,24.3,36.27,48,24.3,1146.76,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"quantor",3600,"memout" "Qq2k3k3v50v50m800s8",1,50,50,100,800,4800,1,1,2,0,0,800,76,100,3,3,50,1.51875,1.48125,24.21,48,24.3,36.27,48,24.3,1146.76,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s8",1,50,50,100,800,4800,1,1,2,0,0,800,76,100,3,3,50,1.51875,1.48125,24.21,48,24.3,36.27,48,24.3,1146.76,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s8",1,50,50,100,800,4800,1,1,2,0,0,800,76,100,3,3,50,1.51875,1.48125,24.21,48,24.3,36.27,48,24.3,1146.76,0.495625,0.25125,0.4975,0.501891551071879,0.246875,1.0253164556962,1.01765447667087,1,0.4975,0.50625,0.4975,1.00378310214376,1.0253164556962,1,0.125,0.49625,0.67,0.329166666666667,0.5025,1.33002481389578,0.663308144416457,"sSolve",3600,"timeout" "Qq2k3k3v50v50m800s9",1,50,50,100,800,4800,1,1,2,0,0,800,88,92,3,3,50,1.4725,1.5275,23.78,48,23.56,35.78,48,23.56,1148,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m800s9",1,50,50,100,800,4800,1,1,2,0,0,800,88,92,3,3,50,1.4725,1.5275,23.78,48,23.56,35.78,48,23.56,1148,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"quantor",3600,"memout" "Qq2k3k3v50v50m800s9",1,50,50,100,800,4800,1,1,2,0,0,800,88,92,3,3,50,1.4725,1.5275,23.78,48,23.56,35.78,48,23.56,1148,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"QuBE",3600,"timeout" "Qq2k3k3v50v50m800s9",1,50,50,100,800,4800,1,1,2,0,0,800,88,92,3,3,50,1.4725,1.5275,23.78,48,23.56,35.78,48,23.56,1148,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"sKizzo",3600,"memout" "Qq2k3k3v50v50m800s9",1,50,50,100,800,4800,1,1,2,0,0,800,88,92,3,3,50,1.4725,1.5275,23.78,48,23.56,35.78,48,23.56,1148,0.504583333333333,0.25,0.5,0.495458298926507,0.254583333333333,0.963993453355155,0.981833195706028,1,0.5,0.490833333333333,0.5,0.990916597853014,0.963993453355155,1,0.115,0.503055555555556,0.666666666666667,0.339444444444444,0.5,1.34153158188932,0.674765323025953,"sSolve",3600,"timeout" "Qq2k3k3v50v50m900s1",1,50,50,100,900,5400,1,1,2,0,0,900,94,90,3,3,50,1.48666666666667,1.51333333333333,27.06,54,26.76,40.74,54,26.76,1460,0.498888888888889,0.253333333333333,0.493333333333333,0.494432071269488,0.252222222222222,0.982378854625551,1.00445434298441,1,0.493333333333333,0.495555555555556,0.493333333333333,0.988864142538975,0.982378854625551,1,0.1,0.497037037037037,0.675555555555556,0.336296296296296,0.506666666666667,1.34315169366716,0.676602086438152,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m900s1",1,50,50,100,900,5400,1,1,2,0,0,900,94,90,3,3,50,1.48666666666667,1.51333333333333,27.06,54,26.76,40.74,54,26.76,1460,0.498888888888889,0.253333333333333,0.493333333333333,0.494432071269488,0.252222222222222,0.982378854625551,1.00445434298441,1,0.493333333333333,0.495555555555556,0.493333333333333,0.988864142538975,0.982378854625551,1,0.1,0.497037037037037,0.675555555555556,0.336296296296296,0.506666666666667,1.34315169366716,0.676602086438152,"quantor",3600,"memout" "Qq2k3k3v50v50m900s1",1,50,50,100,900,5400,1,1,2,0,0,900,94,90,3,3,50,1.48666666666667,1.51333333333333,27.06,54,26.76,40.74,54,26.76,1460,0.498888888888889,0.253333333333333,0.493333333333333,0.494432071269488,0.252222222222222,0.982378854625551,1.00445434298441,1,0.493333333333333,0.495555555555556,0.493333333333333,0.988864142538975,0.982378854625551,1,0.1,0.497037037037037,0.675555555555556,0.336296296296296,0.506666666666667,1.34315169366716,0.676602086438152,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s1",1,50,50,100,900,5400,1,1,2,0,0,900,94,90,3,3,50,1.48666666666667,1.51333333333333,27.06,54,26.76,40.74,54,26.76,1460,0.498888888888889,0.253333333333333,0.493333333333333,0.494432071269488,0.252222222222222,0.982378854625551,1.00445434298441,1,0.493333333333333,0.495555555555556,0.493333333333333,0.988864142538975,0.982378854625551,1,0.1,0.497037037037037,0.675555555555556,0.336296296296296,0.506666666666667,1.34315169366716,0.676602086438152,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s1",1,50,50,100,900,5400,1,1,2,0,0,900,94,90,3,3,50,1.48666666666667,1.51333333333333,27.06,54,26.76,40.74,54,26.76,1460,0.498888888888889,0.253333333333333,0.493333333333333,0.494432071269488,0.252222222222222,0.982378854625551,1.00445434298441,1,0.493333333333333,0.495555555555556,0.493333333333333,0.988864142538975,0.982378854625551,1,0.1,0.497037037037037,0.675555555555556,0.336296296296296,0.506666666666667,1.34315169366716,0.676602086438152,"sSolve",581.58,"ok" "Qq2k3k3v50v50m900s10",1,50,50,100,900,5400,1,1,2,0,0,900,94,100,3,3,50,1.51666666666667,1.48333333333333,27.19,54,27.3,40.73,54,27.3,1455.16,0.496481481481481,0.250740740740741,0.498518518518519,0.502051473330847,0.247222222222222,1.02247191011236,1.0141738157404,1,0.498518518518519,0.505555555555556,0.498518518518519,1.00410294666169,1.02247191011236,1,0.111111111111111,0.497160493827161,0.668641975308642,0.32962962962963,0.501481481481481,1.32973238399214,0.663024584057611,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m900s10",1,50,50,100,900,5400,1,1,2,0,0,900,94,100,3,3,50,1.51666666666667,1.48333333333333,27.19,54,27.3,40.73,54,27.3,1455.16,0.496481481481481,0.250740740740741,0.498518518518519,0.502051473330847,0.247222222222222,1.02247191011236,1.0141738157404,1,0.498518518518519,0.505555555555556,0.498518518518519,1.00410294666169,1.02247191011236,1,0.111111111111111,0.497160493827161,0.668641975308642,0.32962962962963,0.501481481481481,1.32973238399214,0.663024584057611,"quantor",3600,"memout" "Qq2k3k3v50v50m900s10",1,50,50,100,900,5400,1,1,2,0,0,900,94,100,3,3,50,1.51666666666667,1.48333333333333,27.19,54,27.3,40.73,54,27.3,1455.16,0.496481481481481,0.250740740740741,0.498518518518519,0.502051473330847,0.247222222222222,1.02247191011236,1.0141738157404,1,0.498518518518519,0.505555555555556,0.498518518518519,1.00410294666169,1.02247191011236,1,0.111111111111111,0.497160493827161,0.668641975308642,0.32962962962963,0.501481481481481,1.32973238399214,0.663024584057611,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s10",1,50,50,100,900,5400,1,1,2,0,0,900,94,100,3,3,50,1.51666666666667,1.48333333333333,27.19,54,27.3,40.73,54,27.3,1455.16,0.496481481481481,0.250740740740741,0.498518518518519,0.502051473330847,0.247222222222222,1.02247191011236,1.0141738157404,1,0.498518518518519,0.505555555555556,0.498518518518519,1.00410294666169,1.02247191011236,1,0.111111111111111,0.497160493827161,0.668641975308642,0.32962962962963,0.501481481481481,1.32973238399214,0.663024584057611,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s10",1,50,50,100,900,5400,1,1,2,0,0,900,94,100,3,3,50,1.51666666666667,1.48333333333333,27.19,54,27.3,40.73,54,27.3,1455.16,0.496481481481481,0.250740740740741,0.498518518518519,0.502051473330847,0.247222222222222,1.02247191011236,1.0141738157404,1,0.498518518518519,0.505555555555556,0.498518518518519,1.00410294666169,1.02247191011236,1,0.111111111111111,0.497160493827161,0.668641975308642,0.32962962962963,0.501481481481481,1.32973238399214,0.663024584057611,"sSolve",9.65,"ok" "Qq2k3k3v50v50m900s2",1,50,50,100,900,5400,1,1,2,0,0,900,110,100,3,3,50,1.46888888888889,1.53111111111111,26.62,54,26.44,40.02,54,26.44,1449.4,0.507037037037037,0.248148148148148,0.503703703703704,0.496712929145362,0.255185185185185,0.959361393323658,0.972242512783053,1,0.503703703703704,0.48962962962963,0.503703703703704,0.993425858290723,0.959361393323658,1,0.111111111111111,0.505925925925926,0.661728395061728,0.340246913580247,0.496296296296296,1.33933033483258,0.67252318204002,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m900s2",1,50,50,100,900,5400,1,1,2,0,0,900,110,100,3,3,50,1.46888888888889,1.53111111111111,26.62,54,26.44,40.02,54,26.44,1449.4,0.507037037037037,0.248148148148148,0.503703703703704,0.496712929145362,0.255185185185185,0.959361393323658,0.972242512783053,1,0.503703703703704,0.48962962962963,0.503703703703704,0.993425858290723,0.959361393323658,1,0.111111111111111,0.505925925925926,0.661728395061728,0.340246913580247,0.496296296296296,1.33933033483258,0.67252318204002,"quantor",3600,"memout" "Qq2k3k3v50v50m900s2",1,50,50,100,900,5400,1,1,2,0,0,900,110,100,3,3,50,1.46888888888889,1.53111111111111,26.62,54,26.44,40.02,54,26.44,1449.4,0.507037037037037,0.248148148148148,0.503703703703704,0.496712929145362,0.255185185185185,0.959361393323658,0.972242512783053,1,0.503703703703704,0.48962962962963,0.503703703703704,0.993425858290723,0.959361393323658,1,0.111111111111111,0.505925925925926,0.661728395061728,0.340246913580247,0.496296296296296,1.33933033483258,0.67252318204002,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s2",1,50,50,100,900,5400,1,1,2,0,0,900,110,100,3,3,50,1.46888888888889,1.53111111111111,26.62,54,26.44,40.02,54,26.44,1449.4,0.507037037037037,0.248148148148148,0.503703703703704,0.496712929145362,0.255185185185185,0.959361393323658,0.972242512783053,1,0.503703703703704,0.48962962962963,0.503703703703704,0.993425858290723,0.959361393323658,1,0.111111111111111,0.505925925925926,0.661728395061728,0.340246913580247,0.496296296296296,1.33933033483258,0.67252318204002,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s2",1,50,50,100,900,5400,1,1,2,0,0,900,110,100,3,3,50,1.46888888888889,1.53111111111111,26.62,54,26.44,40.02,54,26.44,1449.4,0.507037037037037,0.248148148148148,0.503703703703704,0.496712929145362,0.255185185185185,0.959361393323658,0.972242512783053,1,0.503703703703704,0.48962962962963,0.503703703703704,0.993425858290723,0.959361393323658,1,0.111111111111111,0.505925925925926,0.661728395061728,0.340246913580247,0.496296296296296,1.33933033483258,0.67252318204002,"sSolve",199.6,"ok" "Qq2k3k3v50v50m900s3",1,50,50,100,900,5400,1,1,2,0,0,900,104,113,3,3,50,1.49888888888889,1.50111111111111,27.28,54,26.98,41.07,54,26.98,1456.8,0.494814814814815,0.25537037037037,0.489259259259259,0.49438622754491,0.250185185185185,0.998519615099926,1.02095808383234,1,0.489259259259259,0.49962962962963,0.489259259259259,0.98877245508982,0.998519615099926,1,0.125555555555556,0.492962962962963,0.680987654320988,0.33358024691358,0.510740740740741,1.34307280253226,0.676684197345354,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m900s3",1,50,50,100,900,5400,1,1,2,0,0,900,104,113,3,3,50,1.49888888888889,1.50111111111111,27.28,54,26.98,41.07,54,26.98,1456.8,0.494814814814815,0.25537037037037,0.489259259259259,0.49438622754491,0.250185185185185,0.998519615099926,1.02095808383234,1,0.489259259259259,0.49962962962963,0.489259259259259,0.98877245508982,0.998519615099926,1,0.125555555555556,0.492962962962963,0.680987654320988,0.33358024691358,0.510740740740741,1.34307280253226,0.676684197345354,"quantor",3600,"memout" "Qq2k3k3v50v50m900s3",1,50,50,100,900,5400,1,1,2,0,0,900,104,113,3,3,50,1.49888888888889,1.50111111111111,27.28,54,26.98,41.07,54,26.98,1456.8,0.494814814814815,0.25537037037037,0.489259259259259,0.49438622754491,0.250185185185185,0.998519615099926,1.02095808383234,1,0.489259259259259,0.49962962962963,0.489259259259259,0.98877245508982,0.998519615099926,1,0.125555555555556,0.492962962962963,0.680987654320988,0.33358024691358,0.510740740740741,1.34307280253226,0.676684197345354,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s3",1,50,50,100,900,5400,1,1,2,0,0,900,104,113,3,3,50,1.49888888888889,1.50111111111111,27.28,54,26.98,41.07,54,26.98,1456.8,0.494814814814815,0.25537037037037,0.489259259259259,0.49438622754491,0.250185185185185,0.998519615099926,1.02095808383234,1,0.489259259259259,0.49962962962963,0.489259259259259,0.98877245508982,0.998519615099926,1,0.125555555555556,0.492962962962963,0.680987654320988,0.33358024691358,0.510740740740741,1.34307280253226,0.676684197345354,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s3",1,50,50,100,900,5400,1,1,2,0,0,900,104,113,3,3,50,1.49888888888889,1.50111111111111,27.28,54,26.98,41.07,54,26.98,1456.8,0.494814814814815,0.25537037037037,0.489259259259259,0.49438622754491,0.250185185185185,0.998519615099926,1.02095808383234,1,0.489259259259259,0.49962962962963,0.489259259259259,0.98877245508982,0.998519615099926,1,0.125555555555556,0.492962962962963,0.680987654320988,0.33358024691358,0.510740740740741,1.34307280253226,0.676684197345354,"sSolve",59.85,"ok" "Qq2k3k3v50v50m900s4",1,50,50,100,900,5400,1,1,2,0,0,900,110,113,3,3,50,1.52111111111111,1.47888888888889,26.97,54,27.38,40.25,54,27.38,1457.2,0.500555555555556,0.245925925925926,0.508148148148148,0.507584165741768,0.246481481481481,1.02854996243426,0.997780244173141,1,0.508148148148148,0.507037037037037,0.508148148148148,1.01516833148354,1.02854996243426,1,0.125555555555556,0.503086419753086,0.655802469135802,0.328641975308642,0.491851851851852,1.31975155279503,0.653251533742331,"X2clsQ",3600,"memout" "Qq2k3k3v50v50m900s4",1,50,50,100,900,5400,1,1,2,0,0,900,110,113,3,3,50,1.52111111111111,1.47888888888889,26.97,54,27.38,40.25,54,27.38,1457.2,0.500555555555556,0.245925925925926,0.508148148148148,0.507584165741768,0.246481481481481,1.02854996243426,0.997780244173141,1,0.508148148148148,0.507037037037037,0.508148148148148,1.01516833148354,1.02854996243426,1,0.125555555555556,0.503086419753086,0.655802469135802,0.328641975308642,0.491851851851852,1.31975155279503,0.653251533742331,"quantor",3600,"memout" "Qq2k3k3v50v50m900s4",1,50,50,100,900,5400,1,1,2,0,0,900,110,113,3,3,50,1.52111111111111,1.47888888888889,26.97,54,27.38,40.25,54,27.38,1457.2,0.500555555555556,0.245925925925926,0.508148148148148,0.507584165741768,0.246481481481481,1.02854996243426,0.997780244173141,1,0.508148148148148,0.507037037037037,0.508148148148148,1.01516833148354,1.02854996243426,1,0.125555555555556,0.503086419753086,0.655802469135802,0.328641975308642,0.491851851851852,1.31975155279503,0.653251533742331,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s4",1,50,50,100,900,5400,1,1,2,0,0,900,110,113,3,3,50,1.52111111111111,1.47888888888889,26.97,54,27.38,40.25,54,27.38,1457.2,0.500555555555556,0.245925925925926,0.508148148148148,0.507584165741768,0.246481481481481,1.02854996243426,0.997780244173141,1,0.508148148148148,0.507037037037037,0.508148148148148,1.01516833148354,1.02854996243426,1,0.125555555555556,0.503086419753086,0.655802469135802,0.328641975308642,0.491851851851852,1.31975155279503,0.653251533742331,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s4",1,50,50,100,900,5400,1,1,2,0,0,900,110,113,3,3,50,1.52111111111111,1.47888888888889,26.97,54,27.38,40.25,54,27.38,1457.2,0.500555555555556,0.245925925925926,0.508148148148148,0.507584165741768,0.246481481481481,1.02854996243426,0.997780244173141,1,0.508148148148148,0.507037037037037,0.508148148148148,1.01516833148354,1.02854996243426,1,0.125555555555556,0.503086419753086,0.655802469135802,0.328641975308642,0.491851851851852,1.31975155279503,0.653251533742331,"sSolve",180.24,"ok" "Qq2k3k3v50v50m900s5",1,50,50,100,900,5400,1,1,2,0,0,900,103,101,3,3,50,1.48,1.52,26.7,54,26.64,40.08,54,26.64,1453.08,0.505555555555556,0.247777777777778,0.504444444444444,0.498901098901099,0.253333333333333,0.973684210526316,0.978021978021978,1,0.504444444444444,0.493333333333333,0.504444444444444,0.997802197802198,0.973684210526316,1,0.112222222222222,0.505185185185185,0.660740740740741,0.337777777777778,0.495555555555556,1.33532934131737,0.668621700879765,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m900s5",1,50,50,100,900,5400,1,1,2,0,0,900,103,101,3,3,50,1.48,1.52,26.7,54,26.64,40.08,54,26.64,1453.08,0.505555555555556,0.247777777777778,0.504444444444444,0.498901098901099,0.253333333333333,0.973684210526316,0.978021978021978,1,0.504444444444444,0.493333333333333,0.504444444444444,0.997802197802198,0.973684210526316,1,0.112222222222222,0.505185185185185,0.660740740740741,0.337777777777778,0.495555555555556,1.33532934131737,0.668621700879765,"quantor",3600,"memout" "Qq2k3k3v50v50m900s5",1,50,50,100,900,5400,1,1,2,0,0,900,103,101,3,3,50,1.48,1.52,26.7,54,26.64,40.08,54,26.64,1453.08,0.505555555555556,0.247777777777778,0.504444444444444,0.498901098901099,0.253333333333333,0.973684210526316,0.978021978021978,1,0.504444444444444,0.493333333333333,0.504444444444444,0.997802197802198,0.973684210526316,1,0.112222222222222,0.505185185185185,0.660740740740741,0.337777777777778,0.495555555555556,1.33532934131737,0.668621700879765,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s5",1,50,50,100,900,5400,1,1,2,0,0,900,103,101,3,3,50,1.48,1.52,26.7,54,26.64,40.08,54,26.64,1453.08,0.505555555555556,0.247777777777778,0.504444444444444,0.498901098901099,0.253333333333333,0.973684210526316,0.978021978021978,1,0.504444444444444,0.493333333333333,0.504444444444444,0.997802197802198,0.973684210526316,1,0.112222222222222,0.505185185185185,0.660740740740741,0.337777777777778,0.495555555555556,1.33532934131737,0.668621700879765,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s5",1,50,50,100,900,5400,1,1,2,0,0,900,103,101,3,3,50,1.48,1.52,26.7,54,26.64,40.08,54,26.64,1453.08,0.505555555555556,0.247777777777778,0.504444444444444,0.498901098901099,0.253333333333333,0.973684210526316,0.978021978021978,1,0.504444444444444,0.493333333333333,0.504444444444444,0.997802197802198,0.973684210526316,1,0.112222222222222,0.505185185185185,0.660740740740741,0.337777777777778,0.495555555555556,1.33532934131737,0.668621700879765,"sSolve",59.51,"ok" "Qq2k3k3v50v50m900s6",1,50,50,100,900,5400,1,1,2,0,0,900,100,118,3,3,50,1.54777777777778,1.45222222222222,27.46,54,27.86,40.99,54,27.86,1460.68,0.491481481481481,0.250555555555556,0.498888888888889,0.507535795026375,0.242037037037037,1.06579954093344,1.03466465712133,1,0.498888888888889,0.515925925925926,0.498888888888889,1.01507159005275,1.06579954093344,1,0.131111111111111,0.493950617283951,0.668148148148148,0.322716049382716,0.501111111111111,1.32032202976336,0.653336665833542,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m900s6",1,50,50,100,900,5400,1,1,2,0,0,900,100,118,3,3,50,1.54777777777778,1.45222222222222,27.46,54,27.86,40.99,54,27.86,1460.68,0.491481481481481,0.250555555555556,0.498888888888889,0.507535795026375,0.242037037037037,1.06579954093344,1.03466465712133,1,0.498888888888889,0.515925925925926,0.498888888888889,1.01507159005275,1.06579954093344,1,0.131111111111111,0.493950617283951,0.668148148148148,0.322716049382716,0.501111111111111,1.32032202976336,0.653336665833542,"quantor",3600,"memout" "Qq2k3k3v50v50m900s6",1,50,50,100,900,5400,1,1,2,0,0,900,100,118,3,3,50,1.54777777777778,1.45222222222222,27.46,54,27.86,40.99,54,27.86,1460.68,0.491481481481481,0.250555555555556,0.498888888888889,0.507535795026375,0.242037037037037,1.06579954093344,1.03466465712133,1,0.498888888888889,0.515925925925926,0.498888888888889,1.01507159005275,1.06579954093344,1,0.131111111111111,0.493950617283951,0.668148148148148,0.322716049382716,0.501111111111111,1.32032202976336,0.653336665833542,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s6",1,50,50,100,900,5400,1,1,2,0,0,900,100,118,3,3,50,1.54777777777778,1.45222222222222,27.46,54,27.86,40.99,54,27.86,1460.68,0.491481481481481,0.250555555555556,0.498888888888889,0.507535795026375,0.242037037037037,1.06579954093344,1.03466465712133,1,0.498888888888889,0.515925925925926,0.498888888888889,1.01507159005275,1.06579954093344,1,0.131111111111111,0.493950617283951,0.668148148148148,0.322716049382716,0.501111111111111,1.32032202976336,0.653336665833542,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s6",1,50,50,100,900,5400,1,1,2,0,0,900,100,118,3,3,50,1.54777777777778,1.45222222222222,27.46,54,27.86,40.99,54,27.86,1460.68,0.491481481481481,0.250555555555556,0.498888888888889,0.507535795026375,0.242037037037037,1.06579954093344,1.03466465712133,1,0.498888888888889,0.515925925925926,0.498888888888889,1.01507159005275,1.06579954093344,1,0.131111111111111,0.493950617283951,0.668148148148148,0.322716049382716,0.501111111111111,1.32032202976336,0.653336665833542,"sSolve",4.37,"ok" "Qq2k3k3v50v50m900s7",1,50,50,100,900,5400,1,1,2,0,0,900,112,103,3,3,50,1.48111111111111,1.51888888888889,26.82,54,26.66,40.31,54,26.66,1468.36,0.503333333333333,0.249814814814815,0.50037037037037,0.497056659308315,0.253148148148148,0.975128017556693,0.986754966887417,1,0.50037037037037,0.493703703703704,0.50037037037037,0.99411331861663,0.975128017556694,1,0.114444444444444,0.502345679012346,0.666172839506173,0.337530864197531,0.49962962962963,1.33862565120318,0.671909560088474,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m900s7",1,50,50,100,900,5400,1,1,2,0,0,900,112,103,3,3,50,1.48111111111111,1.51888888888889,26.82,54,26.66,40.31,54,26.66,1468.36,0.503333333333333,0.249814814814815,0.50037037037037,0.497056659308315,0.253148148148148,0.975128017556693,0.986754966887417,1,0.50037037037037,0.493703703703704,0.50037037037037,0.99411331861663,0.975128017556694,1,0.114444444444444,0.502345679012346,0.666172839506173,0.337530864197531,0.49962962962963,1.33862565120318,0.671909560088474,"quantor",3600,"memout" "Qq2k3k3v50v50m900s7",1,50,50,100,900,5400,1,1,2,0,0,900,112,103,3,3,50,1.48111111111111,1.51888888888889,26.82,54,26.66,40.31,54,26.66,1468.36,0.503333333333333,0.249814814814815,0.50037037037037,0.497056659308315,0.253148148148148,0.975128017556693,0.986754966887417,1,0.50037037037037,0.493703703703704,0.50037037037037,0.99411331861663,0.975128017556694,1,0.114444444444444,0.502345679012346,0.666172839506173,0.337530864197531,0.49962962962963,1.33862565120318,0.671909560088474,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s7",1,50,50,100,900,5400,1,1,2,0,0,900,112,103,3,3,50,1.48111111111111,1.51888888888889,26.82,54,26.66,40.31,54,26.66,1468.36,0.503333333333333,0.249814814814815,0.50037037037037,0.497056659308315,0.253148148148148,0.975128017556693,0.986754966887417,1,0.50037037037037,0.493703703703704,0.50037037037037,0.99411331861663,0.975128017556694,1,0.114444444444444,0.502345679012346,0.666172839506173,0.337530864197531,0.49962962962963,1.33862565120318,0.671909560088474,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s7",1,50,50,100,900,5400,1,1,2,0,0,900,112,103,3,3,50,1.48111111111111,1.51888888888889,26.82,54,26.66,40.31,54,26.66,1468.36,0.503333333333333,0.249814814814815,0.50037037037037,0.497056659308315,0.253148148148148,0.975128017556693,0.986754966887417,1,0.50037037037037,0.493703703703704,0.50037037037037,0.99411331861663,0.975128017556694,1,0.114444444444444,0.502345679012346,0.666172839506173,0.337530864197531,0.49962962962963,1.33862565120318,0.671909560088474,"sSolve",1.51,"ok" "Qq2k3k3v50v50m900s8",1,50,50,100,900,5400,1,1,2,0,0,900,82,109,3,3,50,1.51777777777778,1.48222222222222,27.29,54,27.32,40.92,54,27.32,1452.6,0.49462962962963,0.252407407407407,0.495185185185185,0.500561587420442,0.247037037037037,1.023988005997,1.02171471359042,1,0.495185185185185,0.505925925925926,0.495185185185185,1.00112317484088,1.023988005997,1,0.121111111111111,0.494814814814815,0.673086419753086,0.329382716049383,0.504814814814815,1.33235581622678,0.665668662674651,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m900s8",1,50,50,100,900,5400,1,1,2,0,0,900,82,109,3,3,50,1.51777777777778,1.48222222222222,27.29,54,27.32,40.92,54,27.32,1452.6,0.49462962962963,0.252407407407407,0.495185185185185,0.500561587420442,0.247037037037037,1.023988005997,1.02171471359042,1,0.495185185185185,0.505925925925926,0.495185185185185,1.00112317484088,1.023988005997,1,0.121111111111111,0.494814814814815,0.673086419753086,0.329382716049383,0.504814814814815,1.33235581622678,0.665668662674651,"quantor",3600,"memout" "Qq2k3k3v50v50m900s8",1,50,50,100,900,5400,1,1,2,0,0,900,82,109,3,3,50,1.51777777777778,1.48222222222222,27.29,54,27.32,40.92,54,27.32,1452.6,0.49462962962963,0.252407407407407,0.495185185185185,0.500561587420442,0.247037037037037,1.023988005997,1.02171471359042,1,0.495185185185185,0.505925925925926,0.495185185185185,1.00112317484088,1.023988005997,1,0.121111111111111,0.494814814814815,0.673086419753086,0.329382716049383,0.504814814814815,1.33235581622678,0.665668662674651,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s8",1,50,50,100,900,5400,1,1,2,0,0,900,82,109,3,3,50,1.51777777777778,1.48222222222222,27.29,54,27.32,40.92,54,27.32,1452.6,0.49462962962963,0.252407407407407,0.495185185185185,0.500561587420442,0.247037037037037,1.023988005997,1.02171471359042,1,0.495185185185185,0.505925925925926,0.495185185185185,1.00112317484088,1.023988005997,1,0.121111111111111,0.494814814814815,0.673086419753086,0.329382716049383,0.504814814814815,1.33235581622678,0.665668662674651,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s8",1,50,50,100,900,5400,1,1,2,0,0,900,82,109,3,3,50,1.51777777777778,1.48222222222222,27.29,54,27.32,40.92,54,27.32,1452.6,0.49462962962963,0.252407407407407,0.495185185185185,0.500561587420442,0.247037037037037,1.023988005997,1.02171471359042,1,0.495185185185185,0.505925925925926,0.495185185185185,1.00112317484088,1.023988005997,1,0.121111111111111,0.494814814814815,0.673086419753086,0.329382716049383,0.504814814814815,1.33235581622678,0.665668662674651,"sSolve",70.67,"ok" "Qq2k3k3v50v50m900s9",1,50,50,100,900,5400,1,1,2,0,0,900,97,100,3,3,50,1.47444444444444,1.52555555555556,26.78,54,26.54,40.29,54,26.54,1452.76,0.504074074074074,0.250185185185185,0.49962962962963,0.495591476855254,0.254259259259259,0.966496722505462,0.98383541513593,1,0.49962962962963,0.491481481481481,0.49962962962963,0.991182953710507,0.966496722505462,1,0.111111111111111,0.502592592592593,0.66716049382716,0.339012345679012,0.50037037037037,1.34127575080665,0.674527143208057,"X2clsQ",3600,"timeout" "Qq2k3k3v50v50m900s9",1,50,50,100,900,5400,1,1,2,0,0,900,97,100,3,3,50,1.47444444444444,1.52555555555556,26.78,54,26.54,40.29,54,26.54,1452.76,0.504074074074074,0.250185185185185,0.49962962962963,0.495591476855254,0.254259259259259,0.966496722505462,0.98383541513593,1,0.49962962962963,0.491481481481481,0.49962962962963,0.991182953710507,0.966496722505462,1,0.111111111111111,0.502592592592593,0.66716049382716,0.339012345679012,0.50037037037037,1.34127575080665,0.674527143208057,"quantor",3600,"memout" "Qq2k3k3v50v50m900s9",1,50,50,100,900,5400,1,1,2,0,0,900,97,100,3,3,50,1.47444444444444,1.52555555555556,26.78,54,26.54,40.29,54,26.54,1452.76,0.504074074074074,0.250185185185185,0.49962962962963,0.495591476855254,0.254259259259259,0.966496722505462,0.98383541513593,1,0.49962962962963,0.491481481481481,0.49962962962963,0.991182953710507,0.966496722505462,1,0.111111111111111,0.502592592592593,0.66716049382716,0.339012345679012,0.50037037037037,1.34127575080665,0.674527143208057,"QuBE",3600,"timeout" "Qq2k3k3v50v50m900s9",1,50,50,100,900,5400,1,1,2,0,0,900,97,100,3,3,50,1.47444444444444,1.52555555555556,26.78,54,26.54,40.29,54,26.54,1452.76,0.504074074074074,0.250185185185185,0.49962962962963,0.495591476855254,0.254259259259259,0.966496722505462,0.98383541513593,1,0.49962962962963,0.491481481481481,0.49962962962963,0.991182953710507,0.966496722505462,1,0.111111111111111,0.502592592592593,0.66716049382716,0.339012345679012,0.50037037037037,1.34127575080665,0.674527143208057,"sKizzo",3600,"memout" "Qq2k3k3v50v50m900s9",1,50,50,100,900,5400,1,1,2,0,0,900,97,100,3,3,50,1.47444444444444,1.52555555555556,26.78,54,26.54,40.29,54,26.54,1452.76,0.504074074074074,0.250185185185185,0.49962962962963,0.495591476855254,0.254259259259259,0.966496722505462,0.98383541513593,1,0.49962962962963,0.491481481481481,0.49962962962963,0.991182953710507,0.966496722505462,1,0.111111111111111,0.502592592592593,0.66716049382716,0.339012345679012,0.50037037037037,1.34127575080665,0.674527143208057,"sSolve",226.63,"ok" "qshifter_3",1,8,11,19,128,640,1,1,2,0,0,128,16,16,1,4,8,2,2,16.8421052631579,46.5454545454545,23.2727272727273,20.2105263157895,46.5454545454545,23.2727272727273,128,0.5,0.1,0.5,0.2,0.4,1,1,0.475,0.2375,0.5,0.5,0.475,1,1,0.125,0.5,0.395833333333333,0.575757575757576,0.5,0.791666666666667,1.15151515151515,"X2clsQ",0.02,"ok" "qshifter_3",1,8,11,19,128,640,1,1,2,0,0,128,16,16,1,4,8,2,2,16.8421052631579,46.5454545454545,23.2727272727273,20.2105263157895,46.5454545454545,23.2727272727273,128,0.5,0.1,0.5,0.2,0.4,1,1,0.475,0.2375,0.5,0.5,0.475,1,1,0.125,0.5,0.395833333333333,0.575757575757576,0.5,0.791666666666667,1.15151515151515,"quantor",0,"ok" "qshifter_3",1,8,11,19,128,640,1,1,2,0,0,128,16,16,1,4,8,2,2,16.8421052631579,46.5454545454545,23.2727272727273,20.2105263157895,46.5454545454545,23.2727272727273,128,0.5,0.1,0.5,0.2,0.4,1,1,0.475,0.2375,0.5,0.5,0.475,1,1,0.125,0.5,0.395833333333333,0.575757575757576,0.5,0.791666666666667,1.15151515151515,"QuBE",0.12,"ok" "qshifter_3",1,8,11,19,128,640,1,1,2,0,0,128,16,16,1,4,8,2,2,16.8421052631579,46.5454545454545,23.2727272727273,20.2105263157895,46.5454545454545,23.2727272727273,128,0.5,0.1,0.5,0.2,0.4,1,1,0.475,0.2375,0.5,0.5,0.475,1,1,0.125,0.5,0.395833333333333,0.575757575757576,0.5,0.791666666666667,1.15151515151515,"sKizzo",0,"ok" "qshifter_3",1,8,11,19,128,640,1,1,2,0,0,128,16,16,1,4,8,2,2,16.8421052631579,46.5454545454545,23.2727272727273,20.2105263157895,46.5454545454545,23.2727272727273,128,0.5,0.1,0.5,0.2,0.4,1,1,0.475,0.2375,0.5,0.5,0.475,1,1,0.125,0.5,0.395833333333333,0.575757575757576,0.5,0.791666666666667,1.15151515151515,"sSolve",0.74,"ok" "qshifter_4",1,16,20,36,512,3072,1,1,2,0,0,512,32,32,1,5,16,2.5,2.5,42.6666666666667,128,64,49.7777777777778,128,64,512,0.5,0.0833333333333333,0.5,0.166666666666667,0.416666666666667,1,1,0.375,0.1875,0.5,0.5,0.375,1,1,0.0625,0.5,0.321428571428571,0.642857142857143,0.5,0.642857142857143,1.28571428571429,"X2clsQ",40.02,"ok" "qshifter_4",1,16,20,36,512,3072,1,1,2,0,0,512,32,32,1,5,16,2.5,2.5,42.6666666666667,128,64,49.7777777777778,128,64,512,0.5,0.0833333333333333,0.5,0.166666666666667,0.416666666666667,1,1,0.375,0.1875,0.5,0.5,0.375,1,1,0.0625,0.5,0.321428571428571,0.642857142857143,0.5,0.642857142857143,1.28571428571429,"quantor",0,"ok" "qshifter_4",1,16,20,36,512,3072,1,1,2,0,0,512,32,32,1,5,16,2.5,2.5,42.6666666666667,128,64,49.7777777777778,128,64,512,0.5,0.0833333333333333,0.5,0.166666666666667,0.416666666666667,1,1,0.375,0.1875,0.5,0.5,0.375,1,1,0.0625,0.5,0.321428571428571,0.642857142857143,0.5,0.642857142857143,1.28571428571429,"QuBE",3600,"timeout" "qshifter_4",1,16,20,36,512,3072,1,1,2,0,0,512,32,32,1,5,16,2.5,2.5,42.6666666666667,128,64,49.7777777777778,128,64,512,0.5,0.0833333333333333,0.5,0.166666666666667,0.416666666666667,1,1,0.375,0.1875,0.5,0.5,0.375,1,1,0.0625,0.5,0.321428571428571,0.642857142857143,0.5,0.642857142857143,1.28571428571429,"sKizzo",0,"ok" "qshifter_4",1,16,20,36,512,3072,1,1,2,0,0,512,32,32,1,5,16,2.5,2.5,42.6666666666667,128,64,49.7777777777778,128,64,512,0.5,0.0833333333333333,0.5,0.166666666666667,0.416666666666667,1,1,0.375,0.1875,0.5,0.5,0.375,1,1,0.0625,0.5,0.321428571428571,0.642857142857143,0.5,0.642857142857143,1.28571428571429,"sSolve",0.76,"ok" "qshifter_5",1,32,37,69,2048,14336,1,1,2,0,0,2048,64,64,1,6,32,3,3,103.884057971014,332.108108108108,166.054054054054,118.724637681159,332.108108108108,166.054054054054,2048,0.5,0.0714285714285714,0.5,0.142857142857143,0.428571428571429,1,1,0.308035714285714,0.154017857142857,0.5,0.5,0.308035714285714,1,1,0.03125,0.5,0.26953125,0.699324324324324,0.5,0.5390625,1.39864864864865,"X2clsQ",3600,"timeout" "qshifter_5",1,32,37,69,2048,14336,1,1,2,0,0,2048,64,64,1,6,32,3,3,103.884057971014,332.108108108108,166.054054054054,118.724637681159,332.108108108108,166.054054054054,2048,0.5,0.0714285714285714,0.5,0.142857142857143,0.428571428571429,1,1,0.308035714285714,0.154017857142857,0.5,0.5,0.308035714285714,1,1,0.03125,0.5,0.26953125,0.699324324324324,0.5,0.5390625,1.39864864864865,"quantor",0.01,"ok" "qshifter_5",1,32,37,69,2048,14336,1,1,2,0,0,2048,64,64,1,6,32,3,3,103.884057971014,332.108108108108,166.054054054054,118.724637681159,332.108108108108,166.054054054054,2048,0.5,0.0714285714285714,0.5,0.142857142857143,0.428571428571429,1,1,0.308035714285714,0.154017857142857,0.5,0.5,0.308035714285714,1,1,0.03125,0.5,0.26953125,0.699324324324324,0.5,0.5390625,1.39864864864865,"QuBE",3600,"timeout" "qshifter_5",1,32,37,69,2048,14336,1,1,2,0,0,2048,64,64,1,6,32,3,3,103.884057971014,332.108108108108,166.054054054054,118.724637681159,332.108108108108,166.054054054054,2048,0.5,0.0714285714285714,0.5,0.142857142857143,0.428571428571429,1,1,0.308035714285714,0.154017857142857,0.5,0.5,0.308035714285714,1,1,0.03125,0.5,0.26953125,0.699324324324324,0.5,0.5390625,1.39864864864865,"sKizzo",0.01,"ok" "qshifter_5",1,32,37,69,2048,14336,1,1,2,0,0,2048,64,64,1,6,32,3,3,103.884057971014,332.108108108108,166.054054054054,118.724637681159,332.108108108108,166.054054054054,2048,0.5,0.0714285714285714,0.5,0.142857142857143,0.428571428571429,1,1,0.308035714285714,0.154017857142857,0.5,0.5,0.308035714285714,1,1,0.03125,0.5,0.26953125,0.699324324324324,0.5,0.5390625,1.39864864864865,"sSolve",0.78,"ok" "qshifter_6",1,64,70,134,8192,65536,1,1,2,0,0,8192,128,128,1,7,64,3.5,3.5,244.537313432836,819.2,409.6,275.10447761194,819.2,409.6,8192,0.5,0.0625,0.5,0.125,0.4375,1,1,0.26171875,0.130859375,0.5,0.5,0.26171875,1,1,0.015625,0.5,0.232638888888889,0.744444444444444,0.5,0.465277777777778,1.48888888888889,"X2clsQ",3600,"timeout" "qshifter_6",1,64,70,134,8192,65536,1,1,2,0,0,8192,128,128,1,7,64,3.5,3.5,244.537313432836,819.2,409.6,275.10447761194,819.2,409.6,8192,0.5,0.0625,0.5,0.125,0.4375,1,1,0.26171875,0.130859375,0.5,0.5,0.26171875,1,1,0.015625,0.5,0.232638888888889,0.744444444444444,0.5,0.465277777777778,1.48888888888889,"quantor",0.1,"ok" "qshifter_6",1,64,70,134,8192,65536,1,1,2,0,0,8192,128,128,1,7,64,3.5,3.5,244.537313432836,819.2,409.6,275.10447761194,819.2,409.6,8192,0.5,0.0625,0.5,0.125,0.4375,1,1,0.26171875,0.130859375,0.5,0.5,0.26171875,1,1,0.015625,0.5,0.232638888888889,0.744444444444444,0.5,0.465277777777778,1.48888888888889,"QuBE",3600,"timeout" "qshifter_6",1,64,70,134,8192,65536,1,1,2,0,0,8192,128,128,1,7,64,3.5,3.5,244.537313432836,819.2,409.6,275.10447761194,819.2,409.6,8192,0.5,0.0625,0.5,0.125,0.4375,1,1,0.26171875,0.130859375,0.5,0.5,0.26171875,1,1,0.015625,0.5,0.232638888888889,0.744444444444444,0.5,0.465277777777778,1.48888888888889,"sKizzo",0.06,"ok" "qshifter_6",1,64,70,134,8192,65536,1,1,2,0,0,8192,128,128,1,7,64,3.5,3.5,244.537313432836,819.2,409.6,275.10447761194,819.2,409.6,8192,0.5,0.0625,0.5,0.125,0.4375,1,1,0.26171875,0.130859375,0.5,0.5,0.26171875,1,1,0.015625,0.5,0.232638888888889,0.744444444444444,0.5,0.465277777777778,1.48888888888889,"sSolve",0.83,"ok" "qshifter_7",1,128,135,263,32768,294912,1,1,2,0,0,32768,256,256,1,8,128,4,4,560.669201520913,1941.80740740741,970.903703703704,622.965779467681,1941.80740740741,970.903703703704,32768,0.5,0.0555555555555556,0.5,0.111111111111111,0.444444444444444,1,1,0.228298611111111,0.114149305555556,0.5,0.5,0.228298611111111,1,1,0.0078125,0.5,0.20546875,0.779259259259259,0.5,0.4109375,1.55851851851852,"X2clsQ",3600,"timeout" "qshifter_7",1,128,135,263,32768,294912,1,1,2,0,0,32768,256,256,1,8,128,4,4,560.669201520913,1941.80740740741,970.903703703704,622.965779467681,1941.80740740741,970.903703703704,32768,0.5,0.0555555555555556,0.5,0.111111111111111,0.444444444444444,1,1,0.228298611111111,0.114149305555556,0.5,0.5,0.228298611111111,1,1,0.0078125,0.5,0.20546875,0.779259259259259,0.5,0.4109375,1.55851851851852,"quantor",1.6,"ok" "qshifter_7",1,128,135,263,32768,294912,1,1,2,0,0,32768,256,256,1,8,128,4,4,560.669201520913,1941.80740740741,970.903703703704,622.965779467681,1941.80740740741,970.903703703704,32768,0.5,0.0555555555555556,0.5,0.111111111111111,0.444444444444444,1,1,0.228298611111111,0.114149305555556,0.5,0.5,0.228298611111111,1,1,0.0078125,0.5,0.20546875,0.779259259259259,0.5,0.4109375,1.55851851851852,"QuBE",3600,"timeout" "qshifter_7",1,128,135,263,32768,294912,1,1,2,0,0,32768,256,256,1,8,128,4,4,560.669201520913,1941.80740740741,970.903703703704,622.965779467681,1941.80740740741,970.903703703704,32768,0.5,0.0555555555555556,0.5,0.111111111111111,0.444444444444444,1,1,0.228298611111111,0.114149305555556,0.5,0.5,0.228298611111111,1,1,0.0078125,0.5,0.20546875,0.779259259259259,0.5,0.4109375,1.55851851851852,"sKizzo",0.44,"ok" "qshifter_7",1,128,135,263,32768,294912,1,1,2,0,0,32768,256,256,1,8,128,4,4,560.669201520913,1941.80740740741,970.903703703704,622.965779467681,1941.80740740741,970.903703703704,32768,0.5,0.0555555555555556,0.5,0.111111111111111,0.444444444444444,1,1,0.228298611111111,0.114149305555556,0.5,0.5,0.228298611111111,1,1,0.0078125,0.5,0.20546875,0.779259259259259,0.5,0.4109375,1.55851851851852,"sSolve",1.4,"ok" "qshifter_8",1,256,264,520,131072,1310720,1,1,2,0,0,131072,512,512,1,9,256,4.5,4.5,1260.30769230769,4468.36363636364,2234.18181818182,1386.33846153846,4468.36363636364,2234.18181818182,131072,0.5,0.05,0.5,0.1,0.45,1,1,0.203125,0.1015625,0.5,0.5,0.203125,1,1,0.00390625,0.5,0.184659090909091,0.805785123966942,0.5,0.369318181818182,1.61157024793388,"X2clsQ",3600,"timeout" "qshifter_8",1,256,264,520,131072,1310720,1,1,2,0,0,131072,512,512,1,9,256,4.5,4.5,1260.30769230769,4468.36363636364,2234.18181818182,1386.33846153846,4468.36363636364,2234.18181818182,131072,0.5,0.05,0.5,0.1,0.45,1,1,0.203125,0.1015625,0.5,0.5,0.203125,1,1,0.00390625,0.5,0.184659090909091,0.805785123966942,0.5,0.369318181818182,1.61157024793388,"quantor",31.83,"ok" "qshifter_8",1,256,264,520,131072,1310720,1,1,2,0,0,131072,512,512,1,9,256,4.5,4.5,1260.30769230769,4468.36363636364,2234.18181818182,1386.33846153846,4468.36363636364,2234.18181818182,131072,0.5,0.05,0.5,0.1,0.45,1,1,0.203125,0.1015625,0.5,0.5,0.203125,1,1,0.00390625,0.5,0.184659090909091,0.805785123966942,0.5,0.369318181818182,1.61157024793388,"QuBE",3600,"timeout" "qshifter_8",1,256,264,520,131072,1310720,1,1,2,0,0,131072,512,512,1,9,256,4.5,4.5,1260.30769230769,4468.36363636364,2234.18181818182,1386.33846153846,4468.36363636364,2234.18181818182,131072,0.5,0.05,0.5,0.1,0.45,1,1,0.203125,0.1015625,0.5,0.5,0.203125,1,1,0.00390625,0.5,0.184659090909091,0.805785123966942,0.5,0.369318181818182,1.61157024793388,"sKizzo",3.76,"ok" "qshifter_8",1,256,264,520,131072,1310720,1,1,2,0,0,131072,512,512,1,9,256,4.5,4.5,1260.30769230769,4468.36363636364,2234.18181818182,1386.33846153846,4468.36363636364,2234.18181818182,131072,0.5,0.05,0.5,0.1,0.45,1,1,0.203125,0.1015625,0.5,0.5,0.203125,1,1,0.00390625,0.5,0.184659090909091,0.805785123966942,0.5,0.369318181818182,1.61157024793388,"sSolve",6.38,"ok" "rankfunc1_signed_32",1,2585,320,2905,7566,20618,1,1,2,8,3050,4508,4394,5123,2.38673010837959,0.338355802273328,2585,0.169177901136664,0.169177901136664,3.39483648881239,8,4,6.34905335628227,8,4,28.6019342359768,0.521680085362305,0.416238238432438,0.524753571824122,0.880996653030866,0.0620816762052575,1,0.916883599851246,0.984257431817197,0.516492602940511,0.5,0.524753571824122,0.990056200021148,1,0.595823420565689,0.677108115252445,0.523115110145827,0.498726612978565,0.300444720239942,0.475246428175878,1.04580082864666,0.574337682878608,"X2clsQ",3600,"memout" "rankfunc1_signed_32",1,2585,320,2905,7566,20618,1,1,2,8,3050,4508,4394,5123,2.38673010837959,0.338355802273328,2585,0.169177901136664,0.169177901136664,3.39483648881239,8,4,6.34905335628227,8,4,28.6019342359768,0.521680085362305,0.416238238432438,0.524753571824122,0.880996653030866,0.0620816762052575,1,0.916883599851246,0.984257431817197,0.516492602940511,0.5,0.524753571824122,0.990056200021148,1,0.595823420565689,0.677108115252445,0.523115110145827,0.498726612978565,0.300444720239942,0.475246428175878,1.04580082864666,0.574337682878608,"quantor",3600,"memout" "rankfunc1_signed_32",1,2585,320,2905,7566,20618,1,1,2,8,3050,4508,4394,5123,2.38673010837959,0.338355802273328,2585,0.169177901136664,0.169177901136664,3.39483648881239,8,4,6.34905335628227,8,4,28.6019342359768,0.521680085362305,0.416238238432438,0.524753571824122,0.880996653030866,0.0620816762052575,1,0.916883599851246,0.984257431817197,0.516492602940511,0.5,0.524753571824122,0.990056200021148,1,0.595823420565689,0.677108115252445,0.523115110145827,0.498726612978565,0.300444720239942,0.475246428175878,1.04580082864666,0.574337682878608,"QuBE",3600,"timeout" "rankfunc1_signed_32",1,2585,320,2905,7566,20618,1,1,2,8,3050,4508,4394,5123,2.38673010837959,0.338355802273328,2585,0.169177901136664,0.169177901136664,3.39483648881239,8,4,6.34905335628227,8,4,28.6019342359768,0.521680085362305,0.416238238432438,0.524753571824122,0.880996653030866,0.0620816762052575,1,0.916883599851246,0.984257431817197,0.516492602940511,0.5,0.524753571824122,0.990056200021148,1,0.595823420565689,0.677108115252445,0.523115110145827,0.498726612978565,0.300444720239942,0.475246428175878,1.04580082864666,0.574337682878608,"sKizzo",0.04,"ok" "rankfunc1_signed_32",1,2585,320,2905,7566,20618,1,1,2,8,3050,4508,4394,5123,2.38673010837959,0.338355802273328,2585,0.169177901136664,0.169177901136664,3.39483648881239,8,4,6.34905335628227,8,4,28.6019342359768,0.521680085362305,0.416238238432438,0.524753571824122,0.880996653030866,0.0620816762052575,1,0.916883599851246,0.984257431817197,0.516492602940511,0.5,0.524753571824122,0.990056200021148,1,0.595823420565689,0.677108115252445,0.523115110145827,0.498726612978565,0.300444720239942,0.475246428175878,1.04580082864666,0.574337682878608,"sSolve",3600,"timeout" "rankfunc10_unsigned_64",1,2000,256,2256,5649,15130,1,1,2,5,2625,3019,3332,3786,2.3611258629846,0.317224287484511,2000,0.158612143742255,0.158612143742255,3.12810283687943,7,3.5,5.85904255319149,7,3.5,22.518,0.533575677461996,0.407204230006609,0.538086669665617,0.889012758577976,0.0592200925313946,1,0.874148395887526,0.994399471249174,0.535073099801718,0.5,0.538086669665617,1.00280639167596,1,0.534430872720836,0.670207116303771,0.535689194885485,0.488239988759309,0.27736405788956,0.461913330334383,1.05153699500681,0.517770491803279,"X2clsQ",3600,"memout" "rankfunc10_unsigned_64",1,2000,256,2256,5649,15130,1,1,2,5,2625,3019,3332,3786,2.3611258629846,0.317224287484511,2000,0.158612143742255,0.158612143742255,3.12810283687943,7,3.5,5.85904255319149,7,3.5,22.518,0.533575677461996,0.407204230006609,0.538086669665617,0.889012758577976,0.0592200925313946,1,0.874148395887526,0.994399471249174,0.535073099801718,0.5,0.538086669665617,1.00280639167596,1,0.534430872720836,0.670207116303771,0.535689194885485,0.488239988759309,0.27736405788956,0.461913330334383,1.05153699500681,0.517770491803279,"quantor",3600,"memout" "rankfunc10_unsigned_64",1,2000,256,2256,5649,15130,1,1,2,5,2625,3019,3332,3786,2.3611258629846,0.317224287484511,2000,0.158612143742255,0.158612143742255,3.12810283687943,7,3.5,5.85904255319149,7,3.5,22.518,0.533575677461996,0.407204230006609,0.538086669665617,0.889012758577976,0.0592200925313946,1,0.874148395887526,0.994399471249174,0.535073099801718,0.5,0.538086669665617,1.00280639167596,1,0.534430872720836,0.670207116303771,0.535689194885485,0.488239988759309,0.27736405788956,0.461913330334383,1.05153699500681,0.517770491803279,"QuBE",3600,"timeout" "rankfunc10_unsigned_64",1,2000,256,2256,5649,15130,1,1,2,5,2625,3019,3332,3786,2.3611258629846,0.317224287484511,2000,0.158612143742255,0.158612143742255,3.12810283687943,7,3.5,5.85904255319149,7,3.5,22.518,0.533575677461996,0.407204230006609,0.538086669665617,0.889012758577976,0.0592200925313946,1,0.874148395887526,0.994399471249174,0.535073099801718,0.5,0.538086669665617,1.00280639167596,1,0.534430872720836,0.670207116303771,0.535689194885485,0.488239988759309,0.27736405788956,0.461913330334383,1.05153699500681,0.517770491803279,"sKizzo",3600,"memout" "rankfunc10_unsigned_64",1,2000,256,2256,5649,15130,1,1,2,5,2625,3019,3332,3786,2.3611258629846,0.317224287484511,2000,0.158612143742255,0.158612143742255,3.12810283687943,7,3.5,5.85904255319149,7,3.5,22.518,0.533575677461996,0.407204230006609,0.538086669665617,0.889012758577976,0.0592200925313946,1,0.874148395887526,0.994399471249174,0.535073099801718,0.5,0.538086669665617,1.00280639167596,1,0.534430872720836,0.670207116303771,0.535689194885485,0.488239988759309,0.27736405788956,0.461913330334383,1.05153699500681,0.517770491803279,"sSolve",3600,"timeout" "rankfunc11_signed_32",1,1653,192,1845,4756,12970,1,1,2,6,1962,2788,2716,3228,2.40412111017662,0.32296047098402,1653,0.16148023549201,0.16148023549201,3.33875338753388,8,4,6.26124661246612,8,4,24.4888082274652,0.525057825751735,0.415728604471858,0.528423998600665,0.887224669603524,0.0592135697764071,1,0.904552129221733,0.983969708121632,0.519953207667562,0.5,0.528423998600665,0.990277988758925,1,0.586206896551724,0.678721614802355,0.52663497787248,0.49322193172343,0.302409441075234,0.471576001399335,1.04194840908748,0.574229691876751,"X2clsQ",3600,"memout" "rankfunc11_signed_32",1,1653,192,1845,4756,12970,1,1,2,6,1962,2788,2716,3228,2.40412111017662,0.32296047098402,1653,0.16148023549201,0.16148023549201,3.33875338753388,8,4,6.26124661246612,8,4,24.4888082274652,0.525057825751735,0.415728604471858,0.528423998600665,0.887224669603524,0.0592135697764071,1,0.904552129221733,0.983969708121632,0.519953207667562,0.5,0.528423998600665,0.990277988758925,1,0.586206896551724,0.678721614802355,0.52663497787248,0.49322193172343,0.302409441075234,0.471576001399335,1.04194840908748,0.574229691876751,"quantor",3600,"memout" "rankfunc11_signed_32",1,1653,192,1845,4756,12970,1,1,2,6,1962,2788,2716,3228,2.40412111017662,0.32296047098402,1653,0.16148023549201,0.16148023549201,3.33875338753388,8,4,6.26124661246612,8,4,24.4888082274652,0.525057825751735,0.415728604471858,0.528423998600665,0.887224669603524,0.0592135697764071,1,0.904552129221733,0.983969708121632,0.519953207667562,0.5,0.528423998600665,0.990277988758925,1,0.586206896551724,0.678721614802355,0.52663497787248,0.49322193172343,0.302409441075234,0.471576001399335,1.04194840908748,0.574229691876751,"QuBE",3600,"timeout" "rankfunc11_signed_32",1,1653,192,1845,4756,12970,1,1,2,6,1962,2788,2716,3228,2.40412111017662,0.32296047098402,1653,0.16148023549201,0.16148023549201,3.33875338753388,8,4,6.26124661246612,8,4,24.4888082274652,0.525057825751735,0.415728604471858,0.528423998600665,0.887224669603524,0.0592135697764071,1,0.904552129221733,0.983969708121632,0.519953207667562,0.5,0.528423998600665,0.990277988758925,1,0.586206896551724,0.678721614802355,0.52663497787248,0.49322193172343,0.302409441075234,0.471576001399335,1.04194840908748,0.574229691876751,"sKizzo",0.02,"ok" "rankfunc11_signed_32",1,1653,192,1845,4756,12970,1,1,2,6,1962,2788,2716,3228,2.40412111017662,0.32296047098402,1653,0.16148023549201,0.16148023549201,3.33875338753388,8,4,6.26124661246612,8,4,24.4888082274652,0.525057825751735,0.415728604471858,0.528423998600665,0.887224669603524,0.0592135697764071,1,0.904552129221733,0.983969708121632,0.519953207667562,0.5,0.528423998600665,0.990277988758925,1,0.586206896551724,0.678721614802355,0.52663497787248,0.49322193172343,0.302409441075234,0.471576001399335,1.04194840908748,0.574229691876751,"sSolve",3600,"timeout" "rankfunc12_unsigned_16",1,676,64,740,1890,5155,1,1,2,5,762,1123,1079,1298,2.45661375661376,0.270899470899471,676,0.135449735449735,0.135449735449735,3.33243243243243,8,4,6.31891891891892,8,4,26.0147928994083,0.521629485935984,0.428709990300679,0.524014645703209,0.904797322424693,0.0496605237633366,1,0.917069542580885,0.985950332589145,0.516652414212662,0.5,0.524014645703209,0.990458607387978,1,0.594179894179894,0.686772486772487,0.52275974688712,0.493821345015466,0.302102469891815,0.475985354296791,1.03474369941436,0.577899258102304,"X2clsQ",3600,"memout" "rankfunc12_unsigned_16",1,676,64,740,1890,5155,1,1,2,5,762,1123,1079,1298,2.45661375661376,0.270899470899471,676,0.135449735449735,0.135449735449735,3.33243243243243,8,4,6.31891891891892,8,4,26.0147928994083,0.521629485935984,0.428709990300679,0.524014645703209,0.904797322424693,0.0496605237633366,1,0.917069542580885,0.985950332589145,0.516652414212662,0.5,0.524014645703209,0.990458607387978,1,0.594179894179894,0.686772486772487,0.52275974688712,0.493821345015466,0.302102469891815,0.475985354296791,1.03474369941436,0.577899258102304,"quantor",3600,"memout" "rankfunc12_unsigned_16",1,676,64,740,1890,5155,1,1,2,5,762,1123,1079,1298,2.45661375661376,0.270899470899471,676,0.135449735449735,0.135449735449735,3.33243243243243,8,4,6.31891891891892,8,4,26.0147928994083,0.521629485935984,0.428709990300679,0.524014645703209,0.904797322424693,0.0496605237633366,1,0.917069542580885,0.985950332589145,0.516652414212662,0.5,0.524014645703209,0.990458607387978,1,0.594179894179894,0.686772486772487,0.52275974688712,0.493821345015466,0.302102469891815,0.475985354296791,1.03474369941436,0.577899258102304,"QuBE",3600,"timeout" "rankfunc12_unsigned_16",1,676,64,740,1890,5155,1,1,2,5,762,1123,1079,1298,2.45661375661376,0.270899470899471,676,0.135449735449735,0.135449735449735,3.33243243243243,8,4,6.31891891891892,8,4,26.0147928994083,0.521629485935984,0.428709990300679,0.524014645703209,0.904797322424693,0.0496605237633366,1,0.917069542580885,0.985950332589145,0.516652414212662,0.5,0.524014645703209,0.990458607387978,1,0.594179894179894,0.686772486772487,0.52275974688712,0.493821345015466,0.302102469891815,0.475985354296791,1.03474369941436,0.577899258102304,"sKizzo",0.01,"ok" "rankfunc12_unsigned_16",1,676,64,740,1890,5155,1,1,2,5,762,1123,1079,1298,2.45661375661376,0.270899470899471,676,0.135449735449735,0.135449735449735,3.33243243243243,8,4,6.31891891891892,8,4,26.0147928994083,0.521629485935984,0.428709990300679,0.524014645703209,0.904797322424693,0.0496605237633366,1,0.917069542580885,0.985950332589145,0.516652414212662,0.5,0.524014645703209,0.990458607387978,1,0.594179894179894,0.686772486772487,0.52275974688712,0.493821345015466,0.302102469891815,0.475985354296791,1.03474369941436,0.577899258102304,"sSolve",3600,"timeout" "rankfunc14_signed_64",1,2966,256,3222,8158,22141,1,1,2,6,3392,4760,4687,5453,2.46298112282422,0.251041922039716,2966,0.125520961019858,0.125520961019858,3.24705152079454,8,4,6.17628801986344,8,4,26.0795684423466,0.527482950182919,0.426268009574997,0.530284178569651,0.912321260381882,0.0462490402420848,1,0.895795872934327,0.985829799285234,0.522769945323455,0.5,0.530284178569651,0.991065104838309,1,0.583476342240745,0.668423633243442,0.52881564616186,0.485514430391048,0.305156982525927,0.469715821430349,1.03041288709224,0.577057401271604,"X2clsQ",3600,"memout" "rankfunc14_signed_64",1,2966,256,3222,8158,22141,1,1,2,6,3392,4760,4687,5453,2.46298112282422,0.251041922039716,2966,0.125520961019858,0.125520961019858,3.24705152079454,8,4,6.17628801986344,8,4,26.0795684423466,0.527482950182919,0.426268009574997,0.530284178569651,0.912321260381882,0.0462490402420848,1,0.895795872934327,0.985829799285234,0.522769945323455,0.5,0.530284178569651,0.991065104838309,1,0.583476342240745,0.668423633243442,0.52881564616186,0.485514430391048,0.305156982525927,0.469715821430349,1.03041288709224,0.577057401271604,"quantor",3600,"memout" "rankfunc14_signed_64",1,2966,256,3222,8158,22141,1,1,2,6,3392,4760,4687,5453,2.46298112282422,0.251041922039716,2966,0.125520961019858,0.125520961019858,3.24705152079454,8,4,6.17628801986344,8,4,26.0795684423466,0.527482950182919,0.426268009574997,0.530284178569651,0.912321260381882,0.0462490402420848,1,0.895795872934327,0.985829799285234,0.522769945323455,0.5,0.530284178569651,0.991065104838309,1,0.583476342240745,0.668423633243442,0.52881564616186,0.485514430391048,0.305156982525927,0.469715821430349,1.03041288709224,0.577057401271604,"QuBE",3600,"timeout" "rankfunc14_signed_64",1,2966,256,3222,8158,22141,1,1,2,6,3392,4760,4687,5453,2.46298112282422,0.251041922039716,2966,0.125520961019858,0.125520961019858,3.24705152079454,8,4,6.17628801986344,8,4,26.0795684423466,0.527482950182919,0.426268009574997,0.530284178569651,0.912321260381882,0.0462490402420848,1,0.895795872934327,0.985829799285234,0.522769945323455,0.5,0.530284178569651,0.991065104838309,1,0.583476342240745,0.668423633243442,0.52881564616186,0.485514430391048,0.305156982525927,0.469715821430349,1.03041288709224,0.577057401271604,"sKizzo",0.05,"ok" "rankfunc14_signed_64",1,2966,256,3222,8158,22141,1,1,2,6,3392,4760,4687,5453,2.46298112282422,0.251041922039716,2966,0.125520961019858,0.125520961019858,3.24705152079454,8,4,6.17628801986344,8,4,26.0795684423466,0.527482950182919,0.426268009574997,0.530284178569651,0.912321260381882,0.0462490402420848,1,0.895795872934327,0.985829799285234,0.522769945323455,0.5,0.530284178569651,0.991065104838309,1,0.583476342240745,0.668423633243442,0.52881564616186,0.485514430391048,0.305156982525927,0.469715821430349,1.03041288709224,0.577057401271604,"sSolve",3600,"timeout" "rankfunc15_unsigned_32",1,3672,448,4120,10672,29236,1,1,2,17,4420,6235,6189,7095,2.41566716641679,0.32383808095952,3672,0.16191904047976,0.16191904047976,3.39223300970874,7.71428571428571,3.85714285714286,6.36504854368932,7.71428571428571,3.85714285714286,27.9046840958606,0.521959228348611,0.418935558899986,0.524903025601241,0.886762778505898,0.0591052127514024,1,0.915858453473132,0.989371744806221,0.519324222293165,0.5,0.524903025601241,0.99495170137372,1,0.584239130434783,0.66482383808096,0.523338665115603,0.49957500974959,0.288851035542907,0.475096974398759,1.04807118427332,0.551939030683126,"X2clsQ",3600,"memout" "rankfunc15_unsigned_32",1,3672,448,4120,10672,29236,1,1,2,17,4420,6235,6189,7095,2.41566716641679,0.32383808095952,3672,0.16191904047976,0.16191904047976,3.39223300970874,7.71428571428571,3.85714285714286,6.36504854368932,7.71428571428571,3.85714285714286,27.9046840958606,0.521959228348611,0.418935558899986,0.524903025601241,0.886762778505898,0.0591052127514024,1,0.915858453473132,0.989371744806221,0.519324222293165,0.5,0.524903025601241,0.99495170137372,1,0.584239130434783,0.66482383808096,0.523338665115603,0.49957500974959,0.288851035542907,0.475096974398759,1.04807118427332,0.551939030683126,"quantor",3600,"memout" "rankfunc15_unsigned_32",1,3672,448,4120,10672,29236,1,1,2,17,4420,6235,6189,7095,2.41566716641679,0.32383808095952,3672,0.16191904047976,0.16191904047976,3.39223300970874,7.71428571428571,3.85714285714286,6.36504854368932,7.71428571428571,3.85714285714286,27.9046840958606,0.521959228348611,0.418935558899986,0.524903025601241,0.886762778505898,0.0591052127514024,1,0.915858453473132,0.989371744806221,0.519324222293165,0.5,0.524903025601241,0.99495170137372,1,0.584239130434783,0.66482383808096,0.523338665115603,0.49957500974959,0.288851035542907,0.475096974398759,1.04807118427332,0.551939030683126,"QuBE",3600,"memout" "rankfunc15_unsigned_32",1,3672,448,4120,10672,29236,1,1,2,17,4420,6235,6189,7095,2.41566716641679,0.32383808095952,3672,0.16191904047976,0.16191904047976,3.39223300970874,7.71428571428571,3.85714285714286,6.36504854368932,7.71428571428571,3.85714285714286,27.9046840958606,0.521959228348611,0.418935558899986,0.524903025601241,0.886762778505898,0.0591052127514024,1,0.915858453473132,0.989371744806221,0.519324222293165,0.5,0.524903025601241,0.99495170137372,1,0.584239130434783,0.66482383808096,0.523338665115603,0.49957500974959,0.288851035542907,0.475096974398759,1.04807118427332,0.551939030683126,"sKizzo",0.05,"ok" "rankfunc15_unsigned_32",1,3672,448,4120,10672,29236,1,1,2,17,4420,6235,6189,7095,2.41566716641679,0.32383808095952,3672,0.16191904047976,0.16191904047976,3.39223300970874,7.71428571428571,3.85714285714286,6.36504854368932,7.71428571428571,3.85714285714286,27.9046840958606,0.521959228348611,0.418935558899986,0.524903025601241,0.886762778505898,0.0591052127514024,1,0.915858453473132,0.989371744806221,0.519324222293165,0.5,0.524903025601241,0.99495170137372,1,0.584239130434783,0.66482383808096,0.523338665115603,0.49957500974959,0.288851035542907,0.475096974398759,1.04807118427332,0.551939030683126,"sSolve",3600,"timeout" "rankfunc16_signed_64",1,8567,896,9463,24002,65276,1,1,2,16,9916,14070,13789,16026,2.42096491959003,0.298641779851679,8567,0.14932088992584,0.14932088992584,3.26651167705802,8,4,6.1542851104301,8,4,25.5690440060698,0.526456890740854,0.418637784177952,0.529720520410271,0.895707842281391,0.0549053250811937,1,0.89949076094864,0.983291912864869,0.520869903797988,0.5,0.529720520410271,0.989387569920486,1,0.586201149904175,0.667694358803433,0.52799390520651,0.489286440119459,0.30678207871361,0.470279479589729,1.03661042837493,0.581033371197004,"X2clsQ",3600,"memout" "rankfunc16_signed_64",1,8567,896,9463,24002,65276,1,1,2,16,9916,14070,13789,16026,2.42096491959003,0.298641779851679,8567,0.14932088992584,0.14932088992584,3.26651167705802,8,4,6.1542851104301,8,4,25.5690440060698,0.526456890740854,0.418637784177952,0.529720520410271,0.895707842281391,0.0549053250811937,1,0.89949076094864,0.983291912864869,0.520869903797988,0.5,0.529720520410271,0.989387569920486,1,0.586201149904175,0.667694358803433,0.52799390520651,0.489286440119459,0.30678207871361,0.470279479589729,1.03661042837493,0.581033371197004,"quantor",3600,"memout" "rankfunc16_signed_64",1,8567,896,9463,24002,65276,1,1,2,16,9916,14070,13789,16026,2.42096491959003,0.298641779851679,8567,0.14932088992584,0.14932088992584,3.26651167705802,8,4,6.1542851104301,8,4,25.5690440060698,0.526456890740854,0.418637784177952,0.529720520410271,0.895707842281391,0.0549053250811937,1,0.89949076094864,0.983291912864869,0.520869903797988,0.5,0.529720520410271,0.989387569920486,1,0.586201149904175,0.667694358803433,0.52799390520651,0.489286440119459,0.30678207871361,0.470279479589729,1.03661042837493,0.581033371197004,"QuBE",3600,"memout" "rankfunc16_signed_64",1,8567,896,9463,24002,65276,1,1,2,16,9916,14070,13789,16026,2.42096491959003,0.298641779851679,8567,0.14932088992584,0.14932088992584,3.26651167705802,8,4,6.1542851104301,8,4,25.5690440060698,0.526456890740854,0.418637784177952,0.529720520410271,0.895707842281391,0.0549053250811937,1,0.89949076094864,0.983291912864869,0.520869903797988,0.5,0.529720520410271,0.989387569920486,1,0.586201149904175,0.667694358803433,0.52799390520651,0.489286440119459,0.30678207871361,0.470279479589729,1.03661042837493,0.581033371197004,"sKizzo",0.16,"ok" "rankfunc16_signed_64",1,8567,896,9463,24002,65276,1,1,2,16,9916,14070,13789,16026,2.42096491959003,0.298641779851679,8567,0.14932088992584,0.14932088992584,3.26651167705802,8,4,6.1542851104301,8,4,25.5690440060698,0.526456890740854,0.418637784177952,0.529720520410271,0.895707842281391,0.0549053250811937,1,0.89949076094864,0.983291912864869,0.520869903797988,0.5,0.529720520410271,0.989387569920486,1,0.586201149904175,0.667694358803433,0.52799390520651,0.489286440119459,0.30678207871361,0.470279479589729,1.03661042837493,0.581033371197004,"sSolve",3600,"timeout" "rankfunc17_unsigned_16",1,1420,192,1612,4113,11074,1,1,2,9,1803,2301,2398,2767,2.31898857281789,0.37345003646973,1420,0.186725018234865,0.186725018234865,3.24379652605459,8,4,6.01116625310174,8,4,24.0957746478873,0.527812895069532,0.402835470471374,0.532291885091214,0.868605645851155,0.0693516344590934,1,0.894610778443114,0.977753753875974,0.520450388805653,0.5,0.532291885091214,0.9860509162761,1,0.559445660102115,0.672744955020666,0.529885503590142,0.491381473393046,0.312827479138366,0.467708114908786,1.04523786682946,0.590368064457059,"X2clsQ",3600,"memout" "rankfunc17_unsigned_16",1,1420,192,1612,4113,11074,1,1,2,9,1803,2301,2398,2767,2.31898857281789,0.37345003646973,1420,0.186725018234865,0.186725018234865,3.24379652605459,8,4,6.01116625310174,8,4,24.0957746478873,0.527812895069532,0.402835470471374,0.532291885091214,0.868605645851155,0.0693516344590934,1,0.894610778443114,0.977753753875974,0.520450388805653,0.5,0.532291885091214,0.9860509162761,1,0.559445660102115,0.672744955020666,0.529885503590142,0.491381473393046,0.312827479138366,0.467708114908786,1.04523786682946,0.590368064457059,"quantor",3600,"memout" "rankfunc17_unsigned_16",1,1420,192,1612,4113,11074,1,1,2,9,1803,2301,2398,2767,2.31898857281789,0.37345003646973,1420,0.186725018234865,0.186725018234865,3.24379652605459,8,4,6.01116625310174,8,4,24.0957746478873,0.527812895069532,0.402835470471374,0.532291885091214,0.868605645851155,0.0693516344590934,1,0.894610778443114,0.977753753875974,0.520450388805653,0.5,0.532291885091214,0.9860509162761,1,0.559445660102115,0.672744955020666,0.529885503590142,0.491381473393046,0.312827479138366,0.467708114908786,1.04523786682946,0.590368064457059,"QuBE",3600,"timeout" "rankfunc17_unsigned_16",1,1420,192,1612,4113,11074,1,1,2,9,1803,2301,2398,2767,2.31898857281789,0.37345003646973,1420,0.186725018234865,0.186725018234865,3.24379652605459,8,4,6.01116625310174,8,4,24.0957746478873,0.527812895069532,0.402835470471374,0.532291885091214,0.868605645851155,0.0693516344590934,1,0.894610778443114,0.977753753875974,0.520450388805653,0.5,0.532291885091214,0.9860509162761,1,0.559445660102115,0.672744955020666,0.529885503590142,0.491381473393046,0.312827479138366,0.467708114908786,1.04523786682946,0.590368064457059,"sKizzo",0.01,"ok" "rankfunc17_unsigned_16",1,1420,192,1612,4113,11074,1,1,2,9,1803,2301,2398,2767,2.31898857281789,0.37345003646973,1420,0.186725018234865,0.186725018234865,3.24379652605459,8,4,6.01116625310174,8,4,24.0957746478873,0.527812895069532,0.402835470471374,0.532291885091214,0.868605645851155,0.0693516344590934,1,0.894610778443114,0.977753753875974,0.520450388805653,0.5,0.532291885091214,0.9860509162761,1,0.559445660102115,0.672744955020666,0.529885503590142,0.491381473393046,0.312827479138366,0.467708114908786,1.04523786682946,0.590368064457059,"sSolve",3600,"timeout" "rankfunc18_signed_64",1,7467,1152,8619,22183,60843,1,1,2,11,8958,13214,12546,14679,2.32732272460893,0.415453275030429,7467,0.207726637515214,0.207726637515214,3.34528367560042,8,4,6.15593456317438,8,4,25.1937859916968,0.526108180070016,0.39815590947192,0.530768783775931,0.856044985941893,0.0757359104580642,1,0.900749765698219,0.97943811109199,0.519855175008091,0.5,0.530768783775931,0.988114602093636,1,0.59568137763152,0.661722940990849,0.52824753267538,0.497242012628304,0.306535076020272,0.469231216224069,1.05403160994205,0.580286810745304,"X2clsQ",3600,"memout" "rankfunc18_signed_64",1,7467,1152,8619,22183,60843,1,1,2,11,8958,13214,12546,14679,2.32732272460893,0.415453275030429,7467,0.207726637515214,0.207726637515214,3.34528367560042,8,4,6.15593456317438,8,4,25.1937859916968,0.526108180070016,0.39815590947192,0.530768783775931,0.856044985941893,0.0757359104580642,1,0.900749765698219,0.97943811109199,0.519855175008091,0.5,0.530768783775931,0.988114602093636,1,0.59568137763152,0.661722940990849,0.52824753267538,0.497242012628304,0.306535076020272,0.469231216224069,1.05403160994205,0.580286810745304,"quantor",3600,"memout" "rankfunc18_signed_64",1,7467,1152,8619,22183,60843,1,1,2,11,8958,13214,12546,14679,2.32732272460893,0.415453275030429,7467,0.207726637515214,0.207726637515214,3.34528367560042,8,4,6.15593456317438,8,4,25.1937859916968,0.526108180070016,0.39815590947192,0.530768783775931,0.856044985941893,0.0757359104580642,1,0.900749765698219,0.97943811109199,0.519855175008091,0.5,0.530768783775931,0.988114602093636,1,0.59568137763152,0.661722940990849,0.52824753267538,0.497242012628304,0.306535076020272,0.469231216224069,1.05403160994205,0.580286810745304,"QuBE",3600,"memout" "rankfunc18_signed_64",1,7467,1152,8619,22183,60843,1,1,2,11,8958,13214,12546,14679,2.32732272460893,0.415453275030429,7467,0.207726637515214,0.207726637515214,3.34528367560042,8,4,6.15593456317438,8,4,25.1937859916968,0.526108180070016,0.39815590947192,0.530768783775931,0.856044985941893,0.0757359104580642,1,0.900749765698219,0.97943811109199,0.519855175008091,0.5,0.530768783775931,0.988114602093636,1,0.59568137763152,0.661722940990849,0.52824753267538,0.497242012628304,0.306535076020272,0.469231216224069,1.05403160994205,0.580286810745304,"sKizzo",2.52,"ok" "rankfunc18_signed_64",1,7467,1152,8619,22183,60843,1,1,2,11,8958,13214,12546,14679,2.32732272460893,0.415453275030429,7467,0.207726637515214,0.207726637515214,3.34528367560042,8,4,6.15593456317438,8,4,25.1937859916968,0.526108180070016,0.39815590947192,0.530768783775931,0.856044985941893,0.0757359104580642,1,0.900749765698219,0.97943811109199,0.519855175008091,0.5,0.530768783775931,0.988114602093636,1,0.59568137763152,0.661722940990849,0.52824753267538,0.497242012628304,0.306535076020272,0.469231216224069,1.05403160994205,0.580286810745304,"sSolve",3600,"timeout" "rankfunc19_unsigned_16",1,2050,224,2274,6048,16302,1,1,2,10,2632,3406,3850,3951,2.39914021164021,0.296296296296296,2050,0.148148148148148,0.148148148148148,3.44459102902375,8,4,6.49516270888303,8,4,28.7834146341463,0.519506808980493,0.425530609741136,0.521915920055134,0.894202385169442,0.0549625812783707,1,0.924902585901523,0.987331795290717,0.515304182338842,0.5,0.521915920055134,0.991910353109907,1,0.563161375661376,0.65327380952381,0.520641308581072,0.499480341837042,0.295209658574581,0.478084079944866,1.04197618772396,0.567011594564269,"X2clsQ",3600,"memout" "rankfunc19_unsigned_16",1,2050,224,2274,6048,16302,1,1,2,10,2632,3406,3850,3951,2.39914021164021,0.296296296296296,2050,0.148148148148148,0.148148148148148,3.44459102902375,8,4,6.49516270888303,8,4,28.7834146341463,0.519506808980493,0.425530609741136,0.521915920055134,0.894202385169442,0.0549625812783707,1,0.924902585901523,0.987331795290717,0.515304182338842,0.5,0.521915920055134,0.991910353109907,1,0.563161375661376,0.65327380952381,0.520641308581072,0.499480341837042,0.295209658574581,0.478084079944866,1.04197618772396,0.567011594564269,"quantor",3600,"memout" "rankfunc19_unsigned_16",1,2050,224,2274,6048,16302,1,1,2,10,2632,3406,3850,3951,2.39914021164021,0.296296296296296,2050,0.148148148148148,0.148148148148148,3.44459102902375,8,4,6.49516270888303,8,4,28.7834146341463,0.519506808980493,0.425530609741136,0.521915920055134,0.894202385169442,0.0549625812783707,1,0.924902585901523,0.987331795290717,0.515304182338842,0.5,0.521915920055134,0.991910353109907,1,0.563161375661376,0.65327380952381,0.520641308581072,0.499480341837042,0.295209658574581,0.478084079944866,1.04197618772396,0.567011594564269,"QuBE",3600,"timeout" "rankfunc19_unsigned_16",1,2050,224,2274,6048,16302,1,1,2,10,2632,3406,3850,3951,2.39914021164021,0.296296296296296,2050,0.148148148148148,0.148148148148148,3.44459102902375,8,4,6.49516270888303,8,4,28.7834146341463,0.519506808980493,0.425530609741136,0.521915920055134,0.894202385169442,0.0549625812783707,1,0.924902585901523,0.987331795290717,0.515304182338842,0.5,0.521915920055134,0.991910353109907,1,0.563161375661376,0.65327380952381,0.520641308581072,0.499480341837042,0.295209658574581,0.478084079944866,1.04197618772396,0.567011594564269,"sKizzo",0.02,"ok" "rankfunc19_unsigned_16",1,2050,224,2274,6048,16302,1,1,2,10,2632,3406,3850,3951,2.39914021164021,0.296296296296296,2050,0.148148148148148,0.148148148148148,3.44459102902375,8,4,6.49516270888303,8,4,28.7834146341463,0.519506808980493,0.425530609741136,0.521915920055134,0.894202385169442,0.0549625812783707,1,0.924902585901523,0.987331795290717,0.515304182338842,0.5,0.521915920055134,0.991910353109907,1,0.563161375661376,0.65327380952381,0.520641308581072,0.499480341837042,0.295209658574581,0.478084079944866,1.04197618772396,0.567011594564269,"sSolve",3600,"timeout" "rankfunc2_unsigned_64",1,2314,256,2570,6905,18140,1,1,2,6,3319,3580,4473,4724,2.33048515568429,0.296596669080377,2314,0.148298334540188,0.148298334540188,3.38093385214008,8,4,6.36342412451362,8,4,26.7389801210026,0.521003307607497,0.422546857772878,0.523676360924683,0.891651677071209,0.0564498346196251,1,0.919373611258068,0.985241076082596,0.515947461356453,0.5,0.523676360924683,0.990295942123167,1,0.518464880521361,0.684141926140478,0.52225987380229,0.497370073097233,0.300303809301239,0.476323639075317,1.0410891734294,0.575008390200246,"X2clsQ",3600,"memout" "rankfunc2_unsigned_64",1,2314,256,2570,6905,18140,1,1,2,6,3319,3580,4473,4724,2.33048515568429,0.296596669080377,2314,0.148298334540188,0.148298334540188,3.38093385214008,8,4,6.36342412451362,8,4,26.7389801210026,0.521003307607497,0.422546857772878,0.523676360924683,0.891651677071209,0.0564498346196251,1,0.919373611258068,0.985241076082596,0.515947461356453,0.5,0.523676360924683,0.990295942123167,1,0.518464880521361,0.684141926140478,0.52225987380229,0.497370073097233,0.300303809301239,0.476323639075317,1.0410891734294,0.575008390200246,"quantor",3600,"memout" "rankfunc2_unsigned_64",1,2314,256,2570,6905,18140,1,1,2,6,3319,3580,4473,4724,2.33048515568429,0.296596669080377,2314,0.148298334540188,0.148298334540188,3.38093385214008,8,4,6.36342412451362,8,4,26.7389801210026,0.521003307607497,0.422546857772878,0.523676360924683,0.891651677071209,0.0564498346196251,1,0.919373611258068,0.985241076082596,0.515947461356453,0.5,0.523676360924683,0.990295942123167,1,0.518464880521361,0.684141926140478,0.52225987380229,0.497370073097233,0.300303809301239,0.476323639075317,1.0410891734294,0.575008390200246,"QuBE",3600,"timeout" "rankfunc2_unsigned_64",1,2314,256,2570,6905,18140,1,1,2,6,3319,3580,4473,4724,2.33048515568429,0.296596669080377,2314,0.148298334540188,0.148298334540188,3.38093385214008,8,4,6.36342412451362,8,4,26.7389801210026,0.521003307607497,0.422546857772878,0.523676360924683,0.891651677071209,0.0564498346196251,1,0.919373611258068,0.985241076082596,0.515947461356453,0.5,0.523676360924683,0.990295942123167,1,0.518464880521361,0.684141926140478,0.52225987380229,0.497370073097233,0.300303809301239,0.476323639075317,1.0410891734294,0.575008390200246,"sKizzo",3600,"memout" "rankfunc2_unsigned_64",1,2314,256,2570,6905,18140,1,1,2,6,3319,3580,4473,4724,2.33048515568429,0.296596669080377,2314,0.148298334540188,0.148298334540188,3.38093385214008,8,4,6.36342412451362,8,4,26.7389801210026,0.521003307607497,0.422546857772878,0.523676360924683,0.891651677071209,0.0564498346196251,1,0.919373611258068,0.985241076082596,0.515947461356453,0.5,0.523676360924683,0.990295942123167,1,0.518464880521361,0.684141926140478,0.52225987380229,0.497370073097233,0.300303809301239,0.476323639075317,1.0410891734294,0.575008390200246,"sSolve",3600,"timeout" "rankfunc20_unsigned_32",1,1843,256,2099,5438,14489,1,1,2,8,2583,2847,3506,3613,2.33486575947039,0.329532916513424,1843,0.164766458256712,0.164766458256712,3.30061934254407,7,3.5,6.17436874702239,7,3.5,26.0965816603364,0.521844157636828,0.416315825798882,0.524927148145231,0.881497156460786,0.0618400165642901,1,0.916280915222854,0.998044281314764,0.523900538313216,0.5,0.524927148145231,1.0039406030446,1,0.523538065465245,0.664398675983818,0.523284043257559,0.50539753493338,0.270231001250644,0.475072851854769,1.06016492165886,0.51641360888514,"X2clsQ",3600,"memout" "rankfunc20_unsigned_32",1,1843,256,2099,5438,14489,1,1,2,8,2583,2847,3506,3613,2.33486575947039,0.329532916513424,1843,0.164766458256712,0.164766458256712,3.30061934254407,7,3.5,6.17436874702239,7,3.5,26.0965816603364,0.521844157636828,0.416315825798882,0.524927148145231,0.881497156460786,0.0618400165642901,1,0.916280915222854,0.998044281314764,0.523900538313216,0.5,0.524927148145231,1.0039406030446,1,0.523538065465245,0.664398675983818,0.523284043257559,0.50539753493338,0.270231001250644,0.475072851854769,1.06016492165886,0.51641360888514,"quantor",3600,"memout" "rankfunc20_unsigned_32",1,1843,256,2099,5438,14489,1,1,2,8,2583,2847,3506,3613,2.33486575947039,0.329532916513424,1843,0.164766458256712,0.164766458256712,3.30061934254407,7,3.5,6.17436874702239,7,3.5,26.0965816603364,0.521844157636828,0.416315825798882,0.524927148145231,0.881497156460786,0.0618400165642901,1,0.916280915222854,0.998044281314764,0.523900538313216,0.5,0.524927148145231,1.0039406030446,1,0.523538065465245,0.664398675983818,0.523284043257559,0.50539753493338,0.270231001250644,0.475072851854769,1.06016492165886,0.51641360888514,"QuBE",3600,"timeout" "rankfunc20_unsigned_32",1,1843,256,2099,5438,14489,1,1,2,8,2583,2847,3506,3613,2.33486575947039,0.329532916513424,1843,0.164766458256712,0.164766458256712,3.30061934254407,7,3.5,6.17436874702239,7,3.5,26.0965816603364,0.521844157636828,0.416315825798882,0.524927148145231,0.881497156460786,0.0618400165642901,1,0.916280915222854,0.998044281314764,0.523900538313216,0.5,0.524927148145231,1.0039406030446,1,0.523538065465245,0.664398675983818,0.523284043257559,0.50539753493338,0.270231001250644,0.475072851854769,1.06016492165886,0.51641360888514,"sKizzo",0.02,"ok" "rankfunc20_unsigned_32",1,1843,256,2099,5438,14489,1,1,2,8,2583,2847,3506,3613,2.33486575947039,0.329532916513424,1843,0.164766458256712,0.164766458256712,3.30061934254407,7,3.5,6.17436874702239,7,3.5,26.0965816603364,0.521844157636828,0.416315825798882,0.524927148145231,0.881497156460786,0.0618400165642901,1,0.916280915222854,0.998044281314764,0.523900538313216,0.5,0.524927148145231,1.0039406030446,1,0.523538065465245,0.664398675983818,0.523284043257559,0.50539753493338,0.270231001250644,0.475072851854769,1.06016492165886,0.51641360888514,"sSolve",3600,"timeout" "rankfunc21_signed_16",1,2216,256,2472,6507,17914,1,1,2,12,2495,4000,3669,4277,2.43829721838021,0.314737974489012,2216,0.157368987244506,0.157368987244506,3.4546925566343,8,4,6.49514563106796,8,4,28.7861010830325,0.523277883219828,0.419560120576086,0.526282616916677,0.890761681246,0.0571619962040862,1,0.911030509921058,0.987992369479692,0.519963209703481,0.5,0.526282616916677,0.993665557779833,1,0.614722606423851,0.657292146918703,0.524689165186501,0.496404639918949,0.292717584369449,0.473717383083323,1.04437896963516,0.557887610020311,"X2clsQ",3600,"memout" "rankfunc21_signed_16",1,2216,256,2472,6507,17914,1,1,2,12,2495,4000,3669,4277,2.43829721838021,0.314737974489012,2216,0.157368987244506,0.157368987244506,3.4546925566343,8,4,6.49514563106796,8,4,28.7861010830325,0.523277883219828,0.419560120576086,0.526282616916677,0.890761681246,0.0571619962040862,1,0.911030509921058,0.987992369479692,0.519963209703481,0.5,0.526282616916677,0.993665557779833,1,0.614722606423851,0.657292146918703,0.524689165186501,0.496404639918949,0.292717584369449,0.473717383083323,1.04437896963516,0.557887610020311,"quantor",3600,"memout" "rankfunc21_signed_16",1,2216,256,2472,6507,17914,1,1,2,12,2495,4000,3669,4277,2.43829721838021,0.314737974489012,2216,0.157368987244506,0.157368987244506,3.4546925566343,8,4,6.49514563106796,8,4,28.7861010830325,0.523277883219828,0.419560120576086,0.526282616916677,0.890761681246,0.0571619962040862,1,0.911030509921058,0.987992369479692,0.519963209703481,0.5,0.526282616916677,0.993665557779833,1,0.614722606423851,0.657292146918703,0.524689165186501,0.496404639918949,0.292717584369449,0.473717383083323,1.04437896963516,0.557887610020311,"QuBE",3600,"timeout" "rankfunc21_signed_16",1,2216,256,2472,6507,17914,1,1,2,12,2495,4000,3669,4277,2.43829721838021,0.314737974489012,2216,0.157368987244506,0.157368987244506,3.4546925566343,8,4,6.49514563106796,8,4,28.7861010830325,0.523277883219828,0.419560120576086,0.526282616916677,0.890761681246,0.0571619962040862,1,0.911030509921058,0.987992369479692,0.519963209703481,0.5,0.526282616916677,0.993665557779833,1,0.614722606423851,0.657292146918703,0.524689165186501,0.496404639918949,0.292717584369449,0.473717383083323,1.04437896963516,0.557887610020311,"sKizzo",3600,"memout" "rankfunc21_signed_16",1,2216,256,2472,6507,17914,1,1,2,12,2495,4000,3669,4277,2.43829721838021,0.314737974489012,2216,0.157368987244506,0.157368987244506,3.4546925566343,8,4,6.49514563106796,8,4,28.7861010830325,0.523277883219828,0.419560120576086,0.526282616916677,0.890761681246,0.0571619962040862,1,0.911030509921058,0.987992369479692,0.519963209703481,0.5,0.526282616916677,0.993665557779833,1,0.614722606423851,0.657292146918703,0.524689165186501,0.496404639918949,0.292717584369449,0.473717383083323,1.04437896963516,0.557887610020311,"sSolve",3600,"timeout" "rankfunc22_unsigned_32",1,1518,192,1710,4514,12362,1,1,2,4,1819,2691,2555,3039,2.39831634913602,0.340274700930439,1518,0.170137350465219,0.170137350465219,3.42105263157895,8,4,6.39298245614035,8,4,25.6916996047431,0.526775602653292,0.411098527746319,0.530574542767412,0.882063882063882,0.0621258696003883,1,0.898341523341523,0.986514839240232,0.523419659763153,0.5,0.530574542767412,0.993629274261685,1,0.596145325653522,0.673238812583075,0.528549249611868,0.493771140994967,0.294980162152838,0.469425457232588,1.04734405574381,0.558093994778068,"X2clsQ",3600,"memout" "rankfunc22_unsigned_32",1,1518,192,1710,4514,12362,1,1,2,4,1819,2691,2555,3039,2.39831634913602,0.340274700930439,1518,0.170137350465219,0.170137350465219,3.42105263157895,8,4,6.39298245614035,8,4,25.6916996047431,0.526775602653292,0.411098527746319,0.530574542767412,0.882063882063882,0.0621258696003883,1,0.898341523341523,0.986514839240232,0.523419659763153,0.5,0.530574542767412,0.993629274261685,1,0.596145325653522,0.673238812583075,0.528549249611868,0.493771140994967,0.294980162152838,0.469425457232588,1.04734405574381,0.558093994778068,"quantor",3600,"memout" "rankfunc22_unsigned_32",1,1518,192,1710,4514,12362,1,1,2,4,1819,2691,2555,3039,2.39831634913602,0.340274700930439,1518,0.170137350465219,0.170137350465219,3.42105263157895,8,4,6.39298245614035,8,4,25.6916996047431,0.526775602653292,0.411098527746319,0.530574542767412,0.882063882063882,0.0621258696003883,1,0.898341523341523,0.986514839240232,0.523419659763153,0.5,0.530574542767412,0.993629274261685,1,0.596145325653522,0.673238812583075,0.528549249611868,0.493771140994967,0.294980162152838,0.469425457232588,1.04734405574381,0.558093994778068,"QuBE",3600,"timeout" "rankfunc22_unsigned_32",1,1518,192,1710,4514,12362,1,1,2,4,1819,2691,2555,3039,2.39831634913602,0.340274700930439,1518,0.170137350465219,0.170137350465219,3.42105263157895,8,4,6.39298245614035,8,4,25.6916996047431,0.526775602653292,0.411098527746319,0.530574542767412,0.882063882063882,0.0621258696003883,1,0.898341523341523,0.986514839240232,0.523419659763153,0.5,0.530574542767412,0.993629274261685,1,0.596145325653522,0.673238812583075,0.528549249611868,0.493771140994967,0.294980162152838,0.469425457232588,1.04734405574381,0.558093994778068,"sKizzo",0.02,"ok" "rankfunc22_unsigned_32",1,1518,192,1710,4514,12362,1,1,2,4,1819,2691,2555,3039,2.39831634913602,0.340274700930439,1518,0.170137350465219,0.170137350465219,3.42105263157895,8,4,6.39298245614035,8,4,25.6916996047431,0.526775602653292,0.411098527746319,0.530574542767412,0.882063882063882,0.0621258696003883,1,0.898341523341523,0.986514839240232,0.523419659763153,0.5,0.530574542767412,0.993629274261685,1,0.596145325653522,0.673238812583075,0.528549249611868,0.493771140994967,0.294980162152838,0.469425457232588,1.04734405574381,0.558093994778068,"sSolve",3600,"timeout" "rankfunc23_signed_64",1,3149,384,3533,9339,25431,1,1,2,5,3895,5439,5491,6269,2.40785951386658,0.31523717742799,3149,0.157618588713995,0.157618588713995,3.41919048966884,7.66666666666667,3.83333333333333,6.42173789980187,7.66666666666667,3.83333333333333,26.3023181962528,0.524989186426015,0.417128701191459,0.528260772891004,0.889746086435473,0.0578821123825253,1,0.904801138491499,0.992062557607201,0.524067733437806,0.5,0.528260772891004,0.998244815298992,1,0.582396402184388,0.671271014027198,0.526524479318836,0.496747626088396,0.282632135453622,0.471739227108996,1.04915165492347,0.536788215087858,"X2clsQ",3600,"memout" "rankfunc23_signed_64",1,3149,384,3533,9339,25431,1,1,2,5,3895,5439,5491,6269,2.40785951386658,0.31523717742799,3149,0.157618588713995,0.157618588713995,3.41919048966884,7.66666666666667,3.83333333333333,6.42173789980187,7.66666666666667,3.83333333333333,26.3023181962528,0.524989186426015,0.417128701191459,0.528260772891004,0.889746086435473,0.0578821123825253,1,0.904801138491499,0.992062557607201,0.524067733437806,0.5,0.528260772891004,0.998244815298992,1,0.582396402184388,0.671271014027198,0.526524479318836,0.496747626088396,0.282632135453622,0.471739227108996,1.04915165492347,0.536788215087858,"quantor",3600,"memout" "rankfunc23_signed_64",1,3149,384,3533,9339,25431,1,1,2,5,3895,5439,5491,6269,2.40785951386658,0.31523717742799,3149,0.157618588713995,0.157618588713995,3.41919048966884,7.66666666666667,3.83333333333333,6.42173789980187,7.66666666666667,3.83333333333333,26.3023181962528,0.524989186426015,0.417128701191459,0.528260772891004,0.889746086435473,0.0578821123825253,1,0.904801138491499,0.992062557607201,0.524067733437806,0.5,0.528260772891004,0.998244815298992,1,0.582396402184388,0.671271014027198,0.526524479318836,0.496747626088396,0.282632135453622,0.471739227108996,1.04915165492347,0.536788215087858,"QuBE",3600,"timeout" "rankfunc23_signed_64",1,3149,384,3533,9339,25431,1,1,2,5,3895,5439,5491,6269,2.40785951386658,0.31523717742799,3149,0.157618588713995,0.157618588713995,3.41919048966884,7.66666666666667,3.83333333333333,6.42173789980187,7.66666666666667,3.83333333333333,26.3023181962528,0.524989186426015,0.417128701191459,0.528260772891004,0.889746086435473,0.0578821123825253,1,0.904801138491499,0.992062557607201,0.524067733437806,0.5,0.528260772891004,0.998244815298992,1,0.582396402184388,0.671271014027198,0.526524479318836,0.496747626088396,0.282632135453622,0.471739227108996,1.04915165492347,0.536788215087858,"sKizzo",3600,"memout" "rankfunc23_signed_64",1,3149,384,3533,9339,25431,1,1,2,5,3895,5439,5491,6269,2.40785951386658,0.31523717742799,3149,0.157618588713995,0.157618588713995,3.41919048966884,7.66666666666667,3.83333333333333,6.42173789980187,7.66666666666667,3.83333333333333,26.3023181962528,0.524989186426015,0.417128701191459,0.528260772891004,0.889746086435473,0.0578821123825253,1,0.904801138491499,0.992062557607201,0.524067733437806,0.5,0.528260772891004,0.998244815298992,1,0.582396402184388,0.671271014027198,0.526524479318836,0.496747626088396,0.282632135453622,0.471739227108996,1.04915165492347,0.536788215087858,"sSolve",3600,"timeout" "rankfunc24_unsigned_64",1,3150,384,3534,9730,26094,1,1,2,6,4218,5506,5816,6585,2.36608427543679,0.315724563206578,3150,0.157862281603289,0.157862281603289,3.53084323712507,8,4,6.62705149971703,8,4,33.0368253968254,0.521805779106308,0.419330114202499,0.524715489531752,0.887191539365452,0.0588641066911934,1,0.916421856639248,0.989824918700522,0.519376466766671,0.5,0.524715489531752,0.995344412735717,1,0.565878725590956,0.676772867420349,0.523169639221435,0.49987303138537,0.287808453457122,0.475284510468248,1.04832467162783,0.550124533001245,"X2clsQ",3600,"timeout" "rankfunc24_unsigned_64",1,3150,384,3534,9730,26094,1,1,2,6,4218,5506,5816,6585,2.36608427543679,0.315724563206578,3150,0.157862281603289,0.157862281603289,3.53084323712507,8,4,6.62705149971703,8,4,33.0368253968254,0.521805779106308,0.419330114202499,0.524715489531752,0.887191539365452,0.0588641066911934,1,0.916421856639248,0.989824918700522,0.519376466766671,0.5,0.524715489531752,0.995344412735717,1,0.565878725590956,0.676772867420349,0.523169639221435,0.49987303138537,0.287808453457122,0.475284510468248,1.04832467162783,0.550124533001245,"quantor",3600,"memout" "rankfunc24_unsigned_64",1,3150,384,3534,9730,26094,1,1,2,6,4218,5506,5816,6585,2.36608427543679,0.315724563206578,3150,0.157862281603289,0.157862281603289,3.53084323712507,8,4,6.62705149971703,8,4,33.0368253968254,0.521805779106308,0.419330114202499,0.524715489531752,0.887191539365452,0.0588641066911934,1,0.916421856639248,0.989824918700522,0.519376466766671,0.5,0.524715489531752,0.995344412735717,1,0.565878725590956,0.676772867420349,0.523169639221435,0.49987303138537,0.287808453457122,0.475284510468248,1.04832467162783,0.550124533001245,"QuBE",3600,"timeout" "rankfunc24_unsigned_64",1,3150,384,3534,9730,26094,1,1,2,6,4218,5506,5816,6585,2.36608427543679,0.315724563206578,3150,0.157862281603289,0.157862281603289,3.53084323712507,8,4,6.62705149971703,8,4,33.0368253968254,0.521805779106308,0.419330114202499,0.524715489531752,0.887191539365452,0.0588641066911934,1,0.916421856639248,0.989824918700522,0.519376466766671,0.5,0.524715489531752,0.995344412735717,1,0.565878725590956,0.676772867420349,0.523169639221435,0.49987303138537,0.287808453457122,0.475284510468248,1.04832467162783,0.550124533001245,"sKizzo",3600,"memout" "rankfunc24_unsigned_64",1,3150,384,3534,9730,26094,1,1,2,6,4218,5506,5816,6585,2.36608427543679,0.315724563206578,3150,0.157862281603289,0.157862281603289,3.53084323712507,8,4,6.62705149971703,8,4,33.0368253968254,0.521805779106308,0.419330114202499,0.524715489531752,0.887191539365452,0.0588641066911934,1,0.916421856639248,0.989824918700522,0.519376466766671,0.5,0.524715489531752,0.995344412735717,1,0.565878725590956,0.676772867420349,0.523169639221435,0.49987303138537,0.287808453457122,0.475284510468248,1.04832467162783,0.550124533001245,"sSolve",3600,"timeout" "rankfunc25_signed_64",1,2962,256,3218,8209,22044,1,1,2,6,3579,4624,4996,5510,2.43586307711049,0.249482275551224,2962,0.124741137775612,0.124741137775612,3.26569297700435,8,4,6.21317588564326,8,4,24.9945982444294,0.5232716385411,0.430275812012339,0.525655131026205,0.911226701343736,0.0464525494465614,1,0.911053315994798,0.985493380068174,0.518029651825194,0.5,0.525655131026205,0.989982283904167,1,0.563284200267999,0.671214520648069,0.524405328258801,0.49023646172161,0.306184586108468,0.474344868973795,1.03078627842235,0.583870089812211,"X2clsQ",3600,"memout" "rankfunc25_signed_64",1,2962,256,3218,8209,22044,1,1,2,6,3579,4624,4996,5510,2.43586307711049,0.249482275551224,2962,0.124741137775612,0.124741137775612,3.26569297700435,8,4,6.21317588564326,8,4,24.9945982444294,0.5232716385411,0.430275812012339,0.525655131026205,0.911226701343736,0.0464525494465614,1,0.911053315994798,0.985493380068174,0.518029651825194,0.5,0.525655131026205,0.989982283904167,1,0.563284200267999,0.671214520648069,0.524405328258801,0.49023646172161,0.306184586108468,0.474344868973795,1.03078627842235,0.583870089812211,"quantor",3600,"memout" "rankfunc25_signed_64",1,2962,256,3218,8209,22044,1,1,2,6,3579,4624,4996,5510,2.43586307711049,0.249482275551224,2962,0.124741137775612,0.124741137775612,3.26569297700435,8,4,6.21317588564326,8,4,24.9945982444294,0.5232716385411,0.430275812012339,0.525655131026205,0.911226701343736,0.0464525494465614,1,0.911053315994798,0.985493380068174,0.518029651825194,0.5,0.525655131026205,0.989982283904167,1,0.563284200267999,0.671214520648069,0.524405328258801,0.49023646172161,0.306184586108468,0.474344868973795,1.03078627842235,0.583870089812211,"QuBE",3600,"timeout" "rankfunc25_signed_64",1,2962,256,3218,8209,22044,1,1,2,6,3579,4624,4996,5510,2.43586307711049,0.249482275551224,2962,0.124741137775612,0.124741137775612,3.26569297700435,8,4,6.21317588564326,8,4,24.9945982444294,0.5232716385411,0.430275812012339,0.525655131026205,0.911226701343736,0.0464525494465614,1,0.911053315994798,0.985493380068174,0.518029651825194,0.5,0.525655131026205,0.989982283904167,1,0.563284200267999,0.671214520648069,0.524405328258801,0.49023646172161,0.306184586108468,0.474344868973795,1.03078627842235,0.583870089812211,"sKizzo",0.04,"ok" "rankfunc25_signed_64",1,2962,256,3218,8209,22044,1,1,2,6,3579,4624,4996,5510,2.43586307711049,0.249482275551224,2962,0.124741137775612,0.124741137775612,3.26569297700435,8,4,6.21317588564326,8,4,24.9945982444294,0.5232716385411,0.430275812012339,0.525655131026205,0.911226701343736,0.0464525494465614,1,0.911053315994798,0.985493380068174,0.518029651825194,0.5,0.525655131026205,0.989982283904167,1,0.563284200267999,0.671214520648069,0.524405328258801,0.49023646172161,0.306184586108468,0.474344868973795,1.03078627842235,0.583870089812211,"sSolve",3600,"timeout" "rankfunc26_unsigned_32",1,3184,320,3504,9555,25816,1,1,2,9,3900,5646,5619,6482,2.43390894819466,0.267922553636839,3184,0.13396127681842,0.13396127681842,3.51598173515982,8,4,6.66666666666667,8,4,30.1526381909548,0.522776572668113,0.427641772544159,0.525283797729618,0.90515708358032,0.0495816547877285,1,0.912863070539419,0.991373041220979,0.520752196059317,0.5,0.525283797729618,0.996127644744171,1,0.590894819466248,0.678388278388278,0.523964786436257,0.495172307576356,0.285621128138246,0.474716202270382,1.04020100502513,0.545115121344119,"X2clsQ",3600,"memout" "rankfunc26_unsigned_32",1,3184,320,3504,9555,25816,1,1,2,9,3900,5646,5619,6482,2.43390894819466,0.267922553636839,3184,0.13396127681842,0.13396127681842,3.51598173515982,8,4,6.66666666666667,8,4,30.1526381909548,0.522776572668113,0.427641772544159,0.525283797729618,0.90515708358032,0.0495816547877285,1,0.912863070539419,0.991373041220979,0.520752196059317,0.5,0.525283797729618,0.996127644744171,1,0.590894819466248,0.678388278388278,0.523964786436257,0.495172307576356,0.285621128138246,0.474716202270382,1.04020100502513,0.545115121344119,"quantor",3600,"memout" "rankfunc26_unsigned_32",1,3184,320,3504,9555,25816,1,1,2,9,3900,5646,5619,6482,2.43390894819466,0.267922553636839,3184,0.13396127681842,0.13396127681842,3.51598173515982,8,4,6.66666666666667,8,4,30.1526381909548,0.522776572668113,0.427641772544159,0.525283797729618,0.90515708358032,0.0495816547877285,1,0.912863070539419,0.991373041220979,0.520752196059317,0.5,0.525283797729618,0.996127644744171,1,0.590894819466248,0.678388278388278,0.523964786436257,0.495172307576356,0.285621128138246,0.474716202270382,1.04020100502513,0.545115121344119,"QuBE",3600,"timeout" "rankfunc26_unsigned_32",1,3184,320,3504,9555,25816,1,1,2,9,3900,5646,5619,6482,2.43390894819466,0.267922553636839,3184,0.13396127681842,0.13396127681842,3.51598173515982,8,4,6.66666666666667,8,4,30.1526381909548,0.522776572668113,0.427641772544159,0.525283797729618,0.90515708358032,0.0495816547877285,1,0.912863070539419,0.991373041220979,0.520752196059317,0.5,0.525283797729618,0.996127644744171,1,0.590894819466248,0.678388278388278,0.523964786436257,0.495172307576356,0.285621128138246,0.474716202270382,1.04020100502513,0.545115121344119,"sKizzo",0.03,"ok" "rankfunc26_unsigned_32",1,3184,320,3504,9555,25816,1,1,2,9,3900,5646,5619,6482,2.43390894819466,0.267922553636839,3184,0.13396127681842,0.13396127681842,3.51598173515982,8,4,6.66666666666667,8,4,30.1526381909548,0.522776572668113,0.427641772544159,0.525283797729618,0.90515708358032,0.0495816547877285,1,0.912863070539419,0.991373041220979,0.520752196059317,0.5,0.525283797729618,0.996127644744171,1,0.590894819466248,0.678388278388278,0.523964786436257,0.495172307576356,0.285621128138246,0.474716202270382,1.04020100502513,0.545115121344119,"sSolve",3600,"timeout" "rankfunc27_signed_64",1,2062,256,2318,6344,16963,1,1,2,5,2876,3463,4033,4289,2.35104035308953,0.322824716267339,2062,0.16141235813367,0.16141235813367,3.53494391716997,8,4,6.6281276962899,8,4,29.7129000969932,0.516948652950539,0.422684666627365,0.51927589674824,0.883224997149048,0.0603666804220951,1,0.934428098985061,0.988428743726075,0.513267222270094,0.5,0.51927589674824,0.992878537047281,1,0.545870113493064,0.676071878940731,0.518037518037518,0.505688241951051,0.290858899554552,0.48072410325176,1.0492274002158,0.561463001089984,"X2clsQ",3600,"memout" "rankfunc27_signed_64",1,2062,256,2318,6344,16963,1,1,2,5,2876,3463,4033,4289,2.35104035308953,0.322824716267339,2062,0.16141235813367,0.16141235813367,3.53494391716997,8,4,6.6281276962899,8,4,29.7129000969932,0.516948652950539,0.422684666627365,0.51927589674824,0.883224997149048,0.0603666804220951,1,0.934428098985061,0.988428743726075,0.513267222270094,0.5,0.51927589674824,0.992878537047281,1,0.545870113493064,0.676071878940731,0.518037518037518,0.505688241951051,0.290858899554552,0.48072410325176,1.0492274002158,0.561463001089984,"quantor",3600,"memout" "rankfunc27_signed_64",1,2062,256,2318,6344,16963,1,1,2,5,2876,3463,4033,4289,2.35104035308953,0.322824716267339,2062,0.16141235813367,0.16141235813367,3.53494391716997,8,4,6.6281276962899,8,4,29.7129000969932,0.516948652950539,0.422684666627365,0.51927589674824,0.883224997149048,0.0603666804220951,1,0.934428098985061,0.988428743726075,0.513267222270094,0.5,0.51927589674824,0.992878537047281,1,0.545870113493064,0.676071878940731,0.518037518037518,0.505688241951051,0.290858899554552,0.48072410325176,1.0492274002158,0.561463001089984,"QuBE",3600,"timeout" "rankfunc27_signed_64",1,2062,256,2318,6344,16963,1,1,2,5,2876,3463,4033,4289,2.35104035308953,0.322824716267339,2062,0.16141235813367,0.16141235813367,3.53494391716997,8,4,6.6281276962899,8,4,29.7129000969932,0.516948652950539,0.422684666627365,0.51927589674824,0.883224997149048,0.0603666804220951,1,0.934428098985061,0.988428743726075,0.513267222270094,0.5,0.51927589674824,0.992878537047281,1,0.545870113493064,0.676071878940731,0.518037518037518,0.505688241951051,0.290858899554552,0.48072410325176,1.0492274002158,0.561463001089984,"sKizzo",0.02,"ok" "rankfunc27_signed_64",1,2062,256,2318,6344,16963,1,1,2,5,2876,3463,4033,4289,2.35104035308953,0.322824716267339,2062,0.16141235813367,0.16141235813367,3.53494391716997,8,4,6.6281276962899,8,4,29.7129000969932,0.516948652950539,0.422684666627365,0.51927589674824,0.883224997149048,0.0603666804220951,1,0.934428098985061,0.988428743726075,0.513267222270094,0.5,0.51927589674824,0.992878537047281,1,0.545870113493064,0.676071878940731,0.518037518037518,0.505688241951051,0.290858899554552,0.48072410325176,1.0492274002158,0.561463001089984,"sSolve",3600,"timeout" "rankfunc28_unsigned_16",1,998,128,1126,2790,7751,1,1,2,4,1012,1774,1491,1827,2.41111111111111,0.367025089605735,998,0.183512544802867,0.183512544802867,3.26998223801066,8,4,6.08525754884547,8,4,22.8857715430862,0.524964520707005,0.408979486517869,0.528764679649175,0.874170557876628,0.0660559927751258,1,0.904890636520029,0.979200304880177,0.517766535522341,0.5,0.528764679649175,0.986288625419923,1,0.63584229390681,0.654838709677419,0.52673021135516,0.494070057506919,0.311092692360823,0.471235320350825,1.04395013026637,0.590611067400997,"X2clsQ",3600,"memout" "rankfunc28_unsigned_16",1,998,128,1126,2790,7751,1,1,2,4,1012,1774,1491,1827,2.41111111111111,0.367025089605735,998,0.183512544802867,0.183512544802867,3.26998223801066,8,4,6.08525754884547,8,4,22.8857715430862,0.524964520707005,0.408979486517869,0.528764679649175,0.874170557876628,0.0660559927751258,1,0.904890636520029,0.979200304880177,0.517766535522341,0.5,0.528764679649175,0.986288625419923,1,0.63584229390681,0.654838709677419,0.52673021135516,0.494070057506919,0.311092692360823,0.471235320350825,1.04395013026637,0.590611067400997,"quantor",3600,"memout" "rankfunc28_unsigned_16",1,998,128,1126,2790,7751,1,1,2,4,1012,1774,1491,1827,2.41111111111111,0.367025089605735,998,0.183512544802867,0.183512544802867,3.26998223801066,8,4,6.08525754884547,8,4,22.8857715430862,0.524964520707005,0.408979486517869,0.528764679649175,0.874170557876628,0.0660559927751258,1,0.904890636520029,0.979200304880177,0.517766535522341,0.5,0.528764679649175,0.986288625419923,1,0.63584229390681,0.654838709677419,0.52673021135516,0.494070057506919,0.311092692360823,0.471235320350825,1.04395013026637,0.590611067400997,"QuBE",3600,"timeout" "rankfunc28_unsigned_16",1,998,128,1126,2790,7751,1,1,2,4,1012,1774,1491,1827,2.41111111111111,0.367025089605735,998,0.183512544802867,0.183512544802867,3.26998223801066,8,4,6.08525754884547,8,4,22.8857715430862,0.524964520707005,0.408979486517869,0.528764679649175,0.874170557876628,0.0660559927751258,1,0.904890636520029,0.979200304880177,0.517766535522341,0.5,0.528764679649175,0.986288625419923,1,0.63584229390681,0.654838709677419,0.52673021135516,0.494070057506919,0.311092692360823,0.471235320350825,1.04395013026637,0.590611067400997,"sKizzo",0.01,"ok" "rankfunc28_unsigned_16",1,998,128,1126,2790,7751,1,1,2,4,1012,1774,1491,1827,2.41111111111111,0.367025089605735,998,0.183512544802867,0.183512544802867,3.26998223801066,8,4,6.08525754884547,8,4,22.8857715430862,0.524964520707005,0.408979486517869,0.528764679649175,0.874170557876628,0.0660559927751258,1,0.904890636520029,0.979200304880177,0.517766535522341,0.5,0.528764679649175,0.986288625419923,1,0.63584229390681,0.654838709677419,0.52673021135516,0.494070057506919,0.311092692360823,0.471235320350825,1.04395013026637,0.590611067400997,"sSolve",3600,"timeout" "rankfunc29_signed_16",1,936,64,1000,2496,6695,1,1,2,7,1065,1424,1536,1659,2.47716346153846,0.205128205128205,936,0.102564102564103,0.102564102564103,3.199,8,4,6.142,8,4,27.1901709401709,0.52218073188947,0.439581777445855,0.524017467248908,0.926773455377574,0.0382374906646751,1,0.915045766590389,0.986672028494284,0.517033377376917,0.5,0.524017467248908,0.990142580531597,1,0.57051282051282,0.664663461538462,0.523062587358285,0.488310416094233,0.310607237148626,0.475982532751092,1.02384590336398,0.593824228028504,"X2clsQ",3600,"memout" "rankfunc29_signed_16",1,936,64,1000,2496,6695,1,1,2,7,1065,1424,1536,1659,2.47716346153846,0.205128205128205,936,0.102564102564103,0.102564102564103,3.199,8,4,6.142,8,4,27.1901709401709,0.52218073188947,0.439581777445855,0.524017467248908,0.926773455377574,0.0382374906646751,1,0.915045766590389,0.986672028494284,0.517033377376917,0.5,0.524017467248908,0.990142580531597,1,0.57051282051282,0.664663461538462,0.523062587358285,0.488310416094233,0.310607237148626,0.475982532751092,1.02384590336398,0.593824228028504,"quantor",3600,"memout" "rankfunc29_signed_16",1,936,64,1000,2496,6695,1,1,2,7,1065,1424,1536,1659,2.47716346153846,0.205128205128205,936,0.102564102564103,0.102564102564103,3.199,8,4,6.142,8,4,27.1901709401709,0.52218073188947,0.439581777445855,0.524017467248908,0.926773455377574,0.0382374906646751,1,0.915045766590389,0.986672028494284,0.517033377376917,0.5,0.524017467248908,0.990142580531597,1,0.57051282051282,0.664663461538462,0.523062587358285,0.488310416094233,0.310607237148626,0.475982532751092,1.02384590336398,0.593824228028504,"QuBE",3600,"timeout" "rankfunc29_signed_16",1,936,64,1000,2496,6695,1,1,2,7,1065,1424,1536,1659,2.47716346153846,0.205128205128205,936,0.102564102564103,0.102564102564103,3.199,8,4,6.142,8,4,27.1901709401709,0.52218073188947,0.439581777445855,0.524017467248908,0.926773455377574,0.0382374906646751,1,0.915045766590389,0.986672028494284,0.517033377376917,0.5,0.524017467248908,0.990142580531597,1,0.57051282051282,0.664663461538462,0.523062587358285,0.488310416094233,0.310607237148626,0.475982532751092,1.02384590336398,0.593824228028504,"sKizzo",0.01,"ok" "rankfunc29_signed_16",1,936,64,1000,2496,6695,1,1,2,7,1065,1424,1536,1659,2.47716346153846,0.205128205128205,936,0.102564102564103,0.102564102564103,3.199,8,4,6.142,8,4,27.1901709401709,0.52218073188947,0.439581777445855,0.524017467248908,0.926773455377574,0.0382374906646751,1,0.915045766590389,0.986672028494284,0.517033377376917,0.5,0.524017467248908,0.990142580531597,1,0.57051282051282,0.664663461538462,0.523062587358285,0.488310416094233,0.310607237148626,0.475982532751092,1.02384590336398,0.593824228028504,"sSolve",3600,"timeout" "rankfunc3_signed_64",1,12087,896,12983,34279,93533,1,1,2,15,13750,20514,20221,23060,2.51947256337699,0.209107616908311,12087,0.104553808454156,0.104553808454156,3.45251482708157,8,4,6.62897635369329,8,4,29.8538926118971,0.52076807116205,0.440913902045267,0.522491750130261,0.926420168757314,0.0383180267926828,1,0.920240612617791,0.991812204542169,0.51821369455179,0.5,0.522491750130261,0.995094982293059,1,0.59844219492984,0.672715073368535,0.521595570823467,0.492468948347731,0.288674693437392,0.477508249869739,1.02939880635179,0.553445446213526,"X2clsQ",3600,"timeout" "rankfunc3_signed_64",1,12087,896,12983,34279,93533,1,1,2,15,13750,20514,20221,23060,2.51947256337699,0.209107616908311,12087,0.104553808454156,0.104553808454156,3.45251482708157,8,4,6.62897635369329,8,4,29.8538926118971,0.52076807116205,0.440913902045267,0.522491750130261,0.926420168757314,0.0383180267926828,1,0.920240612617791,0.991812204542169,0.51821369455179,0.5,0.522491750130261,0.995094982293059,1,0.59844219492984,0.672715073368535,0.521595570823467,0.492468948347731,0.288674693437392,0.477508249869739,1.02939880635179,0.553445446213526,"quantor",3600,"memout" "rankfunc3_signed_64",1,12087,896,12983,34279,93533,1,1,2,15,13750,20514,20221,23060,2.51947256337699,0.209107616908311,12087,0.104553808454156,0.104553808454156,3.45251482708157,8,4,6.62897635369329,8,4,29.8538926118971,0.52076807116205,0.440913902045267,0.522491750130261,0.926420168757314,0.0383180267926828,1,0.920240612617791,0.991812204542169,0.51821369455179,0.5,0.522491750130261,0.995094982293059,1,0.59844219492984,0.672715073368535,0.521595570823467,0.492468948347731,0.288674693437392,0.477508249869739,1.02939880635179,0.553445446213526,"QuBE",3600,"memout" "rankfunc3_signed_64",1,12087,896,12983,34279,93533,1,1,2,15,13750,20514,20221,23060,2.51947256337699,0.209107616908311,12087,0.104553808454156,0.104553808454156,3.45251482708157,8,4,6.62897635369329,8,4,29.8538926118971,0.52076807116205,0.440913902045267,0.522491750130261,0.926420168757314,0.0383180267926828,1,0.920240612617791,0.991812204542169,0.51821369455179,0.5,0.522491750130261,0.995094982293059,1,0.59844219492984,0.672715073368535,0.521595570823467,0.492468948347731,0.288674693437392,0.477508249869739,1.02939880635179,0.553445446213526,"sKizzo",0.34,"ok" "rankfunc3_signed_64",1,12087,896,12983,34279,93533,1,1,2,15,13750,20514,20221,23060,2.51947256337699,0.209107616908311,12087,0.104553808454156,0.104553808454156,3.45251482708157,8,4,6.62897635369329,8,4,29.8538926118971,0.52076807116205,0.440913902045267,0.522491750130261,0.926420168757314,0.0383180267926828,1,0.920240612617791,0.991812204542169,0.51821369455179,0.5,0.522491750130261,0.995094982293059,1,0.59844219492984,0.672715073368535,0.521595570823467,0.492468948347731,0.288674693437392,0.477508249869739,1.02939880635179,0.553445446213526,"sSolve",3600,"timeout" "rankfunc30_signed_64",1,8945,896,9841,24224,66952,1,1,2,12,9659,14553,14016,15762,2.49966974900925,0.264200792602378,8945,0.132100396301189,0.132100396301189,3.22020119906514,7.14285714285714,3.57142857142857,6.11523219185042,7.14285714285714,3.57142857142857,24.8391280044718,0.526675827458478,0.425528737005616,0.529495309816356,0.909250751517214,0.0477954355359063,1,0.89870115138109,0.995001703497182,0.526848735261042,0.5,0.529495309816356,1.00032830024381,1,0.600767833553501,0.650677014531044,0.528014807378592,0.491651674133298,0.275649615474248,0.470504690183644,1.04166758156683,0.522049025183123,"X2clsQ",3600,"memout" "rankfunc30_signed_64",1,8945,896,9841,24224,66952,1,1,2,12,9659,14553,14016,15762,2.49966974900925,0.264200792602378,8945,0.132100396301189,0.132100396301189,3.22020119906514,7.14285714285714,3.57142857142857,6.11523219185042,7.14285714285714,3.57142857142857,24.8391280044718,0.526675827458478,0.425528737005616,0.529495309816356,0.909250751517214,0.0477954355359063,1,0.89870115138109,0.995001703497182,0.526848735261042,0.5,0.529495309816356,1.00032830024381,1,0.600767833553501,0.650677014531044,0.528014807378592,0.491651674133298,0.275649615474248,0.470504690183644,1.04166758156683,0.522049025183123,"quantor",3600,"memout" "rankfunc30_signed_64",1,8945,896,9841,24224,66952,1,1,2,12,9659,14553,14016,15762,2.49966974900925,0.264200792602378,8945,0.132100396301189,0.132100396301189,3.22020119906514,7.14285714285714,3.57142857142857,6.11523219185042,7.14285714285714,3.57142857142857,24.8391280044718,0.526675827458478,0.425528737005616,0.529495309816356,0.909250751517214,0.0477954355359063,1,0.89870115138109,0.995001703497182,0.526848735261042,0.5,0.529495309816356,1.00032830024381,1,0.600767833553501,0.650677014531044,0.528014807378592,0.491651674133298,0.275649615474248,0.470504690183644,1.04166758156683,0.522049025183123,"QuBE",3600,"memout" "rankfunc30_signed_64",1,8945,896,9841,24224,66952,1,1,2,12,9659,14553,14016,15762,2.49966974900925,0.264200792602378,8945,0.132100396301189,0.132100396301189,3.22020119906514,7.14285714285714,3.57142857142857,6.11523219185042,7.14285714285714,3.57142857142857,24.8391280044718,0.526675827458478,0.425528737005616,0.529495309816356,0.909250751517214,0.0477954355359063,1,0.89870115138109,0.995001703497182,0.526848735261042,0.5,0.529495309816356,1.00032830024381,1,0.600767833553501,0.650677014531044,0.528014807378592,0.491651674133298,0.275649615474248,0.470504690183644,1.04166758156683,0.522049025183123,"sKizzo",0.15,"ok" "rankfunc30_signed_64",1,8945,896,9841,24224,66952,1,1,2,12,9659,14553,14016,15762,2.49966974900925,0.264200792602378,8945,0.132100396301189,0.132100396301189,3.22020119906514,7.14285714285714,3.57142857142857,6.11523219185042,7.14285714285714,3.57142857142857,24.8391280044718,0.526675827458478,0.425528737005616,0.529495309816356,0.909250751517214,0.0477954355359063,1,0.89870115138109,0.995001703497182,0.526848735261042,0.5,0.529495309816356,1.00032830024381,1,0.600767833553501,0.650677014531044,0.528014807378592,0.491651674133298,0.275649615474248,0.470504690183644,1.04166758156683,0.522049025183123,"sSolve",3600,"timeout" "rankfunc31_unsigned_16",1,1546,128,1674,4313,11610,1,1,2,10,1911,2392,2725,2784,2.45444006492001,0.237421748203107,1546,0.118710874101553,0.118710874101553,3.30764635603345,8,4,6.30943847072879,8,4,25.8124191461837,0.523083548664944,0.4328165374677,0.525316455696203,0.915692409023547,0.0440999138673557,1,0.911740490696526,0.987292036463191,0.518640753331929,0.5,0.525316455696203,0.991506528269998,1,0.554602364943195,0.645490377927197,0.524148495224365,0.49027224699531,0.301675977653631,0.474683544303797,1.03030513106494,0.575554409489428,"X2clsQ",3600,"memout" "rankfunc31_unsigned_16",1,1546,128,1674,4313,11610,1,1,2,10,1911,2392,2725,2784,2.45444006492001,0.237421748203107,1546,0.118710874101553,0.118710874101553,3.30764635603345,8,4,6.30943847072879,8,4,25.8124191461837,0.523083548664944,0.4328165374677,0.525316455696203,0.915692409023547,0.0440999138673557,1,0.911740490696526,0.987292036463191,0.518640753331929,0.5,0.525316455696203,0.991506528269998,1,0.554602364943195,0.645490377927197,0.524148495224365,0.49027224699531,0.301675977653631,0.474683544303797,1.03030513106494,0.575554409489428,"quantor",3600,"memout" "rankfunc31_unsigned_16",1,1546,128,1674,4313,11610,1,1,2,10,1911,2392,2725,2784,2.45444006492001,0.237421748203107,1546,0.118710874101553,0.118710874101553,3.30764635603345,8,4,6.30943847072879,8,4,25.8124191461837,0.523083548664944,0.4328165374677,0.525316455696203,0.915692409023547,0.0440999138673557,1,0.911740490696526,0.987292036463191,0.518640753331929,0.5,0.525316455696203,0.991506528269998,1,0.554602364943195,0.645490377927197,0.524148495224365,0.49027224699531,0.301675977653631,0.474683544303797,1.03030513106494,0.575554409489428,"QuBE",3600,"timeout" "rankfunc31_unsigned_16",1,1546,128,1674,4313,11610,1,1,2,10,1911,2392,2725,2784,2.45444006492001,0.237421748203107,1546,0.118710874101553,0.118710874101553,3.30764635603345,8,4,6.30943847072879,8,4,25.8124191461837,0.523083548664944,0.4328165374677,0.525316455696203,0.915692409023547,0.0440999138673557,1,0.911740490696526,0.987292036463191,0.518640753331929,0.5,0.525316455696203,0.991506528269998,1,0.554602364943195,0.645490377927197,0.524148495224365,0.49027224699531,0.301675977653631,0.474683544303797,1.03030513106494,0.575554409489428,"sKizzo",0.01,"ok" "rankfunc31_unsigned_16",1,1546,128,1674,4313,11610,1,1,2,10,1911,2392,2725,2784,2.45444006492001,0.237421748203107,1546,0.118710874101553,0.118710874101553,3.30764635603345,8,4,6.30943847072879,8,4,25.8124191461837,0.523083548664944,0.4328165374677,0.525316455696203,0.915692409023547,0.0440999138673557,1,0.911740490696526,0.987292036463191,0.518640753331929,0.5,0.525316455696203,0.991506528269998,1,0.554602364943195,0.645490377927197,0.524148495224365,0.49027224699531,0.301675977653631,0.474683544303797,1.03030513106494,0.575554409489428,"sSolve",3600,"timeout" "rankfunc32_signed_64",1,5979,512,6491,17468,47231,1,1,2,9,7545,9914,11495,11202,2.49868330661782,0.205175177467369,5979,0.102587588733684,0.102587588733684,3.52549684178093,7,3.5,6.77491911877985,7,3.5,30.5298544907175,0.51548770934344,0.446571107958756,0.516759456549133,0.926397502772416,0.0379411826978044,1,0.939910461247792,1.00325264529472,0.518440291763977,0.5,0.516759456549133,1.0057277455253,1,0.567552095259904,0.64128692466224,0.516098505688946,0.503932134721501,0.249988996236713,0.483240543450867,1.04139404537067,0.484382329111765,"X2clsQ",3600,"timeout" "rankfunc32_signed_64",1,5979,512,6491,17468,47231,1,1,2,9,7545,9914,11495,11202,2.49868330661782,0.205175177467369,5979,0.102587588733684,0.102587588733684,3.52549684178093,7,3.5,6.77491911877985,7,3.5,30.5298544907175,0.51548770934344,0.446571107958756,0.516759456549133,0.926397502772416,0.0379411826978044,1,0.939910461247792,1.00325264529472,0.518440291763977,0.5,0.516759456549133,1.0057277455253,1,0.567552095259904,0.64128692466224,0.516098505688946,0.503932134721501,0.249988996236713,0.483240543450867,1.04139404537067,0.484382329111765,"quantor",3600,"memout" "rankfunc32_signed_64",1,5979,512,6491,17468,47231,1,1,2,9,7545,9914,11495,11202,2.49868330661782,0.205175177467369,5979,0.102587588733684,0.102587588733684,3.52549684178093,7,3.5,6.77491911877985,7,3.5,30.5298544907175,0.51548770934344,0.446571107958756,0.516759456549133,0.926397502772416,0.0379411826978044,1,0.939910461247792,1.00325264529472,0.518440291763977,0.5,0.516759456549133,1.0057277455253,1,0.567552095259904,0.64128692466224,0.516098505688946,0.503932134721501,0.249988996236713,0.483240543450867,1.04139404537067,0.484382329111765,"QuBE",3600,"memout" "rankfunc32_signed_64",1,5979,512,6491,17468,47231,1,1,2,9,7545,9914,11495,11202,2.49868330661782,0.205175177467369,5979,0.102587588733684,0.102587588733684,3.52549684178093,7,3.5,6.77491911877985,7,3.5,30.5298544907175,0.51548770934344,0.446571107958756,0.516759456549133,0.926397502772416,0.0379411826978044,1,0.939910461247792,1.00325264529472,0.518440291763977,0.5,0.516759456549133,1.0057277455253,1,0.567552095259904,0.64128692466224,0.516098505688946,0.503932134721501,0.249988996236713,0.483240543450867,1.04139404537067,0.484382329111765,"sKizzo",0.12,"ok" "rankfunc32_signed_64",1,5979,512,6491,17468,47231,1,1,2,9,7545,9914,11495,11202,2.49868330661782,0.205175177467369,5979,0.102587588733684,0.102587588733684,3.52549684178093,7,3.5,6.77491911877985,7,3.5,30.5298544907175,0.51548770934344,0.446571107958756,0.516759456549133,0.926397502772416,0.0379411826978044,1,0.939910461247792,1.00325264529472,0.518440291763977,0.5,0.516759456549133,1.0057277455253,1,0.567552095259904,0.64128692466224,0.516098505688946,0.503932134721501,0.249988996236713,0.483240543450867,1.04139404537067,0.484382329111765,"sSolve",3600,"timeout" "rankfunc33_unsigned_32",1,1911,128,2039,5498,14843,1,1,2,7,2239,3252,3312,3846,2.51345943979629,0.186249545289196,1911,0.093124772644598,0.093124772644598,3.50171652770966,8,4,6.75232957332025,8,4,30.5808477237049,0.518965168766422,0.446540456780974,0.52037050437803,0.933532389977931,0.0344943744526039,1,0.926911592885889,0.993370979059278,0.516920957407574,0.5,0.52037050437803,0.996060985434328,1,0.591487813750455,0.699527100763914,0.519642732537855,0.493472030659148,0.284557951294397,0.47962949562197,1.02730210217551,0.547603061635558,"X2clsQ",3600,"memout" "rankfunc33_unsigned_32",1,1911,128,2039,5498,14843,1,1,2,7,2239,3252,3312,3846,2.51345943979629,0.186249545289196,1911,0.093124772644598,0.093124772644598,3.50171652770966,8,4,6.75232957332025,8,4,30.5808477237049,0.518965168766422,0.446540456780974,0.52037050437803,0.933532389977931,0.0344943744526039,1,0.926911592885889,0.993370979059278,0.516920957407574,0.5,0.52037050437803,0.996060985434328,1,0.591487813750455,0.699527100763914,0.519642732537855,0.493472030659148,0.284557951294397,0.47962949562197,1.02730210217551,0.547603061635558,"quantor",3600,"memout" "rankfunc33_unsigned_32",1,1911,128,2039,5498,14843,1,1,2,7,2239,3252,3312,3846,2.51345943979629,0.186249545289196,1911,0.093124772644598,0.093124772644598,3.50171652770966,8,4,6.75232957332025,8,4,30.5808477237049,0.518965168766422,0.446540456780974,0.52037050437803,0.933532389977931,0.0344943744526039,1,0.926911592885889,0.993370979059278,0.516920957407574,0.5,0.52037050437803,0.996060985434328,1,0.591487813750455,0.699527100763914,0.519642732537855,0.493472030659148,0.284557951294397,0.47962949562197,1.02730210217551,0.547603061635558,"QuBE",3600,"timeout" "rankfunc33_unsigned_32",1,1911,128,2039,5498,14843,1,1,2,7,2239,3252,3312,3846,2.51345943979629,0.186249545289196,1911,0.093124772644598,0.093124772644598,3.50171652770966,8,4,6.75232957332025,8,4,30.5808477237049,0.518965168766422,0.446540456780974,0.52037050437803,0.933532389977931,0.0344943744526039,1,0.926911592885889,0.993370979059278,0.516920957407574,0.5,0.52037050437803,0.996060985434328,1,0.591487813750455,0.699527100763914,0.519642732537855,0.493472030659148,0.284557951294397,0.47962949562197,1.02730210217551,0.547603061635558,"sKizzo",0.02,"ok" "rankfunc33_unsigned_32",1,1911,128,2039,5498,14843,1,1,2,7,2239,3252,3312,3846,2.51345943979629,0.186249545289196,1911,0.093124772644598,0.093124772644598,3.50171652770966,8,4,6.75232957332025,8,4,30.5808477237049,0.518965168766422,0.446540456780974,0.52037050437803,0.933532389977931,0.0344943744526039,1,0.926911592885889,0.993370979059278,0.516920957407574,0.5,0.52037050437803,0.996060985434328,1,0.591487813750455,0.699527100763914,0.519642732537855,0.493472030659148,0.284557951294397,0.47962949562197,1.02730210217551,0.547603061635558,"sSolve",3600,"timeout" "rankfunc34_signed_32",1,1353,128,1481,3965,10604,1,1,2,5,1777,2183,2509,2680,2.4484237074401,0.225977301387137,1353,0.112988650693569,0.112988650693569,3.43079000675219,7,3.5,6.559081701553,7,3.5,32.1507760532151,0.520841192003018,0.43691059977367,0.522764730119489,0.918884664131812,0.042248208223312,1,0.91997103023719,1.00211441776981,0.523870073154283,0.5,0.522764730119489,1.00581536406446,1,0.550567465321564,0.675914249684741,0.521760535643954,0.499340589828971,0.255193974005514,0.477235269880511,1.04412250984209,0.489101717305152,"X2clsQ",3600,"memout" "rankfunc34_signed_32",1,1353,128,1481,3965,10604,1,1,2,5,1777,2183,2509,2680,2.4484237074401,0.225977301387137,1353,0.112988650693569,0.112988650693569,3.43079000675219,7,3.5,6.559081701553,7,3.5,32.1507760532151,0.520841192003018,0.43691059977367,0.522764730119489,0.918884664131812,0.042248208223312,1,0.91997103023719,1.00211441776981,0.523870073154283,0.5,0.522764730119489,1.00581536406446,1,0.550567465321564,0.675914249684741,0.521760535643954,0.499340589828971,0.255193974005514,0.477235269880511,1.04412250984209,0.489101717305152,"quantor",3600,"memout" "rankfunc34_signed_32",1,1353,128,1481,3965,10604,1,1,2,5,1777,2183,2509,2680,2.4484237074401,0.225977301387137,1353,0.112988650693569,0.112988650693569,3.43079000675219,7,3.5,6.559081701553,7,3.5,32.1507760532151,0.520841192003018,0.43691059977367,0.522764730119489,0.918884664131812,0.042248208223312,1,0.91997103023719,1.00211441776981,0.523870073154283,0.5,0.522764730119489,1.00581536406446,1,0.550567465321564,0.675914249684741,0.521760535643954,0.499340589828971,0.255193974005514,0.477235269880511,1.04412250984209,0.489101717305152,"QuBE",3600,"timeout" "rankfunc34_signed_32",1,1353,128,1481,3965,10604,1,1,2,5,1777,2183,2509,2680,2.4484237074401,0.225977301387137,1353,0.112988650693569,0.112988650693569,3.43079000675219,7,3.5,6.559081701553,7,3.5,32.1507760532151,0.520841192003018,0.43691059977367,0.522764730119489,0.918884664131812,0.042248208223312,1,0.91997103023719,1.00211441776981,0.523870073154283,0.5,0.522764730119489,1.00581536406446,1,0.550567465321564,0.675914249684741,0.521760535643954,0.499340589828971,0.255193974005514,0.477235269880511,1.04412250984209,0.489101717305152,"sKizzo",0.01,"ok" "rankfunc34_signed_32",1,1353,128,1481,3965,10604,1,1,2,5,1777,2183,2509,2680,2.4484237074401,0.225977301387137,1353,0.112988650693569,0.112988650693569,3.43079000675219,7,3.5,6.559081701553,7,3.5,32.1507760532151,0.520841192003018,0.43691059977367,0.522764730119489,0.918884664131812,0.042248208223312,1,0.91997103023719,1.00211441776981,0.523870073154283,0.5,0.522764730119489,1.00581536406446,1,0.550567465321564,0.675914249684741,0.521760535643954,0.499340589828971,0.255193974005514,0.477235269880511,1.04412250984209,0.489101717305152,"sSolve",3600,"timeout" "rankfunc35_unsigned_32",1,2390,256,2646,7519,20207,1,1,2,10,3244,4265,4941,4844,2.41508179279159,0.272376645830563,2390,0.136188322915281,0.136188322915281,3.7260015117158,8,4,7.06500377928949,8,4,33.1531380753138,0.512099767407334,0.437224724105508,0.513464397819263,0.901043679938152,0.0506755084871579,1,0.952744491689215,0.994905945224251,0.510848782051375,0.5,0.513464397819263,0.997557145236967,1,0.56722968479851,0.644234605665647,0.512745660219986,0.509896423720706,0.275869259239952,0.486535602180737,1.0464687168326,0.538023586823912,"X2clsQ",3600,"memout" "rankfunc35_unsigned_32",1,2390,256,2646,7519,20207,1,1,2,10,3244,4265,4941,4844,2.41508179279159,0.272376645830563,2390,0.136188322915281,0.136188322915281,3.7260015117158,8,4,7.06500377928949,8,4,33.1531380753138,0.512099767407334,0.437224724105508,0.513464397819263,0.901043679938152,0.0506755084871579,1,0.952744491689215,0.994905945224251,0.510848782051375,0.5,0.513464397819263,0.997557145236967,1,0.56722968479851,0.644234605665647,0.512745660219986,0.509896423720706,0.275869259239952,0.486535602180737,1.0464687168326,0.538023586823912,"quantor",3600,"memout" "rankfunc35_unsigned_32",1,2390,256,2646,7519,20207,1,1,2,10,3244,4265,4941,4844,2.41508179279159,0.272376645830563,2390,0.136188322915281,0.136188322915281,3.7260015117158,8,4,7.06500377928949,8,4,33.1531380753138,0.512099767407334,0.437224724105508,0.513464397819263,0.901043679938152,0.0506755084871579,1,0.952744491689215,0.994905945224251,0.510848782051375,0.5,0.513464397819263,0.997557145236967,1,0.56722968479851,0.644234605665647,0.512745660219986,0.509896423720706,0.275869259239952,0.486535602180737,1.0464687168326,0.538023586823912,"QuBE",3600,"timeout" "rankfunc35_unsigned_32",1,2390,256,2646,7519,20207,1,1,2,10,3244,4265,4941,4844,2.41508179279159,0.272376645830563,2390,0.136188322915281,0.136188322915281,3.7260015117158,8,4,7.06500377928949,8,4,33.1531380753138,0.512099767407334,0.437224724105508,0.513464397819263,0.901043679938152,0.0506755084871579,1,0.952744491689215,0.994905945224251,0.510848782051375,0.5,0.513464397819263,0.997557145236967,1,0.56722968479851,0.644234605665647,0.512745660219986,0.509896423720706,0.275869259239952,0.486535602180737,1.0464687168326,0.538023586823912,"sKizzo",0.02,"ok" "rankfunc35_unsigned_32",1,2390,256,2646,7519,20207,1,1,2,10,3244,4265,4941,4844,2.41508179279159,0.272376645830563,2390,0.136188322915281,0.136188322915281,3.7260015117158,8,4,7.06500377928949,8,4,33.1531380753138,0.512099767407334,0.437224724105508,0.513464397819263,0.901043679938152,0.0506755084871579,1,0.952744491689215,0.994905945224251,0.510848782051375,0.5,0.513464397819263,0.997557145236967,1,0.56722968479851,0.644234605665647,0.512745660219986,0.509896423720706,0.275869259239952,0.486535602180737,1.0464687168326,0.538023586823912,"sSolve",3600,"timeout" "rankfunc36_signed_64",1,1739,128,1867,5131,13591,1,1,2,4,2361,2766,3332,3456,2.34788540245566,0.300916000779575,1739,0.150458000389788,0.150458000389788,3.50133904659882,12.0625,6.03125,6.58918050348152,12.0625,6.03125,28.9649223691777,0.519019939665955,0.424177764697226,0.521457624304806,0.890558548341367,0.0568022956368185,1,0.926708250637936,0.951639004078275,0.496239414262449,0.5,0.521457624304806,0.956108573751197,1,0.539076203469109,0.673552913662054,0.520165379514783,0.48282516773437,0.439205232467431,0.478542375695194,1.00623245410289,0.844356909868026,"X2clsQ",3600,"memout" "rankfunc36_signed_64",1,1739,128,1867,5131,13591,1,1,2,4,2361,2766,3332,3456,2.34788540245566,0.300916000779575,1739,0.150458000389788,0.150458000389788,3.50133904659882,12.0625,6.03125,6.58918050348152,12.0625,6.03125,28.9649223691777,0.519019939665955,0.424177764697226,0.521457624304806,0.890558548341367,0.0568022956368185,1,0.926708250637936,0.951639004078275,0.496239414262449,0.5,0.521457624304806,0.956108573751197,1,0.539076203469109,0.673552913662054,0.520165379514783,0.48282516773437,0.439205232467431,0.478542375695194,1.00623245410289,0.844356909868026,"quantor",3600,"memout" "rankfunc36_signed_64",1,1739,128,1867,5131,13591,1,1,2,4,2361,2766,3332,3456,2.34788540245566,0.300916000779575,1739,0.150458000389788,0.150458000389788,3.50133904659882,12.0625,6.03125,6.58918050348152,12.0625,6.03125,28.9649223691777,0.519019939665955,0.424177764697226,0.521457624304806,0.890558548341367,0.0568022956368185,1,0.926708250637936,0.951639004078275,0.496239414262449,0.5,0.521457624304806,0.956108573751197,1,0.539076203469109,0.673552913662054,0.520165379514783,0.48282516773437,0.439205232467431,0.478542375695194,1.00623245410289,0.844356909868026,"QuBE",3600,"timeout" "rankfunc36_signed_64",1,1739,128,1867,5131,13591,1,1,2,4,2361,2766,3332,3456,2.34788540245566,0.300916000779575,1739,0.150458000389788,0.150458000389788,3.50133904659882,12.0625,6.03125,6.58918050348152,12.0625,6.03125,28.9649223691777,0.519019939665955,0.424177764697226,0.521457624304806,0.890558548341367,0.0568022956368185,1,0.926708250637936,0.951639004078275,0.496239414262449,0.5,0.521457624304806,0.956108573751197,1,0.539076203469109,0.673552913662054,0.520165379514783,0.48282516773437,0.439205232467431,0.478542375695194,1.00623245410289,0.844356909868026,"sKizzo",0.02,"ok" "rankfunc36_signed_64",1,1739,128,1867,5131,13591,1,1,2,4,2361,2766,3332,3456,2.34788540245566,0.300916000779575,1739,0.150458000389788,0.150458000389788,3.50133904659882,12.0625,6.03125,6.58918050348152,12.0625,6.03125,28.9649223691777,0.519019939665955,0.424177764697226,0.521457624304806,0.890558548341367,0.0568022956368185,1,0.926708250637936,0.951639004078275,0.496239414262449,0.5,0.521457624304806,0.956108573751197,1,0.539076203469109,0.673552913662054,0.520165379514783,0.48282516773437,0.439205232467431,0.478542375695194,1.00623245410289,0.844356909868026,"sSolve",3600,"timeout" "rankfunc37_unsigned_32",1,261,64,325,805,2149,1,1,2,1,416,388,513,517,1.87453416149068,0.795031055900621,261,0.397515527950311,0.397515527950311,3.06461538461538,10,5,5.14461538461538,10,5,16.1072796934866,0.536528617961843,0.314564913913448,0.552021206096753,0.722463139635733,0.148906468124709,1,0.863833477883782,0.874370864823521,0.482671259375741,0.5,0.552021206096753,0.899618852036832,1,0.481987577639752,0.642236024844721,0.542919628212138,0.460230974361553,0.444231820667031,0.447978793903247,1.00689288529579,0.818227593152065,"X2clsQ",3600,"memout" "rankfunc37_unsigned_32",1,261,64,325,805,2149,1,1,2,1,416,388,513,517,1.87453416149068,0.795031055900621,261,0.397515527950311,0.397515527950311,3.06461538461538,10,5,5.14461538461538,10,5,16.1072796934866,0.536528617961843,0.314564913913448,0.552021206096753,0.722463139635733,0.148906468124709,1,0.863833477883782,0.874370864823521,0.482671259375741,0.5,0.552021206096753,0.899618852036832,1,0.481987577639752,0.642236024844721,0.542919628212138,0.460230974361553,0.444231820667031,0.447978793903247,1.00689288529579,0.818227593152065,"quantor",2.3,"ok" "rankfunc37_unsigned_32",1,261,64,325,805,2149,1,1,2,1,416,388,513,517,1.87453416149068,0.795031055900621,261,0.397515527950311,0.397515527950311,3.06461538461538,10,5,5.14461538461538,10,5,16.1072796934866,0.536528617961843,0.314564913913448,0.552021206096753,0.722463139635733,0.148906468124709,1,0.863833477883782,0.874370864823521,0.482671259375741,0.5,0.552021206096753,0.899618852036832,1,0.481987577639752,0.642236024844721,0.542919628212138,0.460230974361553,0.444231820667031,0.447978793903247,1.00689288529579,0.818227593152065,"QuBE",3600,"timeout" "rankfunc37_unsigned_32",1,261,64,325,805,2149,1,1,2,1,416,388,513,517,1.87453416149068,0.795031055900621,261,0.397515527950311,0.397515527950311,3.06461538461538,10,5,5.14461538461538,10,5,16.1072796934866,0.536528617961843,0.314564913913448,0.552021206096753,0.722463139635733,0.148906468124709,1,0.863833477883782,0.874370864823521,0.482671259375741,0.5,0.552021206096753,0.899618852036832,1,0.481987577639752,0.642236024844721,0.542919628212138,0.460230974361553,0.444231820667031,0.447978793903247,1.00689288529579,0.818227593152065,"sKizzo",3600,"memout" "rankfunc37_unsigned_32",1,261,64,325,805,2149,1,1,2,1,416,388,513,517,1.87453416149068,0.795031055900621,261,0.397515527950311,0.397515527950311,3.06461538461538,10,5,5.14461538461538,10,5,16.1072796934866,0.536528617961843,0.314564913913448,0.552021206096753,0.722463139635733,0.148906468124709,1,0.863833477883782,0.874370864823521,0.482671259375741,0.5,0.552021206096753,0.899618852036832,1,0.481987577639752,0.642236024844721,0.542919628212138,0.460230974361553,0.444231820667031,0.447978793903247,1.00689288529579,0.818227593152065,"sSolve",3600,"timeout" "rankfunc38_signed_32",1,263,64,327,813,2173,1,1,2,1,416,396,517,522,1.87576875768758,0.797047970479705,263,0.398523985239852,0.398523985239852,3.08256880733945,10.125,5.0625,5.1743119266055,10.125,5.0625,16.2281368821293,0.53612517257248,0.314772204325817,0.551475409836066,0.721888412017167,0.149102623101703,1,0.865236051502146,0.872573705290823,0.481202941737431,0.5,0.551475409836066,0.897557075017543,1,0.487084870848708,0.642066420664207,0.542455381287182,0.459950605300985,0.447657517577069,0.448524590163934,1.00525847423348,0.825243020937188,"X2clsQ",3600,"memout" "rankfunc38_signed_32",1,263,64,327,813,2173,1,1,2,1,416,396,517,522,1.87576875768758,0.797047970479705,263,0.398523985239852,0.398523985239852,3.08256880733945,10.125,5.0625,5.1743119266055,10.125,5.0625,16.2281368821293,0.53612517257248,0.314772204325817,0.551475409836066,0.721888412017167,0.149102623101703,1,0.865236051502146,0.872573705290823,0.481202941737431,0.5,0.551475409836066,0.897557075017543,1,0.487084870848708,0.642066420664207,0.542455381287182,0.459950605300985,0.447657517577069,0.448524590163934,1.00525847423348,0.825243020937188,"quantor",3600,"memout" "rankfunc38_signed_32",1,263,64,327,813,2173,1,1,2,1,416,396,517,522,1.87576875768758,0.797047970479705,263,0.398523985239852,0.398523985239852,3.08256880733945,10.125,5.0625,5.1743119266055,10.125,5.0625,16.2281368821293,0.53612517257248,0.314772204325817,0.551475409836066,0.721888412017167,0.149102623101703,1,0.865236051502146,0.872573705290823,0.481202941737431,0.5,0.551475409836066,0.897557075017543,1,0.487084870848708,0.642066420664207,0.542455381287182,0.459950605300985,0.447657517577069,0.448524590163934,1.00525847423348,0.825243020937188,"QuBE",3600,"timeout" "rankfunc38_signed_32",1,263,64,327,813,2173,1,1,2,1,416,396,517,522,1.87576875768758,0.797047970479705,263,0.398523985239852,0.398523985239852,3.08256880733945,10.125,5.0625,5.1743119266055,10.125,5.0625,16.2281368821293,0.53612517257248,0.314772204325817,0.551475409836066,0.721888412017167,0.149102623101703,1,0.865236051502146,0.872573705290823,0.481202941737431,0.5,0.551475409836066,0.897557075017543,1,0.487084870848708,0.642066420664207,0.542455381287182,0.459950605300985,0.447657517577069,0.448524590163934,1.00525847423348,0.825243020937188,"sKizzo",3600,"memout" "rankfunc38_signed_32",1,263,64,327,813,2173,1,1,2,1,416,396,517,522,1.87576875768758,0.797047970479705,263,0.398523985239852,0.398523985239852,3.08256880733945,10.125,5.0625,5.1743119266055,10.125,5.0625,16.2281368821293,0.53612517257248,0.314772204325817,0.551475409836066,0.721888412017167,0.149102623101703,1,0.865236051502146,0.872573705290823,0.481202941737431,0.5,0.551475409836066,0.897557075017543,1,0.487084870848708,0.642066420664207,0.542455381287182,0.459950605300985,0.447657517577069,0.448524590163934,1.00525847423348,0.825243020937188,"sSolve",3600,"timeout" "rankfunc39_unsigned_64",1,5084,640,5724,14673,39875,1,1,2,9,6205,8459,8569,9737,2.36863627070129,0.348940230355074,5084,0.174470115177537,0.174470115177537,3.29996505939902,8,4,6.15269042627533,8,4,24.3076317859953,0.526294670846395,0.409504702194357,0.530168321104877,0.87801391403793,0.0642006269592477,1,0.900076241303726,0.981320067382087,0.520264812590486,0.5,0.530168321104877,0.988542809589518,1,0.576501056362026,0.663599809173312,0.528098619857966,0.492686005220826,0.306793514672384,0.469831678895123,1.04404442528338,0.580939815284685,"X2clsQ",3600,"memout" "rankfunc39_unsigned_64",1,5084,640,5724,14673,39875,1,1,2,9,6205,8459,8569,9737,2.36863627070129,0.348940230355074,5084,0.174470115177537,0.174470115177537,3.29996505939902,8,4,6.15269042627533,8,4,24.3076317859953,0.526294670846395,0.409504702194357,0.530168321104877,0.87801391403793,0.0642006269592477,1,0.900076241303726,0.981320067382087,0.520264812590486,0.5,0.530168321104877,0.988542809589518,1,0.576501056362026,0.663599809173312,0.528098619857966,0.492686005220826,0.306793514672384,0.469831678895123,1.04404442528338,0.580939815284685,"quantor",3600,"memout" "rankfunc39_unsigned_64",1,5084,640,5724,14673,39875,1,1,2,9,6205,8459,8569,9737,2.36863627070129,0.348940230355074,5084,0.174470115177537,0.174470115177537,3.29996505939902,8,4,6.15269042627533,8,4,24.3076317859953,0.526294670846395,0.409504702194357,0.530168321104877,0.87801391403793,0.0642006269592477,1,0.900076241303726,0.981320067382087,0.520264812590486,0.5,0.530168321104877,0.988542809589518,1,0.576501056362026,0.663599809173312,0.528098619857966,0.492686005220826,0.306793514672384,0.469831678895123,1.04404442528338,0.580939815284685,"QuBE",3600,"memout" "rankfunc39_unsigned_64",1,5084,640,5724,14673,39875,1,1,2,9,6205,8459,8569,9737,2.36863627070129,0.348940230355074,5084,0.174470115177537,0.174470115177537,3.29996505939902,8,4,6.15269042627533,8,4,24.3076317859953,0.526294670846395,0.409504702194357,0.530168321104877,0.87801391403793,0.0642006269592477,1,0.900076241303726,0.981320067382087,0.520264812590486,0.5,0.530168321104877,0.988542809589518,1,0.576501056362026,0.663599809173312,0.528098619857966,0.492686005220826,0.306793514672384,0.469831678895123,1.04404442528338,0.580939815284685,"sKizzo",0.09,"ok" "rankfunc39_unsigned_64",1,5084,640,5724,14673,39875,1,1,2,9,6205,8459,8569,9737,2.36863627070129,0.348940230355074,5084,0.174470115177537,0.174470115177537,3.29996505939902,8,4,6.15269042627533,8,4,24.3076317859953,0.526294670846395,0.409504702194357,0.530168321104877,0.87801391403793,0.0642006269592477,1,0.900076241303726,0.981320067382087,0.520264812590486,0.5,0.530168321104877,0.988542809589518,1,0.576501056362026,0.663599809173312,0.528098619857966,0.492686005220826,0.306793514672384,0.469831678895123,1.04404442528338,0.580939815284685,"sSolve",3600,"timeout" "rankfunc4_unsigned_16",1,245,32,277,679,1837,1,1,2,2,325,352,420,441,2.04565537555228,0.65979381443299,245,0.329896907216495,0.329896907216495,3.10469314079422,14,7,5.40072202166065,14,7,16.2040816326531,0.531845400108873,0.346216657593903,0.542116630669547,0.770726714431935,0.121937942297224,1,0.880245649948823,0.854883183540155,0.463446391076844,0.5,0.542116630669546,0.871393060806718,1,0.518409425625921,0.649484536082474,0.536267823930564,0.445796272631805,0.601053936763794,0.457883369330454,0.961322710902543,1.12080924855491,"X2clsQ",3600,"memout" "rankfunc4_unsigned_16",1,245,32,277,679,1837,1,1,2,2,325,352,420,441,2.04565537555228,0.65979381443299,245,0.329896907216495,0.329896907216495,3.10469314079422,14,7,5.40072202166065,14,7,16.2040816326531,0.531845400108873,0.346216657593903,0.542116630669547,0.770726714431935,0.121937942297224,1,0.880245649948823,0.854883183540155,0.463446391076844,0.5,0.542116630669546,0.871393060806718,1,0.518409425625921,0.649484536082474,0.536267823930564,0.445796272631805,0.601053936763794,0.457883369330454,0.961322710902543,1.12080924855491,"quantor",2.3,"ok" "rankfunc4_unsigned_16",1,245,32,277,679,1837,1,1,2,2,325,352,420,441,2.04565537555228,0.65979381443299,245,0.329896907216495,0.329896907216495,3.10469314079422,14,7,5.40072202166065,14,7,16.2040816326531,0.531845400108873,0.346216657593903,0.542116630669547,0.770726714431935,0.121937942297224,1,0.880245649948823,0.854883183540155,0.463446391076844,0.5,0.542116630669546,0.871393060806718,1,0.518409425625921,0.649484536082474,0.536267823930564,0.445796272631805,0.601053936763794,0.457883369330454,0.961322710902543,1.12080924855491,"QuBE",3600,"timeout" "rankfunc4_unsigned_16",1,245,32,277,679,1837,1,1,2,2,325,352,420,441,2.04565537555228,0.65979381443299,245,0.329896907216495,0.329896907216495,3.10469314079422,14,7,5.40072202166065,14,7,16.2040816326531,0.531845400108873,0.346216657593903,0.542116630669547,0.770726714431935,0.121937942297224,1,0.880245649948823,0.854883183540155,0.463446391076844,0.5,0.542116630669546,0.871393060806718,1,0.518409425625921,0.649484536082474,0.536267823930564,0.445796272631805,0.601053936763794,0.457883369330454,0.961322710902543,1.12080924855491,"sKizzo",0,"ok" "rankfunc4_unsigned_16",1,245,32,277,679,1837,1,1,2,2,325,352,420,441,2.04565537555228,0.65979381443299,245,0.329896907216495,0.329896907216495,3.10469314079422,14,7,5.40072202166065,14,7,16.2040816326531,0.531845400108873,0.346216657593903,0.542116630669547,0.770726714431935,0.121937942297224,1,0.880245649948823,0.854883183540155,0.463446391076844,0.5,0.542116630669546,0.871393060806718,1,0.518409425625921,0.649484536082474,0.536267823930564,0.445796272631805,0.601053936763794,0.457883369330454,0.961322710902543,1.12080924855491,"sSolve",3600,"timeout" "rankfunc40_unsigned_64",1,2249,256,2505,6784,18033,1,1,2,4,3130,3650,4090,4730,2.35627948113208,0.30188679245283,2249,0.150943396226415,0.150943396226415,3.42275449101796,8,4,6.43672654690619,8,4,27.2610048910627,0.524538346364997,0.418676870182443,0.527682202064435,0.891743313246643,0.0567847834525592,1,0.906438312718046,0.98733136278465,0.520997187681484,0.5,0.527682202064435,0.993248999414336,1,0.538030660377358,0.697228773584906,0.52601563877947,0.494409088108377,0.294549944147216,0.472317797935565,1.0430915628424,0.559964233821393,"X2clsQ",3600,"memout" "rankfunc40_unsigned_64",1,2249,256,2505,6784,18033,1,1,2,4,3130,3650,4090,4730,2.35627948113208,0.30188679245283,2249,0.150943396226415,0.150943396226415,3.42275449101796,8,4,6.43672654690619,8,4,27.2610048910627,0.524538346364997,0.418676870182443,0.527682202064435,0.891743313246643,0.0567847834525592,1,0.906438312718046,0.98733136278465,0.520997187681484,0.5,0.527682202064435,0.993248999414336,1,0.538030660377358,0.697228773584906,0.52601563877947,0.494409088108377,0.294549944147216,0.472317797935565,1.0430915628424,0.559964233821393,"quantor",3600,"memout" "rankfunc40_unsigned_64",1,2249,256,2505,6784,18033,1,1,2,4,3130,3650,4090,4730,2.35627948113208,0.30188679245283,2249,0.150943396226415,0.150943396226415,3.42275449101796,8,4,6.43672654690619,8,4,27.2610048910627,0.524538346364997,0.418676870182443,0.527682202064435,0.891743313246643,0.0567847834525592,1,0.906438312718046,0.98733136278465,0.520997187681484,0.5,0.527682202064435,0.993248999414336,1,0.538030660377358,0.697228773584906,0.52601563877947,0.494409088108377,0.294549944147216,0.472317797935565,1.0430915628424,0.559964233821393,"QuBE",3600,"timeout" "rankfunc40_unsigned_64",1,2249,256,2505,6784,18033,1,1,2,4,3130,3650,4090,4730,2.35627948113208,0.30188679245283,2249,0.150943396226415,0.150943396226415,3.42275449101796,8,4,6.43672654690619,8,4,27.2610048910627,0.524538346364997,0.418676870182443,0.527682202064435,0.891743313246643,0.0567847834525592,1,0.906438312718046,0.98733136278465,0.520997187681484,0.5,0.527682202064435,0.993248999414336,1,0.538030660377358,0.697228773584906,0.52601563877947,0.494409088108377,0.294549944147216,0.472317797935565,1.0430915628424,0.559964233821393,"sKizzo",3600,"memout" "rankfunc40_unsigned_64",1,2249,256,2505,6784,18033,1,1,2,4,3130,3650,4090,4730,2.35627948113208,0.30188679245283,2249,0.150943396226415,0.150943396226415,3.42275449101796,8,4,6.43672654690619,8,4,27.2610048910627,0.524538346364997,0.418676870182443,0.527682202064435,0.891743313246643,0.0567847834525592,1,0.906438312718046,0.98733136278465,0.520997187681484,0.5,0.527682202064435,0.993248999414336,1,0.538030660377358,0.697228773584906,0.52601563877947,0.494409088108377,0.294549944147216,0.472317797935565,1.0430915628424,0.559964233821393,"sSolve",3600,"timeout" "rankfunc41_signed_32",1,3120,320,3440,8787,23875,1,1,2,12,3612,5163,5067,5862,2.43302606122681,0.284055991806077,3120,0.142027995903039,0.142027995903039,3.28343023255814,7.8,3.9,6.20406976744186,7.8,3.9,27.4628205128205,0.526910994764398,0.420816753926702,0.530052855605968,0.900794912559618,0.0522722513089005,1,0.89785373608903,0.987297086857296,0.523319640220164,0.5,0.530052855605968,0.993184134360605,1,0.587572550358484,0.667121884602253,0.528395279975251,0.489568282956713,0.296459981438105,0.469947144394032,1.03809029504841,0.561057209769154,"X2clsQ",3600,"memout" "rankfunc41_signed_32",1,3120,320,3440,8787,23875,1,1,2,12,3612,5163,5067,5862,2.43302606122681,0.284055991806077,3120,0.142027995903039,0.142027995903039,3.28343023255814,7.8,3.9,6.20406976744186,7.8,3.9,27.4628205128205,0.526910994764398,0.420816753926702,0.530052855605968,0.900794912559618,0.0522722513089005,1,0.89785373608903,0.987297086857296,0.523319640220164,0.5,0.530052855605968,0.993184134360605,1,0.587572550358484,0.667121884602253,0.528395279975251,0.489568282956713,0.296459981438105,0.469947144394032,1.03809029504841,0.561057209769154,"quantor",3600,"memout" "rankfunc41_signed_32",1,3120,320,3440,8787,23875,1,1,2,12,3612,5163,5067,5862,2.43302606122681,0.284055991806077,3120,0.142027995903039,0.142027995903039,3.28343023255814,7.8,3.9,6.20406976744186,7.8,3.9,27.4628205128205,0.526910994764398,0.420816753926702,0.530052855605968,0.900794912559618,0.0522722513089005,1,0.89785373608903,0.987297086857296,0.523319640220164,0.5,0.530052855605968,0.993184134360605,1,0.587572550358484,0.667121884602253,0.528395279975251,0.489568282956713,0.296459981438105,0.469947144394032,1.03809029504841,0.561057209769154,"QuBE",3600,"timeout" "rankfunc41_signed_32",1,3120,320,3440,8787,23875,1,1,2,12,3612,5163,5067,5862,2.43302606122681,0.284055991806077,3120,0.142027995903039,0.142027995903039,3.28343023255814,7.8,3.9,6.20406976744186,7.8,3.9,27.4628205128205,0.526910994764398,0.420816753926702,0.530052855605968,0.900794912559618,0.0522722513089005,1,0.89785373608903,0.987297086857296,0.523319640220164,0.5,0.530052855605968,0.993184134360605,1,0.587572550358484,0.667121884602253,0.528395279975251,0.489568282956713,0.296459981438105,0.469947144394032,1.03809029504841,0.561057209769154,"sKizzo",0.04,"ok" "rankfunc41_signed_32",1,3120,320,3440,8787,23875,1,1,2,12,3612,5163,5067,5862,2.43302606122681,0.284055991806077,3120,0.142027995903039,0.142027995903039,3.28343023255814,7.8,3.9,6.20406976744186,7.8,3.9,27.4628205128205,0.526910994764398,0.420816753926702,0.530052855605968,0.900794912559618,0.0522722513089005,1,0.89785373608903,0.987297086857296,0.523319640220164,0.5,0.530052855605968,0.993184134360605,1,0.587572550358484,0.667121884602253,0.528395279975251,0.489568282956713,0.296459981438105,0.469947144394032,1.03809029504841,0.561057209769154,"sSolve",3600,"timeout" "rankfunc42_unsigned_16",1,1731,192,1923,4926,13375,1,1,2,10,2062,2854,2782,3317,2.40336987413723,0.311814859926918,1731,0.155907429963459,0.155907429963459,3.29277171086843,8,4,6.18616744669787,8,4,24.7983824378972,0.526579439252336,0.416,0.530027873975843,0.890955558710777,0.0574205607476636,1,0.899048700837711,0.9833394126889,0.521197298304151,0.5,0.530027873975843,0.989779052224624,1,0.579374746244417,0.673365814047909,0.528198619814389,0.490295136027288,0.305068612675498,0.469972126024157,1.03919818088366,0.577564198828653,"X2clsQ",3600,"memout" "rankfunc42_unsigned_16",1,1731,192,1923,4926,13375,1,1,2,10,2062,2854,2782,3317,2.40336987413723,0.311814859926918,1731,0.155907429963459,0.155907429963459,3.29277171086843,8,4,6.18616744669787,8,4,24.7983824378972,0.526579439252336,0.416,0.530027873975843,0.890955558710777,0.0574205607476636,1,0.899048700837711,0.9833394126889,0.521197298304151,0.5,0.530027873975843,0.989779052224624,1,0.579374746244417,0.673365814047909,0.528198619814389,0.490295136027288,0.305068612675498,0.469972126024157,1.03919818088366,0.577564198828653,"quantor",3600,"memout" "rankfunc42_unsigned_16",1,1731,192,1923,4926,13375,1,1,2,10,2062,2854,2782,3317,2.40336987413723,0.311814859926918,1731,0.155907429963459,0.155907429963459,3.29277171086843,8,4,6.18616744669787,8,4,24.7983824378972,0.526579439252336,0.416,0.530027873975843,0.890955558710777,0.0574205607476636,1,0.899048700837711,0.9833394126889,0.521197298304151,0.5,0.530027873975843,0.989779052224624,1,0.579374746244417,0.673365814047909,0.528198619814389,0.490295136027288,0.305068612675498,0.469972126024157,1.03919818088366,0.577564198828653,"QuBE",3600,"timeout" "rankfunc42_unsigned_16",1,1731,192,1923,4926,13375,1,1,2,10,2062,2854,2782,3317,2.40336987413723,0.311814859926918,1731,0.155907429963459,0.155907429963459,3.29277171086843,8,4,6.18616744669787,8,4,24.7983824378972,0.526579439252336,0.416,0.530027873975843,0.890955558710777,0.0574205607476636,1,0.899048700837711,0.9833394126889,0.521197298304151,0.5,0.530027873975843,0.989779052224624,1,0.579374746244417,0.673365814047909,0.528198619814389,0.490295136027288,0.305068612675498,0.469972126024157,1.03919818088366,0.577564198828653,"sKizzo",0.02,"ok" "rankfunc42_unsigned_16",1,1731,192,1923,4926,13375,1,1,2,10,2062,2854,2782,3317,2.40336987413723,0.311814859926918,1731,0.155907429963459,0.155907429963459,3.29277171086843,8,4,6.18616744669787,8,4,24.7983824378972,0.526579439252336,0.416,0.530027873975843,0.890955558710777,0.0574205607476636,1,0.899048700837711,0.9833394126889,0.521197298304151,0.5,0.530027873975843,0.989779052224624,1,0.579374746244417,0.673365814047909,0.528198619814389,0.490295136027288,0.305068612675498,0.469972126024157,1.03919818088366,0.577564198828653,"sSolve",3600,"timeout" "rankfunc43_signed_64",1,5670,512,6182,14648,39797,1,1,2,9,6337,8302,8533,9703,2.4547378481704,0.262151829601311,5670,0.131075914800655,0.131075914800655,3.03283726949207,7.5,3.75,5.75509543836946,7.5,3.75,21.7153439153439,0.528884086740206,0.42287107068372,0.53196874043997,0.908779931584949,0.0482448425760736,1,0.890773470163436,0.985097136929352,0.524040883143328,0.5,0.53196874043997,0.990842599128422,1,0.566766794101584,0.662411250682687,0.530348232436571,0.484427376294912,0.306023444306571,0.46803125956003,1.03146077530622,0.577023596176822,"X2clsQ",3600,"timeout" "rankfunc43_signed_64",1,5670,512,6182,14648,39797,1,1,2,9,6337,8302,8533,9703,2.4547378481704,0.262151829601311,5670,0.131075914800655,0.131075914800655,3.03283726949207,7.5,3.75,5.75509543836946,7.5,3.75,21.7153439153439,0.528884086740206,0.42287107068372,0.53196874043997,0.908779931584949,0.0482448425760736,1,0.890773470163436,0.985097136929352,0.524040883143328,0.5,0.53196874043997,0.990842599128422,1,0.566766794101584,0.662411250682687,0.530348232436571,0.484427376294912,0.306023444306571,0.46803125956003,1.03146077530622,0.577023596176822,"quantor",3600,"memout" "rankfunc43_signed_64",1,5670,512,6182,14648,39797,1,1,2,9,6337,8302,8533,9703,2.4547378481704,0.262151829601311,5670,0.131075914800655,0.131075914800655,3.03283726949207,7.5,3.75,5.75509543836946,7.5,3.75,21.7153439153439,0.528884086740206,0.42287107068372,0.53196874043997,0.908779931584949,0.0482448425760736,1,0.890773470163436,0.985097136929352,0.524040883143328,0.5,0.53196874043997,0.990842599128422,1,0.566766794101584,0.662411250682687,0.530348232436571,0.484427376294912,0.306023444306571,0.46803125956003,1.03146077530622,0.577023596176822,"QuBE",3600,"timeout" "rankfunc43_signed_64",1,5670,512,6182,14648,39797,1,1,2,9,6337,8302,8533,9703,2.4547378481704,0.262151829601311,5670,0.131075914800655,0.131075914800655,3.03283726949207,7.5,3.75,5.75509543836946,7.5,3.75,21.7153439153439,0.528884086740206,0.42287107068372,0.53196874043997,0.908779931584949,0.0482448425760736,1,0.890773470163436,0.985097136929352,0.524040883143328,0.5,0.53196874043997,0.990842599128422,1,0.566766794101584,0.662411250682687,0.530348232436571,0.484427376294912,0.306023444306571,0.46803125956003,1.03146077530622,0.577023596176822,"sKizzo",0.13,"ok" "rankfunc43_signed_64",1,5670,512,6182,14648,39797,1,1,2,9,6337,8302,8533,9703,2.4547378481704,0.262151829601311,5670,0.131075914800655,0.131075914800655,3.03283726949207,7.5,3.75,5.75509543836946,7.5,3.75,21.7153439153439,0.528884086740206,0.42287107068372,0.53196874043997,0.908779931584949,0.0482448425760736,1,0.890773470163436,0.985097136929352,0.524040883143328,0.5,0.53196874043997,0.990842599128422,1,0.566766794101584,0.662411250682687,0.530348232436571,0.484427376294912,0.306023444306571,0.46803125956003,1.03146077530622,0.577023596176822,"sSolve",3600,"timeout" "rankfunc44_unsigned_32",1,1686,192,1878,4347,11979,1,1,2,5,1701,2641,2343,2867,2.40234644582471,0.353347135955832,1686,0.176673567977916,0.176673567977916,2.99627263045793,8,4,5.58359957401491,8,4,19.7319098457888,0.530261290591869,0.40562651306453,0.534712247438476,0.879093198992443,0.0641121963436013,1,0.885862720403023,0.971052544800376,0.51923368861106,0.5,0.534712247438475,0.979203456528949,1,0.60754543363239,0.659535311709225,0.532334314512532,0.482770321852807,0.335028097404335,0.465287752561524,1.03229793597021,0.629356568364611,"X2clsQ",3600,"memout" "rankfunc44_unsigned_32",1,1686,192,1878,4347,11979,1,1,2,5,1701,2641,2343,2867,2.40234644582471,0.353347135955832,1686,0.176673567977916,0.176673567977916,2.99627263045793,8,4,5.58359957401491,8,4,19.7319098457888,0.530261290591869,0.40562651306453,0.534712247438476,0.879093198992443,0.0641121963436013,1,0.885862720403023,0.971052544800376,0.51923368861106,0.5,0.534712247438475,0.979203456528949,1,0.60754543363239,0.659535311709225,0.532334314512532,0.482770321852807,0.335028097404335,0.465287752561524,1.03229793597021,0.629356568364611,"quantor",3600,"memout" "rankfunc44_unsigned_32",1,1686,192,1878,4347,11979,1,1,2,5,1701,2641,2343,2867,2.40234644582471,0.353347135955832,1686,0.176673567977916,0.176673567977916,2.99627263045793,8,4,5.58359957401491,8,4,19.7319098457888,0.530261290591869,0.40562651306453,0.534712247438476,0.879093198992443,0.0641121963436013,1,0.885862720403023,0.971052544800376,0.51923368861106,0.5,0.534712247438475,0.979203456528949,1,0.60754543363239,0.659535311709225,0.532334314512532,0.482770321852807,0.335028097404335,0.465287752561524,1.03229793597021,0.629356568364611,"QuBE",3600,"timeout" "rankfunc44_unsigned_32",1,1686,192,1878,4347,11979,1,1,2,5,1701,2641,2343,2867,2.40234644582471,0.353347135955832,1686,0.176673567977916,0.176673567977916,2.99627263045793,8,4,5.58359957401491,8,4,19.7319098457888,0.530261290591869,0.40562651306453,0.534712247438476,0.879093198992443,0.0641121963436013,1,0.885862720403023,0.971052544800376,0.51923368861106,0.5,0.534712247438475,0.979203456528949,1,0.60754543363239,0.659535311709225,0.532334314512532,0.482770321852807,0.335028097404335,0.465287752561524,1.03229793597021,0.629356568364611,"sKizzo",0.03,"ok" "rankfunc44_unsigned_32",1,1686,192,1878,4347,11979,1,1,2,5,1701,2641,2343,2867,2.40234644582471,0.353347135955832,1686,0.176673567977916,0.176673567977916,2.99627263045793,8,4,5.58359957401491,8,4,19.7319098457888,0.530261290591869,0.40562651306453,0.534712247438476,0.879093198992443,0.0641121963436013,1,0.885862720403023,0.971052544800376,0.51923368861106,0.5,0.534712247438475,0.979203456528949,1,0.60754543363239,0.659535311709225,0.532334314512532,0.482770321852807,0.335028097404335,0.465287752561524,1.03229793597021,0.629356568364611,"sSolve",3600,"timeout" "rankfunc45_signed_16",1,1277,96,1373,3850,10400,1,1,2,8,1542,2300,2326,2620,2.50181818181818,0.199480519480519,1277,0.0997402597402597,0.0997402597402597,3.64530225782957,8,4,7.0109249817917,8,4,44.3210649960846,0.51875,0.444326923076923,0.520245016611296,0.928822984244671,0.0369230769230769,1,0.927710843373494,0.995778567556171,0.518048837419433,0.5,0.520245016611296,0.998648361290472,1,0.597402597402597,0.680519480519481,0.519468849840256,0.496045246947093,0.274161341853035,0.479754983388704,1.03228530925038,0.527772438977513,"X2clsQ",3600,"memout" "rankfunc45_signed_16",1,1277,96,1373,3850,10400,1,1,2,8,1542,2300,2326,2620,2.50181818181818,0.199480519480519,1277,0.0997402597402597,0.0997402597402597,3.64530225782957,8,4,7.0109249817917,8,4,44.3210649960846,0.51875,0.444326923076923,0.520245016611296,0.928822984244671,0.0369230769230769,1,0.927710843373494,0.995778567556171,0.518048837419433,0.5,0.520245016611296,0.998648361290472,1,0.597402597402597,0.680519480519481,0.519468849840256,0.496045246947093,0.274161341853035,0.479754983388704,1.03228530925038,0.527772438977513,"quantor",3600,"memout" "rankfunc45_signed_16",1,1277,96,1373,3850,10400,1,1,2,8,1542,2300,2326,2620,2.50181818181818,0.199480519480519,1277,0.0997402597402597,0.0997402597402597,3.64530225782957,8,4,7.0109249817917,8,4,44.3210649960846,0.51875,0.444326923076923,0.520245016611296,0.928822984244671,0.0369230769230769,1,0.927710843373494,0.995778567556171,0.518048837419433,0.5,0.520245016611296,0.998648361290472,1,0.597402597402597,0.680519480519481,0.519468849840256,0.496045246947093,0.274161341853035,0.479754983388704,1.03228530925038,0.527772438977513,"QuBE",3600,"timeout" "rankfunc45_signed_16",1,1277,96,1373,3850,10400,1,1,2,8,1542,2300,2326,2620,2.50181818181818,0.199480519480519,1277,0.0997402597402597,0.0997402597402597,3.64530225782957,8,4,7.0109249817917,8,4,44.3210649960846,0.51875,0.444326923076923,0.520245016611296,0.928822984244671,0.0369230769230769,1,0.927710843373494,0.995778567556171,0.518048837419433,0.5,0.520245016611296,0.998648361290472,1,0.597402597402597,0.680519480519481,0.519468849840256,0.496045246947093,0.274161341853035,0.479754983388704,1.03228530925038,0.527772438977513,"sKizzo",0.03,"ok" "rankfunc45_signed_16",1,1277,96,1373,3850,10400,1,1,2,8,1542,2300,2326,2620,2.50181818181818,0.199480519480519,1277,0.0997402597402597,0.0997402597402597,3.64530225782957,8,4,7.0109249817917,8,4,44.3210649960846,0.51875,0.444326923076923,0.520245016611296,0.928822984244671,0.0369230769230769,1,0.927710843373494,0.995778567556171,0.518048837419433,0.5,0.520245016611296,0.998648361290472,1,0.597402597402597,0.680519480519481,0.519468849840256,0.496045246947093,0.274161341853035,0.479754983388704,1.03228530925038,0.527772438977513,"sSolve",3600,"timeout" "rankfunc46_unsigned_32",1,2450,320,2770,7222,19454,1,1,2,9,3092,4121,4296,4825,2.34810301855442,0.345610634173359,2450,0.17280531708668,0.17280531708668,3.34223826714801,7.8,3.9,6.23393501805054,7.8,3.9,25.8351020408163,0.524108152565025,0.411740516089236,0.527656563273971,0.877599058454296,0.0641513313457387,1,0.908003138485681,0.985551683405962,0.520032814194867,0.5,0.527656563273971,0.992224241599347,1,0.570617557463307,0.668097479922459,0.52576073821817,0.49742964306452,0.296687905086235,0.472343436726029,1.04890017160443,0.564302131216047,"X2clsQ",3600,"memout" "rankfunc46_unsigned_32",1,2450,320,2770,7222,19454,1,1,2,9,3092,4121,4296,4825,2.34810301855442,0.345610634173359,2450,0.17280531708668,0.17280531708668,3.34223826714801,7.8,3.9,6.23393501805054,7.8,3.9,25.8351020408163,0.524108152565025,0.411740516089236,0.527656563273971,0.877599058454296,0.0641513313457387,1,0.908003138485681,0.985551683405962,0.520032814194867,0.5,0.527656563273971,0.992224241599347,1,0.570617557463307,0.668097479922459,0.52576073821817,0.49742964306452,0.296687905086235,0.472343436726029,1.04890017160443,0.564302131216047,"quantor",3600,"memout" "rankfunc46_unsigned_32",1,2450,320,2770,7222,19454,1,1,2,9,3092,4121,4296,4825,2.34810301855442,0.345610634173359,2450,0.17280531708668,0.17280531708668,3.34223826714801,7.8,3.9,6.23393501805054,7.8,3.9,25.8351020408163,0.524108152565025,0.411740516089236,0.527656563273971,0.877599058454296,0.0641513313457387,1,0.908003138485681,0.985551683405962,0.520032814194867,0.5,0.527656563273971,0.992224241599347,1,0.570617557463307,0.668097479922459,0.52576073821817,0.49742964306452,0.296687905086235,0.472343436726029,1.04890017160443,0.564302131216047,"QuBE",3600,"timeout" "rankfunc46_unsigned_32",1,2450,320,2770,7222,19454,1,1,2,9,3092,4121,4296,4825,2.34810301855442,0.345610634173359,2450,0.17280531708668,0.17280531708668,3.34223826714801,7.8,3.9,6.23393501805054,7.8,3.9,25.8351020408163,0.524108152565025,0.411740516089236,0.527656563273971,0.877599058454296,0.0641513313457387,1,0.908003138485681,0.985551683405962,0.520032814194867,0.5,0.527656563273971,0.992224241599347,1,0.570617557463307,0.668097479922459,0.52576073821817,0.49742964306452,0.296687905086235,0.472343436726029,1.04890017160443,0.564302131216047,"sKizzo",0.07,"ok" "rankfunc46_unsigned_32",1,2450,320,2770,7222,19454,1,1,2,9,3092,4121,4296,4825,2.34810301855442,0.345610634173359,2450,0.17280531708668,0.17280531708668,3.34223826714801,7.8,3.9,6.23393501805054,7.8,3.9,25.8351020408163,0.524108152565025,0.411740516089236,0.527656563273971,0.877599058454296,0.0641513313457387,1,0.908003138485681,0.985551683405962,0.520032814194867,0.5,0.527656563273971,0.992224241599347,1,0.570617557463307,0.668097479922459,0.52576073821817,0.49742964306452,0.296687905086235,0.472343436726029,1.04890017160443,0.564302131216047,"sSolve",3600,"timeout" "rankfunc47_signed_64",1,3667,256,3923,10755,28906,1,1,2,8,4663,6084,6901,7039,2.49725708972571,0.190423059042306,3667,0.095211529521153,0.095211529521153,3.5375987764466,8,4,6.81417282691817,8,4,34.3037905644941,0.519892063931364,0.444682764823912,0.5214088912056,0.931860527016236,0.0354251712447243,1,0.923476177801437,0.994015300358302,0.518288415601224,0.5,0.5214088912056,0.996915420639404,1,0.565690376569038,0.654486285448629,0.520622623915071,0.493198527034937,0.281400186500251,0.4785911087944,1.02883146272543,0.540507026729127,"X2clsQ",3600,"timeout" "rankfunc47_signed_64",1,3667,256,3923,10755,28906,1,1,2,8,4663,6084,6901,7039,2.49725708972571,0.190423059042306,3667,0.095211529521153,0.095211529521153,3.5375987764466,8,4,6.81417282691817,8,4,34.3037905644941,0.519892063931364,0.444682764823912,0.5214088912056,0.931860527016236,0.0354251712447243,1,0.923476177801437,0.994015300358302,0.518288415601224,0.5,0.5214088912056,0.996915420639404,1,0.565690376569038,0.654486285448629,0.520622623915071,0.493198527034937,0.281400186500251,0.4785911087944,1.02883146272543,0.540507026729127,"quantor",3600,"memout" "rankfunc47_signed_64",1,3667,256,3923,10755,28906,1,1,2,8,4663,6084,6901,7039,2.49725708972571,0.190423059042306,3667,0.095211529521153,0.095211529521153,3.5375987764466,8,4,6.81417282691817,8,4,34.3037905644941,0.519892063931364,0.444682764823912,0.5214088912056,0.931860527016236,0.0354251712447243,1,0.923476177801437,0.994015300358302,0.518288415601224,0.5,0.5214088912056,0.996915420639404,1,0.565690376569038,0.654486285448629,0.520622623915071,0.493198527034937,0.281400186500251,0.4785911087944,1.02883146272543,0.540507026729127,"QuBE",3600,"timeout" "rankfunc47_signed_64",1,3667,256,3923,10755,28906,1,1,2,8,4663,6084,6901,7039,2.49725708972571,0.190423059042306,3667,0.095211529521153,0.095211529521153,3.5375987764466,8,4,6.81417282691817,8,4,34.3037905644941,0.519892063931364,0.444682764823912,0.5214088912056,0.931860527016236,0.0354251712447243,1,0.923476177801437,0.994015300358302,0.518288415601224,0.5,0.5214088912056,0.996915420639404,1,0.565690376569038,0.654486285448629,0.520622623915071,0.493198527034937,0.281400186500251,0.4785911087944,1.02883146272543,0.540507026729127,"sKizzo",0.05,"ok" "rankfunc47_signed_64",1,3667,256,3923,10755,28906,1,1,2,8,4663,6084,6901,7039,2.49725708972571,0.190423059042306,3667,0.095211529521153,0.095211529521153,3.5375987764466,8,4,6.81417282691817,8,4,34.3037905644941,0.519892063931364,0.444682764823912,0.5214088912056,0.931860527016236,0.0354251712447243,1,0.923476177801437,0.994015300358302,0.518288415601224,0.5,0.5214088912056,0.996915420639404,1,0.565690376569038,0.654486285448629,0.520622623915071,0.493198527034937,0.281400186500251,0.4785911087944,1.02883146272543,0.540507026729127,"sSolve",3600,"timeout" "rankfunc48_unsigned_16",1,1318,160,1478,4026,10758,1,1,2,11,1749,2266,2441,2679,2.35419771485345,0.317933432687531,1318,0.158966716343766,0.158966716343766,3.48443843031123,8,4,6.53585926928282,8,4,31.2792109256449,0.521286484476668,0.419222903885481,0.524161215446297,0.885877318116976,0.0594906116378509,1,0.918330955777461,0.987970980272013,0.517856069845047,0.5,0.524161215446297,0.993419329421009,1,0.562841530054645,0.665424739195231,0.522632931409369,0.499851374419183,0.29215259932793,0.475838784553703,1.0471006638454,0.559001512859304,"X2clsQ",3600,"memout" "rankfunc48_unsigned_16",1,1318,160,1478,4026,10758,1,1,2,11,1749,2266,2441,2679,2.35419771485345,0.317933432687531,1318,0.158966716343766,0.158966716343766,3.48443843031123,8,4,6.53585926928282,8,4,31.2792109256449,0.521286484476668,0.419222903885481,0.524161215446297,0.885877318116976,0.0594906116378509,1,0.918330955777461,0.987970980272013,0.517856069845047,0.5,0.524161215446297,0.993419329421009,1,0.562841530054645,0.665424739195231,0.522632931409369,0.499851374419183,0.29215259932793,0.475838784553703,1.0471006638454,0.559001512859304,"quantor",3600,"memout" "rankfunc48_unsigned_16",1,1318,160,1478,4026,10758,1,1,2,11,1749,2266,2441,2679,2.35419771485345,0.317933432687531,1318,0.158966716343766,0.158966716343766,3.48443843031123,8,4,6.53585926928282,8,4,31.2792109256449,0.521286484476668,0.419222903885481,0.524161215446297,0.885877318116976,0.0594906116378509,1,0.918330955777461,0.987970980272013,0.517856069845047,0.5,0.524161215446297,0.993419329421009,1,0.562841530054645,0.665424739195231,0.522632931409369,0.499851374419183,0.29215259932793,0.475838784553703,1.0471006638454,0.559001512859304,"QuBE",3600,"timeout" "rankfunc48_unsigned_16",1,1318,160,1478,4026,10758,1,1,2,11,1749,2266,2441,2679,2.35419771485345,0.317933432687531,1318,0.158966716343766,0.158966716343766,3.48443843031123,8,4,6.53585926928282,8,4,31.2792109256449,0.521286484476668,0.419222903885481,0.524161215446297,0.885877318116976,0.0594906116378509,1,0.918330955777461,0.987970980272013,0.517856069845047,0.5,0.524161215446297,0.993419329421009,1,0.562841530054645,0.665424739195231,0.522632931409369,0.499851374419183,0.29215259932793,0.475838784553703,1.0471006638454,0.559001512859304,"sKizzo",3600,"memout" "rankfunc48_unsigned_16",1,1318,160,1478,4026,10758,1,1,2,11,1749,2266,2441,2679,2.35419771485345,0.317933432687531,1318,0.158966716343766,0.158966716343766,3.48443843031123,8,4,6.53585926928282,8,4,31.2792109256449,0.521286484476668,0.419222903885481,0.524161215446297,0.885877318116976,0.0594906116378509,1,0.918330955777461,0.987970980272013,0.517856069845047,0.5,0.524161215446297,0.993419329421009,1,0.562841530054645,0.665424739195231,0.522632931409369,0.499851374419183,0.29215259932793,0.475838784553703,1.0471006638454,0.559001512859304,"sSolve",3600,"timeout" "rankfunc49_signed_32",1,1400,192,1592,4260,11472,1,1,2,6,1852,2402,2609,2865,2.33239436619718,0.36056338028169,1400,0.180281690140845,0.180281690140845,3.46168341708543,8,4,6.44095477386935,8,4,26.6914285714286,0.519612970711297,0.413441422594142,0.522644927536232,0.871162556618017,0.0669456066945607,1,0.924509310518369,0.984889420203228,0.514747459653317,0.5,0.522644927536232,0.990636278668488,1,0.563849765258216,0.672535211267606,0.521020179372197,0.503874119154388,0.29745889387145,0.477355072463768,1.0519735852211,0.570916263223956,"X2clsQ",3600,"memout" "rankfunc49_signed_32",1,1400,192,1592,4260,11472,1,1,2,6,1852,2402,2609,2865,2.33239436619718,0.36056338028169,1400,0.180281690140845,0.180281690140845,3.46168341708543,8,4,6.44095477386935,8,4,26.6914285714286,0.519612970711297,0.413441422594142,0.522644927536232,0.871162556618017,0.0669456066945607,1,0.924509310518369,0.984889420203228,0.514747459653317,0.5,0.522644927536232,0.990636278668488,1,0.563849765258216,0.672535211267606,0.521020179372197,0.503874119154388,0.29745889387145,0.477355072463768,1.0519735852211,0.570916263223956,"quantor",3600,"memout" "rankfunc49_signed_32",1,1400,192,1592,4260,11472,1,1,2,6,1852,2402,2609,2865,2.33239436619718,0.36056338028169,1400,0.180281690140845,0.180281690140845,3.46168341708543,8,4,6.44095477386935,8,4,26.6914285714286,0.519612970711297,0.413441422594142,0.522644927536232,0.871162556618017,0.0669456066945607,1,0.924509310518369,0.984889420203228,0.514747459653317,0.5,0.522644927536232,0.990636278668488,1,0.563849765258216,0.672535211267606,0.521020179372197,0.503874119154388,0.29745889387145,0.477355072463768,1.0519735852211,0.570916263223956,"QuBE",3600,"timeout" "rankfunc49_signed_32",1,1400,192,1592,4260,11472,1,1,2,6,1852,2402,2609,2865,2.33239436619718,0.36056338028169,1400,0.180281690140845,0.180281690140845,3.46168341708543,8,4,6.44095477386935,8,4,26.6914285714286,0.519612970711297,0.413441422594142,0.522644927536232,0.871162556618017,0.0669456066945607,1,0.924509310518369,0.984889420203228,0.514747459653317,0.5,0.522644927536232,0.990636278668488,1,0.563849765258216,0.672535211267606,0.521020179372197,0.503874119154388,0.29745889387145,0.477355072463768,1.0519735852211,0.570916263223956,"sKizzo",3600,"memout" "rankfunc49_signed_32",1,1400,192,1592,4260,11472,1,1,2,6,1852,2402,2609,2865,2.33239436619718,0.36056338028169,1400,0.180281690140845,0.180281690140845,3.46168341708543,8,4,6.44095477386935,8,4,26.6914285714286,0.519612970711297,0.413441422594142,0.522644927536232,0.871162556618017,0.0669456066945607,1,0.924509310518369,0.984889420203228,0.514747459653317,0.5,0.522644927536232,0.990636278668488,1,0.563849765258216,0.672535211267606,0.521020179372197,0.503874119154388,0.29745889387145,0.477355072463768,1.0519735852211,0.570916263223956,"sSolve",3600,"timeout" "rankfunc5_signed_64",1,2251,256,2507,6595,17720,1,1,2,4,2874,3717,4093,4414,2.37634571645186,0.310538286580743,2251,0.155269143290372,0.155269143290372,3.39409652971679,8,4,6.37973673713602,8,4,26.9444691248334,0.519808126410835,0.422404063205418,0.52239663093415,0.888828574530453,0.0577878103837472,1,0.923786776680056,0.985007516097686,0.514564607854247,0.5,0.52239663093415,0.989912588337559,1,0.563608794541319,0.669294920394238,0.521022999520843,0.499296138840066,0.300311451844753,0.47760336906585,1.04242194999046,0.576388090585125,"X2clsQ",3600,"memout" "rankfunc5_signed_64",1,2251,256,2507,6595,17720,1,1,2,4,2874,3717,4093,4414,2.37634571645186,0.310538286580743,2251,0.155269143290372,0.155269143290372,3.39409652971679,8,4,6.37973673713602,8,4,26.9444691248334,0.519808126410835,0.422404063205418,0.52239663093415,0.888828574530453,0.0577878103837472,1,0.923786776680056,0.985007516097686,0.514564607854247,0.5,0.52239663093415,0.989912588337559,1,0.563608794541319,0.669294920394238,0.521022999520843,0.499296138840066,0.300311451844753,0.47760336906585,1.04242194999046,0.576388090585125,"quantor",3600,"memout" "rankfunc5_signed_64",1,2251,256,2507,6595,17720,1,1,2,4,2874,3717,4093,4414,2.37634571645186,0.310538286580743,2251,0.155269143290372,0.155269143290372,3.39409652971679,8,4,6.37973673713602,8,4,26.9444691248334,0.519808126410835,0.422404063205418,0.52239663093415,0.888828574530453,0.0577878103837472,1,0.923786776680056,0.985007516097686,0.514564607854247,0.5,0.52239663093415,0.989912588337559,1,0.563608794541319,0.669294920394238,0.521022999520843,0.499296138840066,0.300311451844753,0.47760336906585,1.04242194999046,0.576388090585125,"QuBE",3600,"timeout" "rankfunc5_signed_64",1,2251,256,2507,6595,17720,1,1,2,4,2874,3717,4093,4414,2.37634571645186,0.310538286580743,2251,0.155269143290372,0.155269143290372,3.39409652971679,8,4,6.37973673713602,8,4,26.9444691248334,0.519808126410835,0.422404063205418,0.52239663093415,0.888828574530453,0.0577878103837472,1,0.923786776680056,0.985007516097686,0.514564607854247,0.5,0.52239663093415,0.989912588337559,1,0.563608794541319,0.669294920394238,0.521022999520843,0.499296138840066,0.300311451844753,0.47760336906585,1.04242194999046,0.576388090585125,"sKizzo",0.03,"ok" "rankfunc5_signed_64",1,2251,256,2507,6595,17720,1,1,2,4,2874,3717,4093,4414,2.37634571645186,0.310538286580743,2251,0.155269143290372,0.155269143290372,3.39409652971679,8,4,6.37973673713602,8,4,26.9444691248334,0.519808126410835,0.422404063205418,0.52239663093415,0.888828574530453,0.0577878103837472,1,0.923786776680056,0.985007516097686,0.514564607854247,0.5,0.52239663093415,0.989912588337559,1,0.563608794541319,0.669294920394238,0.521022999520843,0.499296138840066,0.300311451844753,0.47760336906585,1.04242194999046,0.576388090585125,"sSolve",3600,"timeout" "rankfunc50_signed_64",1,3517,256,3773,10583,28416,1,1,2,4,4332,6247,6685,6693,2.49154304072569,0.193517906075782,3517,0.096758953037891,0.096758953037891,3.5981977206467,8,4,6.92499337397297,8,4,33.2368495877168,0.522240990990991,0.441722972972973,0.523968446601942,0.93099730458221,0.036036036036036,1,0.914824797843666,0.995471160668772,0.521595477692649,0.5,0.523968446601942,0.998763955128996,1,0.59028630823018,0.632429367854106,0.523072429906542,0.491590661778969,0.275481892523364,0.476031553398058,1.03074490259105,0.526661083193746,"X2clsQ",3600,"timeout" "rankfunc50_signed_64",1,3517,256,3773,10583,28416,1,1,2,4,4332,6247,6685,6693,2.49154304072569,0.193517906075782,3517,0.096758953037891,0.096758953037891,3.5981977206467,8,4,6.92499337397297,8,4,33.2368495877168,0.522240990990991,0.441722972972973,0.523968446601942,0.93099730458221,0.036036036036036,1,0.914824797843666,0.995471160668772,0.521595477692649,0.5,0.523968446601942,0.998763955128996,1,0.59028630823018,0.632429367854106,0.523072429906542,0.491590661778969,0.275481892523364,0.476031553398058,1.03074490259105,0.526661083193746,"quantor",3600,"memout" "rankfunc50_signed_64",1,3517,256,3773,10583,28416,1,1,2,4,4332,6247,6685,6693,2.49154304072569,0.193517906075782,3517,0.096758953037891,0.096758953037891,3.5981977206467,8,4,6.92499337397297,8,4,33.2368495877168,0.522240990990991,0.441722972972973,0.523968446601942,0.93099730458221,0.036036036036036,1,0.914824797843666,0.995471160668772,0.521595477692649,0.5,0.523968446601942,0.998763955128996,1,0.59028630823018,0.632429367854106,0.523072429906542,0.491590661778969,0.275481892523364,0.476031553398058,1.03074490259105,0.526661083193746,"QuBE",3600,"timeout" "rankfunc50_signed_64",1,3517,256,3773,10583,28416,1,1,2,4,4332,6247,6685,6693,2.49154304072569,0.193517906075782,3517,0.096758953037891,0.096758953037891,3.5981977206467,8,4,6.92499337397297,8,4,33.2368495877168,0.522240990990991,0.441722972972973,0.523968446601942,0.93099730458221,0.036036036036036,1,0.914824797843666,0.995471160668772,0.521595477692649,0.5,0.523968446601942,0.998763955128996,1,0.59028630823018,0.632429367854106,0.523072429906542,0.491590661778969,0.275481892523364,0.476031553398058,1.03074490259105,0.526661083193746,"sKizzo",0.09,"ok" "rankfunc50_signed_64",1,3517,256,3773,10583,28416,1,1,2,4,4332,6247,6685,6693,2.49154304072569,0.193517906075782,3517,0.096758953037891,0.096758953037891,3.5981977206467,8,4,6.92499337397297,8,4,33.2368495877168,0.522240990990991,0.441722972972973,0.523968446601942,0.93099730458221,0.036036036036036,1,0.914824797843666,0.995471160668772,0.521595477692649,0.5,0.523968446601942,0.998763955128996,1,0.59028630823018,0.632429367854106,0.523072429906542,0.491590661778969,0.275481892523364,0.476031553398058,1.03074490259105,0.526661083193746,"sSolve",3600,"timeout" "rankfunc51_signed_32",1,1901,192,2093,5532,14808,1,1,2,8,2499,3025,3447,3820,2.39913232104121,0.27765726681128,1901,0.13882863340564,0.13882863340564,3.39034878165313,8,4,6.41376015289059,8,4,27.8095739084692,0.520799567801189,0.427336574824419,0.523206751054852,0.900414937759336,0.0518638573743922,1,0.920124481327801,0.986795314587477,0.516297970501465,0.5,0.523206751054852,0.991356372819721,1,0.546818510484454,0.690527838033261,0.521937321937322,0.496233950941999,0.298148148148148,0.476793248945148,1.03801023111229,0.571233624454148,"X2clsQ",3600,"memout" "rankfunc51_signed_32",1,1901,192,2093,5532,14808,1,1,2,8,2499,3025,3447,3820,2.39913232104121,0.27765726681128,1901,0.13882863340564,0.13882863340564,3.39034878165313,8,4,6.41376015289059,8,4,27.8095739084692,0.520799567801189,0.427336574824419,0.523206751054852,0.900414937759336,0.0518638573743922,1,0.920124481327801,0.986795314587477,0.516297970501465,0.5,0.523206751054852,0.991356372819721,1,0.546818510484454,0.690527838033261,0.521937321937322,0.496233950941999,0.298148148148148,0.476793248945148,1.03801023111229,0.571233624454148,"quantor",3600,"memout" "rankfunc51_signed_32",1,1901,192,2093,5532,14808,1,1,2,8,2499,3025,3447,3820,2.39913232104121,0.27765726681128,1901,0.13882863340564,0.13882863340564,3.39034878165313,8,4,6.41376015289059,8,4,27.8095739084692,0.520799567801189,0.427336574824419,0.523206751054852,0.900414937759336,0.0518638573743922,1,0.920124481327801,0.986795314587477,0.516297970501465,0.5,0.523206751054852,0.991356372819721,1,0.546818510484454,0.690527838033261,0.521937321937322,0.496233950941999,0.298148148148148,0.476793248945148,1.03801023111229,0.571233624454148,"QuBE",3600,"timeout" "rankfunc51_signed_32",1,1901,192,2093,5532,14808,1,1,2,8,2499,3025,3447,3820,2.39913232104121,0.27765726681128,1901,0.13882863340564,0.13882863340564,3.39034878165313,8,4,6.41376015289059,8,4,27.8095739084692,0.520799567801189,0.427336574824419,0.523206751054852,0.900414937759336,0.0518638573743922,1,0.920124481327801,0.986795314587477,0.516297970501465,0.5,0.523206751054852,0.991356372819721,1,0.546818510484454,0.690527838033261,0.521937321937322,0.496233950941999,0.298148148148148,0.476793248945148,1.03801023111229,0.571233624454148,"sKizzo",0.03,"ok" "rankfunc51_signed_32",1,1901,192,2093,5532,14808,1,1,2,8,2499,3025,3447,3820,2.39913232104121,0.27765726681128,1901,0.13882863340564,0.13882863340564,3.39034878165313,8,4,6.41376015289059,8,4,27.8095739084692,0.520799567801189,0.427336574824419,0.523206751054852,0.900414937759336,0.0518638573743922,1,0.920124481327801,0.986795314587477,0.516297970501465,0.5,0.523206751054852,0.991356372819721,1,0.546818510484454,0.690527838033261,0.521937321937322,0.496233950941999,0.298148148148148,0.476793248945148,1.03801023111229,0.571233624454148,"sSolve",3600,"timeout" "rankfunc52_unsigned_32",1,1773,192,1965,5309,14367,1,1,2,5,2168,3136,3060,3675,2.41683932944057,0.289320022603127,1773,0.144660011301563,0.144660011301563,3.4793893129771,8,4,6.56793893129771,8,4,29.6728708403835,0.52411776988933,0.422426393819169,0.527004909983633,0.89800796812749,0.0534558362915014,1,0.90796812749004,0.989801784193119,0.521630400180334,0.5,0.527004909983633,0.995254177873953,1,0.59069504614805,0.692220757204747,0.525479814692257,0.494611241570092,0.28899183763512,0.472995090016367,1.04233972944548,0.549958018471872,"X2clsQ",3600,"memout" "rankfunc52_unsigned_32",1,1773,192,1965,5309,14367,1,1,2,5,2168,3136,3060,3675,2.41683932944057,0.289320022603127,1773,0.144660011301563,0.144660011301563,3.4793893129771,8,4,6.56793893129771,8,4,29.6728708403835,0.52411776988933,0.422426393819169,0.527004909983633,0.89800796812749,0.0534558362915014,1,0.90796812749004,0.989801784193119,0.521630400180334,0.5,0.527004909983633,0.995254177873953,1,0.59069504614805,0.692220757204747,0.525479814692257,0.494611241570092,0.28899183763512,0.472995090016367,1.04233972944548,0.549958018471872,"quantor",3600,"memout" "rankfunc52_unsigned_32",1,1773,192,1965,5309,14367,1,1,2,5,2168,3136,3060,3675,2.41683932944057,0.289320022603127,1773,0.144660011301563,0.144660011301563,3.4793893129771,8,4,6.56793893129771,8,4,29.6728708403835,0.52411776988933,0.422426393819169,0.527004909983633,0.89800796812749,0.0534558362915014,1,0.90796812749004,0.989801784193119,0.521630400180334,0.5,0.527004909983633,0.995254177873953,1,0.59069504614805,0.692220757204747,0.525479814692257,0.494611241570092,0.28899183763512,0.472995090016367,1.04233972944548,0.549958018471872,"QuBE",3600,"timeout" "rankfunc52_unsigned_32",1,1773,192,1965,5309,14367,1,1,2,5,2168,3136,3060,3675,2.41683932944057,0.289320022603127,1773,0.144660011301563,0.144660011301563,3.4793893129771,8,4,6.56793893129771,8,4,29.6728708403835,0.52411776988933,0.422426393819169,0.527004909983633,0.89800796812749,0.0534558362915014,1,0.90796812749004,0.989801784193119,0.521630400180334,0.5,0.527004909983633,0.995254177873953,1,0.59069504614805,0.692220757204747,0.525479814692257,0.494611241570092,0.28899183763512,0.472995090016367,1.04233972944548,0.549958018471872,"sKizzo",3600,"memout" "rankfunc52_unsigned_32",1,1773,192,1965,5309,14367,1,1,2,5,2168,3136,3060,3675,2.41683932944057,0.289320022603127,1773,0.144660011301563,0.144660011301563,3.4793893129771,8,4,6.56793893129771,8,4,29.6728708403835,0.52411776988933,0.422426393819169,0.527004909983633,0.89800796812749,0.0534558362915014,1,0.90796812749004,0.989801784193119,0.521630400180334,0.5,0.527004909983633,0.995254177873953,1,0.59069504614805,0.692220757204747,0.525479814692257,0.494611241570092,0.28899183763512,0.472995090016367,1.04233972944548,0.549958018471872,"sSolve",3600,"timeout" "rankfunc53_signed_16",1,782,96,878,2155,5915,1,1,2,5,853,1297,1179,1413,2.38839907192575,0.356380510440835,782,0.178190255220418,0.178190255220418,3.15945330296128,8,4,5.88154897494305,8,4,22.3708439897698,0.531022823330516,0.404057480980558,0.535651836020983,0.87774594078319,0.0649196956889264,1,0.883158229863101,0.976983394335352,0.523322948937743,0.5,0.535651836020983,0.985499918168339,1,0.601856148491879,0.65568445475638,0.533176640752124,0.485156668690445,0.317483276080275,0.464348163979017,1.03927247657895,0.595456086809088,"X2clsQ",3600,"memout" "rankfunc53_signed_16",1,782,96,878,2155,5915,1,1,2,5,853,1297,1179,1413,2.38839907192575,0.356380510440835,782,0.178190255220418,0.178190255220418,3.15945330296128,8,4,5.88154897494305,8,4,22.3708439897698,0.531022823330516,0.404057480980558,0.535651836020983,0.87774594078319,0.0649196956889264,1,0.883158229863101,0.976983394335352,0.523322948937743,0.5,0.535651836020983,0.985499918168339,1,0.601856148491879,0.65568445475638,0.533176640752124,0.485156668690445,0.317483276080275,0.464348163979017,1.03927247657895,0.595456086809088,"quantor",243.1,"ok" "rankfunc53_signed_16",1,782,96,878,2155,5915,1,1,2,5,853,1297,1179,1413,2.38839907192575,0.356380510440835,782,0.178190255220418,0.178190255220418,3.15945330296128,8,4,5.88154897494305,8,4,22.3708439897698,0.531022823330516,0.404057480980558,0.535651836020983,0.87774594078319,0.0649196956889264,1,0.883158229863101,0.976983394335352,0.523322948937743,0.5,0.535651836020983,0.985499918168339,1,0.601856148491879,0.65568445475638,0.533176640752124,0.485156668690445,0.317483276080275,0.464348163979017,1.03927247657895,0.595456086809088,"QuBE",3600,"timeout" "rankfunc53_signed_16",1,782,96,878,2155,5915,1,1,2,5,853,1297,1179,1413,2.38839907192575,0.356380510440835,782,0.178190255220418,0.178190255220418,3.15945330296128,8,4,5.88154897494305,8,4,22.3708439897698,0.531022823330516,0.404057480980558,0.535651836020983,0.87774594078319,0.0649196956889264,1,0.883158229863101,0.976983394335352,0.523322948937743,0.5,0.535651836020983,0.985499918168339,1,0.601856148491879,0.65568445475638,0.533176640752124,0.485156668690445,0.317483276080275,0.464348163979017,1.03927247657895,0.595456086809088,"sKizzo",0,"ok" "rankfunc53_signed_16",1,782,96,878,2155,5915,1,1,2,5,853,1297,1179,1413,2.38839907192575,0.356380510440835,782,0.178190255220418,0.178190255220418,3.15945330296128,8,4,5.88154897494305,8,4,22.3708439897698,0.531022823330516,0.404057480980558,0.535651836020983,0.87774594078319,0.0649196956889264,1,0.883158229863101,0.976983394335352,0.523322948937743,0.5,0.535651836020983,0.985499918168339,1,0.601856148491879,0.65568445475638,0.533176640752124,0.485156668690445,0.317483276080275,0.464348163979017,1.03927247657895,0.595456086809088,"sSolve",3600,"timeout" "rankfunc54_signed_32",1,2406,256,2662,7022,18487,1,1,2,11,3402,3609,4312,4898,2.34107091996582,0.291654799202506,2406,0.145827399601253,0.145827399601253,3.29789631855748,8,4,6.21111945905334,8,4,27.0399002493766,0.525125764050414,0.419483961702818,0.528255976640915,0.894519983518748,0.055390274246768,1,0.90430572723527,0.983832992481383,0.519715658294807,0.5,0.528255976640915,0.989697504624649,1,0.513956137852464,0.697522073483338,0.526599095229915,0.49133236884311,0.304873160396266,0.471744023359085,1.03787796747396,0.578947368421053,"X2clsQ",3600,"memout" "rankfunc54_signed_32",1,2406,256,2662,7022,18487,1,1,2,11,3402,3609,4312,4898,2.34107091996582,0.291654799202506,2406,0.145827399601253,0.145827399601253,3.29789631855748,8,4,6.21111945905334,8,4,27.0399002493766,0.525125764050414,0.419483961702818,0.528255976640915,0.894519983518748,0.055390274246768,1,0.90430572723527,0.983832992481383,0.519715658294807,0.5,0.528255976640915,0.989697504624649,1,0.513956137852464,0.697522073483338,0.526599095229915,0.49133236884311,0.304873160396266,0.471744023359085,1.03787796747396,0.578947368421053,"quantor",3600,"memout" "rankfunc54_signed_32",1,2406,256,2662,7022,18487,1,1,2,11,3402,3609,4312,4898,2.34107091996582,0.291654799202506,2406,0.145827399601253,0.145827399601253,3.29789631855748,8,4,6.21111945905334,8,4,27.0399002493766,0.525125764050414,0.419483961702818,0.528255976640915,0.894519983518748,0.055390274246768,1,0.90430572723527,0.983832992481383,0.519715658294807,0.5,0.528255976640915,0.989697504624649,1,0.513956137852464,0.697522073483338,0.526599095229915,0.49133236884311,0.304873160396266,0.471744023359085,1.03787796747396,0.578947368421053,"QuBE",3600,"timeout" "rankfunc54_signed_32",1,2406,256,2662,7022,18487,1,1,2,11,3402,3609,4312,4898,2.34107091996582,0.291654799202506,2406,0.145827399601253,0.145827399601253,3.29789631855748,8,4,6.21111945905334,8,4,27.0399002493766,0.525125764050414,0.419483961702818,0.528255976640915,0.894519983518748,0.055390274246768,1,0.90430572723527,0.983832992481383,0.519715658294807,0.5,0.528255976640915,0.989697504624649,1,0.513956137852464,0.697522073483338,0.526599095229915,0.49133236884311,0.304873160396266,0.471744023359085,1.03787796747396,0.578947368421053,"sKizzo",0.04,"ok" "rankfunc54_signed_32",1,2406,256,2662,7022,18487,1,1,2,11,3402,3609,4312,4898,2.34107091996582,0.291654799202506,2406,0.145827399601253,0.145827399601253,3.29789631855748,8,4,6.21111945905334,8,4,27.0399002493766,0.525125764050414,0.419483961702818,0.528255976640915,0.894519983518748,0.055390274246768,1,0.90430572723527,0.983832992481383,0.519715658294807,0.5,0.528255976640915,0.989697504624649,1,0.513956137852464,0.697522073483338,0.526599095229915,0.49133236884311,0.304873160396266,0.471744023359085,1.03787796747396,0.578947368421053,"sSolve",3600,"timeout" "rankfunc55_unsigned_32",1,1033,128,1161,3103,8270,1,1,2,4,1370,1729,1849,2171,2.33515952304222,0.330003222687722,1033,0.165001611343861,0.165001611343861,3.38587424633936,8,4,6.33074935400517,8,4,27.2236205227493,0.524667472793229,0.413422007255139,0.528153463980127,0.8820004609357,0.0619105199516324,1,0.905969117308135,0.984747117785392,0.520097601402801,0.5,0.528153463980127,0.991289966259775,1,0.557202707057686,0.699645504350628,0.526295436968291,0.495314707461205,0.299303944315545,0.471846536019873,1.04561945591402,0.5686994856723,"X2clsQ",3600,"memout" "rankfunc55_unsigned_32",1,1033,128,1161,3103,8270,1,1,2,4,1370,1729,1849,2171,2.33515952304222,0.330003222687722,1033,0.165001611343861,0.165001611343861,3.38587424633936,8,4,6.33074935400517,8,4,27.2236205227493,0.524667472793229,0.413422007255139,0.528153463980127,0.8820004609357,0.0619105199516324,1,0.905969117308135,0.984747117785392,0.520097601402801,0.5,0.528153463980127,0.991289966259775,1,0.557202707057686,0.699645504350628,0.526295436968291,0.495314707461205,0.299303944315545,0.471846536019873,1.04561945591402,0.5686994856723,"quantor",3600,"memout" "rankfunc55_unsigned_32",1,1033,128,1161,3103,8270,1,1,2,4,1370,1729,1849,2171,2.33515952304222,0.330003222687722,1033,0.165001611343861,0.165001611343861,3.38587424633936,8,4,6.33074935400517,8,4,27.2236205227493,0.524667472793229,0.413422007255139,0.528153463980127,0.8820004609357,0.0619105199516324,1,0.905969117308135,0.984747117785392,0.520097601402801,0.5,0.528153463980127,0.991289966259775,1,0.557202707057686,0.699645504350628,0.526295436968291,0.495314707461205,0.299303944315545,0.471846536019873,1.04561945591402,0.5686994856723,"QuBE",3600,"timeout" "rankfunc55_unsigned_32",1,1033,128,1161,3103,8270,1,1,2,4,1370,1729,1849,2171,2.33515952304222,0.330003222687722,1033,0.165001611343861,0.165001611343861,3.38587424633936,8,4,6.33074935400517,8,4,27.2236205227493,0.524667472793229,0.413422007255139,0.528153463980127,0.8820004609357,0.0619105199516324,1,0.905969117308135,0.984747117785392,0.520097601402801,0.5,0.528153463980127,0.991289966259775,1,0.557202707057686,0.699645504350628,0.526295436968291,0.495314707461205,0.299303944315545,0.471846536019873,1.04561945591402,0.5686994856723,"sKizzo",3600,"memout" "rankfunc55_unsigned_32",1,1033,128,1161,3103,8270,1,1,2,4,1370,1729,1849,2171,2.33515952304222,0.330003222687722,1033,0.165001611343861,0.165001611343861,3.38587424633936,8,4,6.33074935400517,8,4,27.2236205227493,0.524667472793229,0.413422007255139,0.528153463980127,0.8820004609357,0.0619105199516324,1,0.905969117308135,0.984747117785392,0.520097601402801,0.5,0.528153463980127,0.991289966259775,1,0.557202707057686,0.699645504350628,0.526295436968291,0.495314707461205,0.299303944315545,0.471846536019873,1.04561945591402,0.5686994856723,"sSolve",3600,"timeout" "rankfunc56_signed_16",1,404,64,468,1190,3225,1,1,2,4,499,687,675,806,2.27983193277311,0.430252100840336,404,0.215126050420168,0.215126050420168,3.27564102564103,8,4,6.0042735042735,8,4,22.9752475247525,0.524651162790698,0.395968992248062,0.52930335422042,0.848699763593381,0.0793798449612403,1,0.906028368794326,0.974506101772968,0.515809348376698,0.5,0.52930335422042,0.983147250895302,1,0.577310924369748,0.677310924369748,0.526776692489054,0.498247568104739,0.315257662512631,0.47069664577958,1.05288044818717,0.59846547314578,"X2clsQ",3600,"memout" "rankfunc56_signed_16",1,404,64,468,1190,3225,1,1,2,4,499,687,675,806,2.27983193277311,0.430252100840336,404,0.215126050420168,0.215126050420168,3.27564102564103,8,4,6.0042735042735,8,4,22.9752475247525,0.524651162790698,0.395968992248062,0.52930335422042,0.848699763593381,0.0793798449612403,1,0.906028368794326,0.974506101772968,0.515809348376698,0.5,0.52930335422042,0.983147250895302,1,0.577310924369748,0.677310924369748,0.526776692489054,0.498247568104739,0.315257662512631,0.47069664577958,1.05288044818717,0.59846547314578,"quantor",3600,"memout" "rankfunc56_signed_16",1,404,64,468,1190,3225,1,1,2,4,499,687,675,806,2.27983193277311,0.430252100840336,404,0.215126050420168,0.215126050420168,3.27564102564103,8,4,6.0042735042735,8,4,22.9752475247525,0.524651162790698,0.395968992248062,0.52930335422042,0.848699763593381,0.0793798449612403,1,0.906028368794326,0.974506101772968,0.515809348376698,0.5,0.52930335422042,0.983147250895302,1,0.577310924369748,0.677310924369748,0.526776692489054,0.498247568104739,0.315257662512631,0.47069664577958,1.05288044818717,0.59846547314578,"QuBE",3600,"timeout" "rankfunc56_signed_16",1,404,64,468,1190,3225,1,1,2,4,499,687,675,806,2.27983193277311,0.430252100840336,404,0.215126050420168,0.215126050420168,3.27564102564103,8,4,6.0042735042735,8,4,22.9752475247525,0.524651162790698,0.395968992248062,0.52930335422042,0.848699763593381,0.0793798449612403,1,0.906028368794326,0.974506101772968,0.515809348376698,0.5,0.52930335422042,0.983147250895302,1,0.577310924369748,0.677310924369748,0.526776692489054,0.498247568104739,0.315257662512631,0.47069664577958,1.05288044818717,0.59846547314578,"sKizzo",3600,"memout" "rankfunc56_signed_16",1,404,64,468,1190,3225,1,1,2,4,499,687,675,806,2.27983193277311,0.430252100840336,404,0.215126050420168,0.215126050420168,3.27564102564103,8,4,6.0042735042735,8,4,22.9752475247525,0.524651162790698,0.395968992248062,0.52930335422042,0.848699763593381,0.0793798449612403,1,0.906028368794326,0.974506101772968,0.515809348376698,0.5,0.52930335422042,0.983147250895302,1,0.577310924369748,0.677310924369748,0.526776692489054,0.498247568104739,0.315257662512631,0.47069664577958,1.05288044818717,0.59846547314578,"sSolve",3600,"timeout" "rankfunc57_unsigned_64",1,3146,384,3530,9655,25875,1,1,2,5,4149,5501,5745,6773,2.36178146038322,0.318177110305541,3146,0.159088555152771,0.159088555152771,3.4943342776204,8,4,6.55354107648725,8,4,29.8003814367451,0.523285024154589,0.417352657004831,0.526421962022541,0.886558345642541,0.0593623188405797,1,0.911004431314623,0.988843620147968,0.520548998651765,0.5,0.526421962022541,0.994771443139914,1,0.569756602796479,0.701501812532367,0.524754509223879,0.497848035301953,0.290069435884794,0.473578037977459,1.04755972432042,0.552771688067648,"X2clsQ",3600,"timeout" "rankfunc57_unsigned_64",1,3146,384,3530,9655,25875,1,1,2,5,4149,5501,5745,6773,2.36178146038322,0.318177110305541,3146,0.159088555152771,0.159088555152771,3.4943342776204,8,4,6.55354107648725,8,4,29.8003814367451,0.523285024154589,0.417352657004831,0.526421962022541,0.886558345642541,0.0593623188405797,1,0.911004431314623,0.988843620147968,0.520548998651765,0.5,0.526421962022541,0.994771443139914,1,0.569756602796479,0.701501812532367,0.524754509223879,0.497848035301953,0.290069435884794,0.473578037977459,1.04755972432042,0.552771688067648,"quantor",3600,"memout" "rankfunc57_unsigned_64",1,3146,384,3530,9655,25875,1,1,2,5,4149,5501,5745,6773,2.36178146038322,0.318177110305541,3146,0.159088555152771,0.159088555152771,3.4943342776204,8,4,6.55354107648725,8,4,29.8003814367451,0.523285024154589,0.417352657004831,0.526421962022541,0.886558345642541,0.0593623188405797,1,0.911004431314623,0.988843620147968,0.520548998651765,0.5,0.526421962022541,0.994771443139914,1,0.569756602796479,0.701501812532367,0.524754509223879,0.497848035301953,0.290069435884794,0.473578037977459,1.04755972432042,0.552771688067648,"QuBE",3600,"timeout" "rankfunc57_unsigned_64",1,3146,384,3530,9655,25875,1,1,2,5,4149,5501,5745,6773,2.36178146038322,0.318177110305541,3146,0.159088555152771,0.159088555152771,3.4943342776204,8,4,6.55354107648725,8,4,29.8003814367451,0.523285024154589,0.417352657004831,0.526421962022541,0.886558345642541,0.0593623188405797,1,0.911004431314623,0.988843620147968,0.520548998651765,0.5,0.526421962022541,0.994771443139914,1,0.569756602796479,0.701501812532367,0.524754509223879,0.497848035301953,0.290069435884794,0.473578037977459,1.04755972432042,0.552771688067648,"sKizzo",3600,"memout" "rankfunc57_unsigned_64",1,3146,384,3530,9655,25875,1,1,2,5,4149,5501,5745,6773,2.36178146038322,0.318177110305541,3146,0.159088555152771,0.159088555152771,3.4943342776204,8,4,6.55354107648725,8,4,29.8003814367451,0.523285024154589,0.417352657004831,0.526421962022541,0.886558345642541,0.0593623188405797,1,0.911004431314623,0.988843620147968,0.520548998651765,0.5,0.526421962022541,0.994771443139914,1,0.569756602796479,0.701501812532367,0.524754509223879,0.497848035301953,0.290069435884794,0.473578037977459,1.04755972432042,0.552771688067648,"sSolve",3600,"timeout" "rankfunc58_signed_32",1,1822,256,2078,5426,14831,1,1,2,6,2176,3244,3094,3644,2.35587910062661,0.377441946185035,1822,0.188720973092518,0.188720973092518,3.39990375360924,8,4,6.30702598652551,8,4,25.1866081229418,0.523632931022857,0.407322500168566,0.527419228663068,0.868143188256503,0.0690445688085766,1,0.9097347411795,0.983013596065618,0.518460272602237,0.5,0.527419228663068,0.990121594509886,1,0.597862145226686,0.671581275340951,0.525385673933512,0.499007050067748,0.301006735713768,0.472580771336932,1.05139483294451,0.572925282602702,"X2clsQ",3600,"memout" "rankfunc58_signed_32",1,1822,256,2078,5426,14831,1,1,2,6,2176,3244,3094,3644,2.35587910062661,0.377441946185035,1822,0.188720973092518,0.188720973092518,3.39990375360924,8,4,6.30702598652551,8,4,25.1866081229418,0.523632931022857,0.407322500168566,0.527419228663068,0.868143188256503,0.0690445688085766,1,0.9097347411795,0.983013596065618,0.518460272602237,0.5,0.527419228663068,0.990121594509886,1,0.597862145226686,0.671581275340951,0.525385673933512,0.499007050067748,0.301006735713768,0.472580771336932,1.05139483294451,0.572925282602702,"quantor",3600,"memout" "rankfunc58_signed_32",1,1822,256,2078,5426,14831,1,1,2,6,2176,3244,3094,3644,2.35587910062661,0.377441946185035,1822,0.188720973092518,0.188720973092518,3.39990375360924,8,4,6.30702598652551,8,4,25.1866081229418,0.523632931022857,0.407322500168566,0.527419228663068,0.868143188256503,0.0690445688085766,1,0.9097347411795,0.983013596065618,0.518460272602237,0.5,0.527419228663068,0.990121594509886,1,0.597862145226686,0.671581275340951,0.525385673933512,0.499007050067748,0.301006735713768,0.472580771336932,1.05139483294451,0.572925282602702,"QuBE",3600,"timeout" "rankfunc58_signed_32",1,1822,256,2078,5426,14831,1,1,2,6,2176,3244,3094,3644,2.35587910062661,0.377441946185035,1822,0.188720973092518,0.188720973092518,3.39990375360924,8,4,6.30702598652551,8,4,25.1866081229418,0.523632931022857,0.407322500168566,0.527419228663068,0.868143188256503,0.0690445688085766,1,0.9097347411795,0.983013596065618,0.518460272602237,0.5,0.527419228663068,0.990121594509886,1,0.597862145226686,0.671581275340951,0.525385673933512,0.499007050067748,0.301006735713768,0.472580771336932,1.05139483294451,0.572925282602702,"sKizzo",3600,"memout" "rankfunc58_signed_32",1,1822,256,2078,5426,14831,1,1,2,6,2176,3244,3094,3644,2.35587910062661,0.377441946185035,1822,0.188720973092518,0.188720973092518,3.39990375360924,8,4,6.30702598652551,8,4,25.1866081229418,0.523632931022857,0.407322500168566,0.527419228663068,0.868143188256503,0.0690445688085766,1,0.9097347411795,0.983013596065618,0.518460272602237,0.5,0.527419228663068,0.990121594509886,1,0.597862145226686,0.671581275340951,0.525385673933512,0.499007050067748,0.301006735713768,0.472580771336932,1.05139483294451,0.572925282602702,"sSolve",3600,"timeout" "rankfunc59_unsigned_16",1,1143,160,1303,3388,9226,1,1,2,7,1356,2025,1900,2309,2.34533648170012,0.377804014167651,1143,0.188902007083825,0.188902007083825,3.36761320030698,8,4,6.24405218726017,8,4,25.2248468941382,0.524387600260134,0.406243225666594,0.528316133903851,0.867713931376602,0.0693691740732712,1,0.906986357999173,0.981823212917809,0.518713044025794,0.5,0.528316133903851,0.989178698673414,1,0.597697756788666,0.681523022432113,0.526205450733753,0.497630377148582,0.303517353831819,0.471683866096149,1.05030836238882,0.576803895528995,"X2clsQ",3600,"memout" "rankfunc59_unsigned_16",1,1143,160,1303,3388,9226,1,1,2,7,1356,2025,1900,2309,2.34533648170012,0.377804014167651,1143,0.188902007083825,0.188902007083825,3.36761320030698,8,4,6.24405218726017,8,4,25.2248468941382,0.524387600260134,0.406243225666594,0.528316133903851,0.867713931376602,0.0693691740732712,1,0.906986357999173,0.981823212917809,0.518713044025794,0.5,0.528316133903851,0.989178698673414,1,0.597697756788666,0.681523022432113,0.526205450733753,0.497630377148582,0.303517353831819,0.471683866096149,1.05030836238882,0.576803895528995,"quantor",3600,"memout" "rankfunc59_unsigned_16",1,1143,160,1303,3388,9226,1,1,2,7,1356,2025,1900,2309,2.34533648170012,0.377804014167651,1143,0.188902007083825,0.188902007083825,3.36761320030698,8,4,6.24405218726017,8,4,25.2248468941382,0.524387600260134,0.406243225666594,0.528316133903851,0.867713931376602,0.0693691740732712,1,0.906986357999173,0.981823212917809,0.518713044025794,0.5,0.528316133903851,0.989178698673414,1,0.597697756788666,0.681523022432113,0.526205450733753,0.497630377148582,0.303517353831819,0.471683866096149,1.05030836238882,0.576803895528995,"QuBE",3600,"timeout" "rankfunc59_unsigned_16",1,1143,160,1303,3388,9226,1,1,2,7,1356,2025,1900,2309,2.34533648170012,0.377804014167651,1143,0.188902007083825,0.188902007083825,3.36761320030698,8,4,6.24405218726017,8,4,25.2248468941382,0.524387600260134,0.406243225666594,0.528316133903851,0.867713931376602,0.0693691740732712,1,0.906986357999173,0.981823212917809,0.518713044025794,0.5,0.528316133903851,0.989178698673414,1,0.597697756788666,0.681523022432113,0.526205450733753,0.497630377148582,0.303517353831819,0.471683866096149,1.05030836238882,0.576803895528995,"sKizzo",0.01,"ok" "rankfunc59_unsigned_16",1,1143,160,1303,3388,9226,1,1,2,7,1356,2025,1900,2309,2.34533648170012,0.377804014167651,1143,0.188902007083825,0.188902007083825,3.36761320030698,8,4,6.24405218726017,8,4,25.2248468941382,0.524387600260134,0.406243225666594,0.528316133903851,0.867713931376602,0.0693691740732712,1,0.906986357999173,0.981823212917809,0.518713044025794,0.5,0.528316133903851,0.989178698673414,1,0.597697756788666,0.681523022432113,0.526205450733753,0.497630377148582,0.303517353831819,0.471683866096149,1.05030836238882,0.576803895528995,"sSolve",3600,"timeout" "rankfunc6_unsigned_16",1,629,64,693,1966,5241,1,1,2,6,880,1080,1287,1317,2.40539165818922,0.260427263479145,629,0.130213631739573,0.130213631739573,3.6998556998557,8,4,7.03030303030303,8,4,32.0095389507154,0.510780385422629,0.44037397443236,0.511947557623176,0.904370564064251,0.0488456401450105,1,0.957788569293986,0.994117556055668,0.50893605481302,0.5,0.511947557623176,0.996389190614509,1,0.549338758901322,0.669888097660224,0.511334002006018,0.510097542229231,0.278034102306921,0.488052442376824,1.0438572446686,0.543742644174186,"X2clsQ",3600,"memout" "rankfunc6_unsigned_16",1,629,64,693,1966,5241,1,1,2,6,880,1080,1287,1317,2.40539165818922,0.260427263479145,629,0.130213631739573,0.130213631739573,3.6998556998557,8,4,7.03030303030303,8,4,32.0095389507154,0.510780385422629,0.44037397443236,0.511947557623176,0.904370564064251,0.0488456401450105,1,0.957788569293986,0.994117556055668,0.50893605481302,0.5,0.511947557623176,0.996389190614509,1,0.549338758901322,0.669888097660224,0.511334002006018,0.510097542229231,0.278034102306921,0.488052442376824,1.0438572446686,0.543742644174186,"quantor",3600,"memout" "rankfunc6_unsigned_16",1,629,64,693,1966,5241,1,1,2,6,880,1080,1287,1317,2.40539165818922,0.260427263479145,629,0.130213631739573,0.130213631739573,3.6998556998557,8,4,7.03030303030303,8,4,32.0095389507154,0.510780385422629,0.44037397443236,0.511947557623176,0.904370564064251,0.0488456401450105,1,0.957788569293986,0.994117556055668,0.50893605481302,0.5,0.511947557623176,0.996389190614509,1,0.549338758901322,0.669888097660224,0.511334002006018,0.510097542229231,0.278034102306921,0.488052442376824,1.0438572446686,0.543742644174186,"QuBE",3600,"timeout" "rankfunc6_unsigned_16",1,629,64,693,1966,5241,1,1,2,6,880,1080,1287,1317,2.40539165818922,0.260427263479145,629,0.130213631739573,0.130213631739573,3.6998556998557,8,4,7.03030303030303,8,4,32.0095389507154,0.510780385422629,0.44037397443236,0.511947557623176,0.904370564064251,0.0488456401450105,1,0.957788569293986,0.994117556055668,0.50893605481302,0.5,0.511947557623176,0.996389190614509,1,0.549338758901322,0.669888097660224,0.511334002006018,0.510097542229231,0.278034102306921,0.488052442376824,1.0438572446686,0.543742644174186,"sKizzo",3600,"memout" "rankfunc6_unsigned_16",1,629,64,693,1966,5241,1,1,2,6,880,1080,1287,1317,2.40539165818922,0.260427263479145,629,0.130213631739573,0.130213631739573,3.6998556998557,8,4,7.03030303030303,8,4,32.0095389507154,0.510780385422629,0.44037397443236,0.511947557623176,0.904370564064251,0.0488456401450105,1,0.957788569293986,0.994117556055668,0.50893605481302,0.5,0.511947557623176,0.996389190614509,1,0.549338758901322,0.669888097660224,0.511334002006018,0.510097542229231,0.278034102306921,0.488052442376824,1.0438572446686,0.543742644174186,"sSolve",3600,"timeout" "rankfunc60_unsigned_32",1,1104,192,1296,3271,8763,1,1,2,5,1515,1751,1921,2196,2.24854784469581,0.430449403852033,1104,0.215224701926017,0.215224701926017,3.18441358024691,7.33333333333333,3.66666666666667,5.82561728395062,7.33333333333333,3.66666666666667,22.2173913043478,0.529042565331508,0.390619650804519,0.534602311352821,0.848144952545298,0.0803377838639735,1,0.890207075064711,0.985293898754149,0.526740395635801,0.5,0.534602311352821,0.995648422553176,1,0.535310302659737,0.671354325894222,0.531579600446705,0.498610788910049,0.294825660751954,0.465397688647179,1.06445148286784,0.554621848739496,"X2clsQ",3600,"memout" "rankfunc60_unsigned_32",1,1104,192,1296,3271,8763,1,1,2,5,1515,1751,1921,2196,2.24854784469581,0.430449403852033,1104,0.215224701926017,0.215224701926017,3.18441358024691,7.33333333333333,3.66666666666667,5.82561728395062,7.33333333333333,3.66666666666667,22.2173913043478,0.529042565331508,0.390619650804519,0.534602311352821,0.848144952545298,0.0803377838639735,1,0.890207075064711,0.985293898754149,0.526740395635801,0.5,0.534602311352821,0.995648422553176,1,0.535310302659737,0.671354325894222,0.531579600446705,0.498610788910049,0.294825660751954,0.465397688647179,1.06445148286784,0.554621848739496,"quantor",3600,"memout" "rankfunc60_unsigned_32",1,1104,192,1296,3271,8763,1,1,2,5,1515,1751,1921,2196,2.24854784469581,0.430449403852033,1104,0.215224701926017,0.215224701926017,3.18441358024691,7.33333333333333,3.66666666666667,5.82561728395062,7.33333333333333,3.66666666666667,22.2173913043478,0.529042565331508,0.390619650804519,0.534602311352821,0.848144952545298,0.0803377838639735,1,0.890207075064711,0.985293898754149,0.526740395635801,0.5,0.534602311352821,0.995648422553176,1,0.535310302659737,0.671354325894222,0.531579600446705,0.498610788910049,0.294825660751954,0.465397688647179,1.06445148286784,0.554621848739496,"QuBE",3600,"timeout" "rankfunc60_unsigned_32",1,1104,192,1296,3271,8763,1,1,2,5,1515,1751,1921,2196,2.24854784469581,0.430449403852033,1104,0.215224701926017,0.215224701926017,3.18441358024691,7.33333333333333,3.66666666666667,5.82561728395062,7.33333333333333,3.66666666666667,22.2173913043478,0.529042565331508,0.390619650804519,0.534602311352821,0.848144952545298,0.0803377838639735,1,0.890207075064711,0.985293898754149,0.526740395635801,0.5,0.534602311352821,0.995648422553176,1,0.535310302659737,0.671354325894222,0.531579600446705,0.498610788910049,0.294825660751954,0.465397688647179,1.06445148286784,0.554621848739496,"sKizzo",3600,"memout" "rankfunc60_unsigned_32",1,1104,192,1296,3271,8763,1,1,2,5,1515,1751,1921,2196,2.24854784469581,0.430449403852033,1104,0.215224701926017,0.215224701926017,3.18441358024691,7.33333333333333,3.66666666666667,5.82561728395062,7.33333333333333,3.66666666666667,22.2173913043478,0.529042565331508,0.390619650804519,0.534602311352821,0.848144952545298,0.0803377838639735,1,0.890207075064711,0.985293898754149,0.526740395635801,0.5,0.534602311352821,0.995648422553176,1,0.535310302659737,0.671354325894222,0.531579600446705,0.498610788910049,0.294825660751954,0.465397688647179,1.06445148286784,0.554621848739496,"sSolve",3600,"timeout" "rankfunc61_signed_32",1,1974,192,2166,6106,16564,1,1,2,6,2423,3677,3585,4140,2.46118571896495,0.251555846708156,1974,0.125777923354078,0.125777923354078,3.66204986149584,8,4,6.96952908587258,8,4,35.2077001013171,0.521130161796667,0.432504226032359,0.523289858929997,0.911028730305839,0.0463656121709732,1,0.918906394810009,0.995513762956101,0.520942256580169,0.5,0.523289858929997,0.999639427478443,1,0.602194562725188,0.678021618080576,0.522157508229932,0.497645127349389,0.274246644720182,0.476710141070003,1.04144176359445,0.525218234723569,"X2clsQ",3600,"memout" "rankfunc61_signed_32",1,1974,192,2166,6106,16564,1,1,2,6,2423,3677,3585,4140,2.46118571896495,0.251555846708156,1974,0.125777923354078,0.125777923354078,3.66204986149584,8,4,6.96952908587258,8,4,35.2077001013171,0.521130161796667,0.432504226032359,0.523289858929997,0.911028730305839,0.0463656121709732,1,0.918906394810009,0.995513762956101,0.520942256580169,0.5,0.523289858929997,0.999639427478443,1,0.602194562725188,0.678021618080576,0.522157508229932,0.497645127349389,0.274246644720182,0.476710141070003,1.04144176359445,0.525218234723569,"quantor",3600,"memout" "rankfunc61_signed_32",1,1974,192,2166,6106,16564,1,1,2,6,2423,3677,3585,4140,2.46118571896495,0.251555846708156,1974,0.125777923354078,0.125777923354078,3.66204986149584,8,4,6.96952908587258,8,4,35.2077001013171,0.521130161796667,0.432504226032359,0.523289858929997,0.911028730305839,0.0463656121709732,1,0.918906394810009,0.995513762956101,0.520942256580169,0.5,0.523289858929997,0.999639427478443,1,0.602194562725188,0.678021618080576,0.522157508229932,0.497645127349389,0.274246644720182,0.476710141070003,1.04144176359445,0.525218234723569,"QuBE",3600,"timeout" "rankfunc61_signed_32",1,1974,192,2166,6106,16564,1,1,2,6,2423,3677,3585,4140,2.46118571896495,0.251555846708156,1974,0.125777923354078,0.125777923354078,3.66204986149584,8,4,6.96952908587258,8,4,35.2077001013171,0.521130161796667,0.432504226032359,0.523289858929997,0.911028730305839,0.0463656121709732,1,0.918906394810009,0.995513762956101,0.520942256580169,0.5,0.523289858929997,0.999639427478443,1,0.602194562725188,0.678021618080576,0.522157508229932,0.497645127349389,0.274246644720182,0.476710141070003,1.04144176359445,0.525218234723569,"sKizzo",0.03,"ok" "rankfunc61_signed_32",1,1974,192,2166,6106,16564,1,1,2,6,2423,3677,3585,4140,2.46118571896495,0.251555846708156,1974,0.125777923354078,0.125777923354078,3.66204986149584,8,4,6.96952908587258,8,4,35.2077001013171,0.521130161796667,0.432504226032359,0.523289858929997,0.911028730305839,0.0463656121709732,1,0.918906394810009,0.995513762956101,0.520942256580169,0.5,0.523289858929997,0.999639427478443,1,0.602194562725188,0.678021618080576,0.522157508229932,0.497645127349389,0.274246644720182,0.476710141070003,1.04144176359445,0.525218234723569,"sSolve",3600,"timeout" "rankfunc7_signed_16",1,1000,128,1128,3034,8301,1,1,2,6,1213,1815,1768,2027,2.39848384970336,0.337508239947264,1000,0.168754119973632,0.168754119973632,3.52659574468085,8,4,6.59929078014184,8,4,29.092,0.520780628839899,0.41754005541501,0.523704823416243,0.881563728891973,0.0616793157450909,1,0.920194309507287,0.988851463679075,0.517866281170943,0.5,0.523704823416243,0.994403886190146,1,0.598220171390903,0.668094924192485,0.522146617024008,0.501944793940172,0.289639234818334,0.476295176583757,1.05041590542719,0.554708630440128,"X2clsQ",3600,"memout" "rankfunc7_signed_16",1,1000,128,1128,3034,8301,1,1,2,6,1213,1815,1768,2027,2.39848384970336,0.337508239947264,1000,0.168754119973632,0.168754119973632,3.52659574468085,8,4,6.59929078014184,8,4,29.092,0.520780628839899,0.41754005541501,0.523704823416243,0.881563728891973,0.0616793157450909,1,0.920194309507287,0.988851463679075,0.517866281170943,0.5,0.523704823416243,0.994403886190146,1,0.598220171390903,0.668094924192485,0.522146617024008,0.501944793940172,0.289639234818334,0.476295176583757,1.05041590542719,0.554708630440128,"quantor",3600,"memout" "rankfunc7_signed_16",1,1000,128,1128,3034,8301,1,1,2,6,1213,1815,1768,2027,2.39848384970336,0.337508239947264,1000,0.168754119973632,0.168754119973632,3.52659574468085,8,4,6.59929078014184,8,4,29.092,0.520780628839899,0.41754005541501,0.523704823416243,0.881563728891973,0.0616793157450909,1,0.920194309507287,0.988851463679075,0.517866281170943,0.5,0.523704823416243,0.994403886190146,1,0.598220171390903,0.668094924192485,0.522146617024008,0.501944793940172,0.289639234818334,0.476295176583757,1.05041590542719,0.554708630440128,"QuBE",3600,"timeout" "rankfunc7_signed_16",1,1000,128,1128,3034,8301,1,1,2,6,1213,1815,1768,2027,2.39848384970336,0.337508239947264,1000,0.168754119973632,0.168754119973632,3.52659574468085,8,4,6.59929078014184,8,4,29.092,0.520780628839899,0.41754005541501,0.523704823416243,0.881563728891973,0.0616793157450909,1,0.920194309507287,0.988851463679075,0.517866281170943,0.5,0.523704823416243,0.994403886190146,1,0.598220171390903,0.668094924192485,0.522146617024008,0.501944793940172,0.289639234818334,0.476295176583757,1.05041590542719,0.554708630440128,"sKizzo",0.01,"ok" "rankfunc7_signed_16",1,1000,128,1128,3034,8301,1,1,2,6,1213,1815,1768,2027,2.39848384970336,0.337508239947264,1000,0.168754119973632,0.168754119973632,3.52659574468085,8,4,6.59929078014184,8,4,29.092,0.520780628839899,0.41754005541501,0.523704823416243,0.881563728891973,0.0616793157450909,1,0.920194309507287,0.988851463679075,0.517866281170943,0.5,0.523704823416243,0.994403886190146,1,0.598220171390903,0.668094924192485,0.522146617024008,0.501944793940172,0.289639234818334,0.476295176583757,1.05041590542719,0.554708630440128,"sSolve",3600,"timeout" "rankfunc8_unsigned_32",1,1907,256,2163,5640,15599,1,1,2,6,2111,3523,3063,3722,2.40265957446808,0.363120567375887,1907,0.181560283687943,0.181560283687943,3.41701340730467,8,4,6.36061026352288,8,4,26.0293654955427,0.526187576126675,0.4081671902045,0.530145376724965,0.875243664717349,0.0656452336688249,1,0.900462962962963,0.985327068247857,0.522366589793565,0.5,0.530145376724965,0.992738356991938,1,0.624645390070922,0.659929078014184,0.528027444253859,0.495486826992006,0.296809605488851,0.469854623275035,1.0498212681216,0.562110187110187,"X2clsQ",3600,"memout" "rankfunc8_unsigned_32",1,1907,256,2163,5640,15599,1,1,2,6,2111,3523,3063,3722,2.40265957446808,0.363120567375887,1907,0.181560283687943,0.181560283687943,3.41701340730467,8,4,6.36061026352288,8,4,26.0293654955427,0.526187576126675,0.4081671902045,0.530145376724965,0.875243664717349,0.0656452336688249,1,0.900462962962963,0.985327068247857,0.522366589793565,0.5,0.530145376724965,0.992738356991938,1,0.624645390070922,0.659929078014184,0.528027444253859,0.495486826992006,0.296809605488851,0.469854623275035,1.0498212681216,0.562110187110187,"quantor",3600,"memout" "rankfunc8_unsigned_32",1,1907,256,2163,5640,15599,1,1,2,6,2111,3523,3063,3722,2.40265957446808,0.363120567375887,1907,0.181560283687943,0.181560283687943,3.41701340730467,8,4,6.36061026352288,8,4,26.0293654955427,0.526187576126675,0.4081671902045,0.530145376724965,0.875243664717349,0.0656452336688249,1,0.900462962962963,0.985327068247857,0.522366589793565,0.5,0.530145376724965,0.992738356991938,1,0.624645390070922,0.659929078014184,0.528027444253859,0.495486826992006,0.296809605488851,0.469854623275035,1.0498212681216,0.562110187110187,"QuBE",3600,"timeout" "rankfunc8_unsigned_32",1,1907,256,2163,5640,15599,1,1,2,6,2111,3523,3063,3722,2.40265957446808,0.363120567375887,1907,0.181560283687943,0.181560283687943,3.41701340730467,8,4,6.36061026352288,8,4,26.0293654955427,0.526187576126675,0.4081671902045,0.530145376724965,0.875243664717349,0.0656452336688249,1,0.900462962962963,0.985327068247857,0.522366589793565,0.5,0.530145376724965,0.992738356991938,1,0.624645390070922,0.659929078014184,0.528027444253859,0.495486826992006,0.296809605488851,0.469854623275035,1.0498212681216,0.562110187110187,"sKizzo",0.02,"ok" "rankfunc8_unsigned_32",1,1907,256,2163,5640,15599,1,1,2,6,2111,3523,3063,3722,2.40265957446808,0.363120567375887,1907,0.181560283687943,0.181560283687943,3.41701340730467,8,4,6.36061026352288,8,4,26.0293654955427,0.526187576126675,0.4081671902045,0.530145376724965,0.875243664717349,0.0656452336688249,1,0.900462962962963,0.985327068247857,0.522366589793565,0.5,0.530145376724965,0.992738356991938,1,0.624645390070922,0.659929078014184,0.528027444253859,0.495486826992006,0.296809605488851,0.469854623275035,1.0498212681216,0.562110187110187,"sSolve",3600,"timeout" "rankfunc9_signed_64",1,1739,128,1867,4622,12570,1,1,2,4,1854,2764,2757,2951,2.38554738208568,0.334054521852012,1739,0.167027260926006,0.167027260926006,3.19496518478843,12.0625,6.03125,5.97643277986074,12.0625,6.03125,22.9373202990224,0.525457438345266,0.413126491646778,0.529022310901506,0.883118849356548,0.0614160700079554,1,0.903103709311128,0.94173225680868,0.498197374747418,0.5,0.529022310901506,0.948121271850877,1,0.598009519688447,0.638468195586326,0.527123241227327,0.472557506993564,0.477214093490422,0.470977689098494,0.999324873186962,0.905317876668275,"X2clsQ",3600,"memout" "rankfunc9_signed_64",1,1739,128,1867,4622,12570,1,1,2,4,1854,2764,2757,2951,2.38554738208568,0.334054521852012,1739,0.167027260926006,0.167027260926006,3.19496518478843,12.0625,6.03125,5.97643277986074,12.0625,6.03125,22.9373202990224,0.525457438345266,0.413126491646778,0.529022310901506,0.883118849356548,0.0614160700079554,1,0.903103709311128,0.94173225680868,0.498197374747418,0.5,0.529022310901506,0.948121271850877,1,0.598009519688447,0.638468195586326,0.527123241227327,0.472557506993564,0.477214093490422,0.470977689098494,0.999324873186962,0.905317876668275,"quantor",3600,"memout" "rankfunc9_signed_64",1,1739,128,1867,4622,12570,1,1,2,4,1854,2764,2757,2951,2.38554738208568,0.334054521852012,1739,0.167027260926006,0.167027260926006,3.19496518478843,12.0625,6.03125,5.97643277986074,12.0625,6.03125,22.9373202990224,0.525457438345266,0.413126491646778,0.529022310901506,0.883118849356548,0.0614160700079554,1,0.903103709311128,0.94173225680868,0.498197374747418,0.5,0.529022310901506,0.948121271850877,1,0.598009519688447,0.638468195586326,0.527123241227327,0.472557506993564,0.477214093490422,0.470977689098494,0.999324873186962,0.905317876668275,"QuBE",3600,"timeout" "rankfunc9_signed_64",1,1739,128,1867,4622,12570,1,1,2,4,1854,2764,2757,2951,2.38554738208568,0.334054521852012,1739,0.167027260926006,0.167027260926006,3.19496518478843,12.0625,6.03125,5.97643277986074,12.0625,6.03125,22.9373202990224,0.525457438345266,0.413126491646778,0.529022310901506,0.883118849356548,0.0614160700079554,1,0.903103709311128,0.94173225680868,0.498197374747418,0.5,0.529022310901506,0.948121271850877,1,0.598009519688447,0.638468195586326,0.527123241227327,0.472557506993564,0.477214093490422,0.470977689098494,0.999324873186962,0.905317876668275,"sKizzo",0.02,"ok" "rankfunc9_signed_64",1,1739,128,1867,4622,12570,1,1,2,4,1854,2764,2757,2951,2.38554738208568,0.334054521852012,1739,0.167027260926006,0.167027260926006,3.19496518478843,12.0625,6.03125,5.97643277986074,12.0625,6.03125,22.9373202990224,0.525457438345266,0.413126491646778,0.529022310901506,0.883118849356548,0.0614160700079554,1,0.903103709311128,0.94173225680868,0.498197374747418,0.5,0.529022310901506,0.948121271850877,1,0.598009519688447,0.638468195586326,0.527123241227327,0.472557506993564,0.477214093490422,0.470977689098494,0.999324873186962,0.905317876668275,"sSolve",3600,"timeout" "ring_r4_ser--opt-11_-shuffled",1,364,9,373,5333,42668,2,1,3,4,726,4603,1266,905,1.43933995874742,6.56141008813051,182,3.65422838927433,2.90718169885618,60.8471849865952,3888,2165.33333333333,128.643431635389,7776,4330.66666666667,2119.14010989011,0.468079122527421,0.075185150464048,0.582073996873371,0.223713198477869,0.363363644886097,1.25696594427245,1.13639094732626,0.184348720558526,0.107304596593994,0.556927297668039,0.582073996873372,0.229244568769904,1.25696594427245,0.863116444777799,0.169698106131633,0.473143308884887,0.10135189594103,14.1102961629116,0.4270813578608,0.192370900190173,29.8224573780129,"X2clsQ",68.79,"ok" "ring_r4_ser--opt-11_-shuffled",1,364,9,373,5333,42668,2,1,3,4,726,4603,1266,905,1.43933995874742,6.56141008813051,182,3.65422838927433,2.90718169885618,60.8471849865952,3888,2165.33333333333,128.643431635389,7776,4330.66666666667,2119.14010989011,0.468079122527421,0.075185150464048,0.582073996873371,0.223713198477869,0.363363644886097,1.25696594427245,1.13639094732626,0.184348720558526,0.107304596593994,0.556927297668039,0.582073996873372,0.229244568769904,1.25696594427245,0.863116444777799,0.169698106131633,0.473143308884887,0.10135189594103,14.1102961629116,0.4270813578608,0.192370900190173,29.8224573780129,"quantor",0.38,"ok" "ring_r4_ser--opt-11_-shuffled",1,364,9,373,5333,42668,2,1,3,4,726,4603,1266,905,1.43933995874742,6.56141008813051,182,3.65422838927433,2.90718169885618,60.8471849865952,3888,2165.33333333333,128.643431635389,7776,4330.66666666667,2119.14010989011,0.468079122527421,0.075185150464048,0.582073996873371,0.223713198477869,0.363363644886097,1.25696594427245,1.13639094732626,0.184348720558526,0.107304596593994,0.556927297668039,0.582073996873372,0.229244568769904,1.25696594427245,0.863116444777799,0.169698106131633,0.473143308884887,0.10135189594103,14.1102961629116,0.4270813578608,0.192370900190173,29.8224573780129,"QuBE",0.13,"ok" "ring_r4_ser--opt-11_-shuffled",1,364,9,373,5333,42668,2,1,3,4,726,4603,1266,905,1.43933995874742,6.56141008813051,182,3.65422838927433,2.90718169885618,60.8471849865952,3888,2165.33333333333,128.643431635389,7776,4330.66666666667,2119.14010989011,0.468079122527421,0.075185150464048,0.582073996873371,0.223713198477869,0.363363644886097,1.25696594427245,1.13639094732626,0.184348720558526,0.107304596593994,0.556927297668039,0.582073996873372,0.229244568769904,1.25696594427245,0.863116444777799,0.169698106131633,0.473143308884887,0.10135189594103,14.1102961629116,0.4270813578608,0.192370900190173,29.8224573780129,"sKizzo",0.08,"ok" "ring_r4_ser--opt-11_-shuffled",1,364,9,373,5333,42668,2,1,3,4,726,4603,1266,905,1.43933995874742,6.56141008813051,182,3.65422838927433,2.90718169885618,60.8471849865952,3888,2165.33333333333,128.643431635389,7776,4330.66666666667,2119.14010989011,0.468079122527421,0.075185150464048,0.582073996873371,0.223713198477869,0.363363644886097,1.25696594427245,1.13639094732626,0.184348720558526,0.107304596593994,0.556927297668039,0.582073996873372,0.229244568769904,1.25696594427245,0.863116444777799,0.169698106131633,0.473143308884887,0.10135189594103,14.1102961629116,0.4270813578608,0.192370900190173,29.8224573780129,"sSolve",1.14,"ok" "ring_r6_ser---16_-shuffled",1,754,13,767,81826,1110382,2,1,3,6,1536,80284,2704,1950,1.06159411434019,12.5084447486129,377,6.80109011805539,5.70735463055752,769.953063885267,78732,42808.1538461538,1582.62842242503,157464,85616.3076923077,267746.793103448,0.468152401605934,0.0306633212714183,0.608039969608362,0.101606685288211,0.42058498786904,1.19163615340143,1.1360565417792,0.0795795407843179,0.048387541559944,0.543719883226056,0.608039969608362,0.103358524689732,1.19163615340143,0.981155134064967,0.0238310561435241,0.473066795389254,0.0445391009977847,23.921556200519,0.393032770915326,0.0845251364082976,50.5669736994236,"X2clsQ",3600,"timeout" "ring_r6_ser---16_-shuffled",1,754,13,767,81826,1110382,2,1,3,6,1536,80284,2704,1950,1.06159411434019,12.5084447486129,377,6.80109011805539,5.70735463055752,769.953063885267,78732,42808.1538461538,1582.62842242503,157464,85616.3076923077,267746.793103448,0.468152401605934,0.0306633212714183,0.608039969608362,0.101606685288211,0.42058498786904,1.19163615340143,1.1360565417792,0.0795795407843179,0.048387541559944,0.543719883226056,0.608039969608362,0.103358524689732,1.19163615340143,0.981155134064967,0.0238310561435241,0.473066795389254,0.0445391009977847,23.921556200519,0.393032770915326,0.0845251364082976,50.5669736994236,"quantor",3414.4,"ok" "ring_r6_ser---16_-shuffled",1,754,13,767,81826,1110382,2,1,3,6,1536,80284,2704,1950,1.06159411434019,12.5084447486129,377,6.80109011805539,5.70735463055752,769.953063885267,78732,42808.1538461538,1582.62842242503,157464,85616.3076923077,267746.793103448,0.468152401605934,0.0306633212714183,0.608039969608362,0.101606685288211,0.42058498786904,1.19163615340143,1.1360565417792,0.0795795407843179,0.048387541559944,0.543719883226056,0.608039969608362,0.103358524689732,1.19163615340143,0.981155134064967,0.0238310561435241,0.473066795389254,0.0445391009977847,23.921556200519,0.393032770915326,0.0845251364082976,50.5669736994236,"QuBE",3600,"timeout" "ring_r6_ser---16_-shuffled",1,754,13,767,81826,1110382,2,1,3,6,1536,80284,2704,1950,1.06159411434019,12.5084447486129,377,6.80109011805539,5.70735463055752,769.953063885267,78732,42808.1538461538,1582.62842242503,157464,85616.3076923077,267746.793103448,0.468152401605934,0.0306633212714183,0.608039969608362,0.101606685288211,0.42058498786904,1.19163615340143,1.1360565417792,0.0795795407843179,0.048387541559944,0.543719883226056,0.608039969608362,0.103358524689732,1.19163615340143,0.981155134064967,0.0238310561435241,0.473066795389254,0.0445391009977847,23.921556200519,0.393032770915326,0.0845251364082976,50.5669736994236,"sKizzo",3600,"memout" "ring_r6_ser---16_-shuffled",1,754,13,767,81826,1110382,2,1,3,6,1536,80284,2704,1950,1.06159411434019,12.5084447486129,377,6.80109011805539,5.70735463055752,769.953063885267,78732,42808.1538461538,1582.62842242503,157464,85616.3076923077,267746.793103448,0.468152401605934,0.0306633212714183,0.608039969608362,0.101606685288211,0.42058498786904,1.19163615340143,1.1360565417792,0.0795795407843179,0.048387541559944,0.543719883226056,0.608039969608362,0.103358524689732,1.19163615340143,0.981155134064967,0.0238310561435241,0.473066795389254,0.0445391009977847,23.921556200519,0.393032770915326,0.0845251364082976,50.5669736994236,"sSolve",3600,"timeout" "ring_r6_ser--opt-17_-shuffled",1,800,13,813,82019,1110890,2,1,3,6,1632,80381,2872,2065,1.06528974993599,12.4790109608749,400,6.78508638242358,5.69392457845133,726.651906519065,78732,42808.1538461538,1493.77367773678,157464,85616.3076923077,252357.5325,0.468202972391506,0.0308419375455716,0.607869617964154,0.102114503904853,0.42039265813897,1.19163615340143,1.13582582547941,0.0799303508898271,0.04858723185914,0.543719883226056,0.607869617964154,0.103773864593307,1.19163615340143,0.980029017666638,0.0251770931125715,0.473114678138848,0.0447188481859382,25.3421887470092,0.3932673144479,0.0848739684528975,53.5645794095863,"X2clsQ",3600,"timeout" "ring_r6_ser--opt-17_-shuffled",1,800,13,813,82019,1110890,2,1,3,6,1632,80381,2872,2065,1.06528974993599,12.4790109608749,400,6.78508638242358,5.69392457845133,726.651906519065,78732,42808.1538461538,1493.77367773678,157464,85616.3076923077,252357.5325,0.468202972391506,0.0308419375455716,0.607869617964154,0.102114503904853,0.42039265813897,1.19163615340143,1.13582582547941,0.0799303508898271,0.04858723185914,0.543719883226056,0.607869617964154,0.103773864593307,1.19163615340143,0.980029017666638,0.0251770931125715,0.473114678138848,0.0447188481859382,25.3421887470092,0.3932673144479,0.0848739684528975,53.5645794095863,"quantor",3600,"timeout" "ring_r6_ser--opt-17_-shuffled",1,800,13,813,82019,1110890,2,1,3,6,1632,80381,2872,2065,1.06528974993599,12.4790109608749,400,6.78508638242358,5.69392457845133,726.651906519065,78732,42808.1538461538,1493.77367773678,157464,85616.3076923077,252357.5325,0.468202972391506,0.0308419375455716,0.607869617964154,0.102114503904853,0.42039265813897,1.19163615340143,1.13582582547941,0.0799303508898271,0.04858723185914,0.543719883226056,0.607869617964154,0.103773864593307,1.19163615340143,0.980029017666638,0.0251770931125715,0.473114678138848,0.0447188481859382,25.3421887470092,0.3932673144479,0.0848739684528975,53.5645794095863,"QuBE",3600,"timeout" "ring_r6_ser--opt-17_-shuffled",1,800,13,813,82019,1110890,2,1,3,6,1632,80381,2872,2065,1.06528974993599,12.4790109608749,400,6.78508638242358,5.69392457845133,726.651906519065,78732,42808.1538461538,1493.77367773678,157464,85616.3076923077,252357.5325,0.468202972391506,0.0308419375455716,0.607869617964154,0.102114503904853,0.42039265813897,1.19163615340143,1.13582582547941,0.0799303508898271,0.04858723185914,0.543719883226056,0.607869617964154,0.103773864593307,1.19163615340143,0.980029017666638,0.0251770931125715,0.473114678138848,0.0447188481859382,25.3421887470092,0.3932673144479,0.0848739684528975,53.5645794095863,"sKizzo",3600,"memout" "ring_r6_ser--opt-17_-shuffled",1,800,13,813,82019,1110890,2,1,3,6,1632,80381,2872,2065,1.06528974993599,12.4790109608749,400,6.78508638242358,5.69392457845133,726.651906519065,78732,42808.1538461538,1493.77367773678,157464,85616.3076923077,252357.5325,0.468202972391506,0.0308419375455716,0.607869617964154,0.102114503904853,0.42039265813897,1.19163615340143,1.13582582547941,0.0799303508898271,0.04858723185914,0.543719883226056,0.607869617964154,0.103773864593307,1.19163615340143,0.980029017666638,0.0251770931125715,0.473114678138848,0.0447188481859382,25.3421887470092,0.3932673144479,0.0848739684528975,53.5645794095863,"sSolve",3600,"timeout" "ring_r7_ser---19_-shuffled",1,1028,14,1042,325752,4833552,2,1,3,7,2109,323636,3745,2657,1.02134752818095,13.8167870036101,514,7.0513795771016,6.76540742650851,2326.4980806142,321489,164071.5,4773.40115163148,642978,328143,2717782.85214008,0.498461793728504,0.0263181196767926,0.617650418086839,0.0852913722050967,0.455947303349586,1.04226976035066,1.00617181212622,0.0697700191420724,0.0430934814930278,0.510348721107099,0.617650418086839,0.0864529278576953,1.04226976035066,0.99350426091014,0.00815651170215379,0.502333603550944,0.0385270702486783,32.8241425660374,0.382667050795691,0.0774154544561903,65.3433143512737,"X2clsQ",3600,"timeout" "ring_r7_ser---19_-shuffled",1,1028,14,1042,325752,4833552,2,1,3,7,2109,323636,3745,2657,1.02134752818095,13.8167870036101,514,7.0513795771016,6.76540742650851,2326.4980806142,321489,164071.5,4773.40115163148,642978,328143,2717782.85214008,0.498461793728504,0.0263181196767926,0.617650418086839,0.0852913722050967,0.455947303349586,1.04226976035066,1.00617181212622,0.0697700191420724,0.0430934814930278,0.510348721107099,0.617650418086839,0.0864529278576953,1.04226976035066,0.99350426091014,0.00815651170215379,0.502333603550944,0.0385270702486783,32.8241425660374,0.382667050795691,0.0774154544561903,65.3433143512737,"quantor",3600,"timeout" "ring_r7_ser---19_-shuffled",1,1028,14,1042,325752,4833552,2,1,3,7,2109,323636,3745,2657,1.02134752818095,13.8167870036101,514,7.0513795771016,6.76540742650851,2326.4980806142,321489,164071.5,4773.40115163148,642978,328143,2717782.85214008,0.498461793728504,0.0263181196767926,0.617650418086839,0.0852913722050967,0.455947303349586,1.04226976035066,1.00617181212622,0.0697700191420724,0.0430934814930278,0.510348721107099,0.617650418086839,0.0864529278576953,1.04226976035066,0.99350426091014,0.00815651170215379,0.502333603550944,0.0385270702486783,32.8241425660374,0.382667050795691,0.0774154544561903,65.3433143512737,"QuBE",3600,"timeout" "ring_r7_ser---19_-shuffled",1,1028,14,1042,325752,4833552,2,1,3,7,2109,323636,3745,2657,1.02134752818095,13.8167870036101,514,7.0513795771016,6.76540742650851,2326.4980806142,321489,164071.5,4773.40115163148,642978,328143,2717782.85214008,0.498461793728504,0.0263181196767926,0.617650418086839,0.0852913722050967,0.455947303349586,1.04226976035066,1.00617181212622,0.0697700191420724,0.0430934814930278,0.510348721107099,0.617650418086839,0.0864529278576953,1.04226976035066,0.99350426091014,0.00815651170215379,0.502333603550944,0.0385270702486783,32.8241425660374,0.382667050795691,0.0774154544561903,65.3433143512737,"sKizzo",3600,"memout" "ring_r7_ser---19_-shuffled",1,1028,14,1042,325752,4833552,2,1,3,7,2109,323636,3745,2657,1.02134752818095,13.8167870036101,514,7.0513795771016,6.76540742650851,2326.4980806142,321489,164071.5,4773.40115163148,642978,328143,2717782.85214008,0.498461793728504,0.0263181196767926,0.617650418086839,0.0852913722050967,0.455947303349586,1.04226976035066,1.00617181212622,0.0697700191420724,0.0430934814930278,0.510348721107099,0.617650418086839,0.0864529278576953,1.04226976035066,0.99350426091014,0.00815651170215379,0.502333603550944,0.0385270702486783,32.8241425660374,0.382667050795691,0.0774154544561903,65.3433143512737,"sSolve",3600,"timeout" "robots_1_5_2_10.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",278.61,"ok" "robots_1_5_2_10.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",1.02,"ok" "robots_1_5_2_10.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.69,"ok" "robots_1_5_2_10.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.11,"ok" "robots_1_5_2_10.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",1.06,"ok" "robots_1_5_2_100.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",267.75,"ok" "robots_1_5_2_100.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",1.46,"ok" "robots_1_5_2_100.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.5,"ok" "robots_1_5_2_100.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.1,"ok" "robots_1_5_2_100.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",0.9,"ok" "robots_1_5_2_100.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",2332.71,"ok" "robots_1_5_2_100.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_100.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",3.16,"ok" "robots_1_5_2_100.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",0.81,"ok" "robots_1_5_2_100.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",5.12,"ok" "robots_1_5_2_100.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_100.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_100.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",245.69,"ok" "robots_1_5_2_100.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",36.55,"ok" "robots_1_5_2_100.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_2_14.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",325.58,"ok" "robots_1_5_2_14.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",0.94,"ok" "robots_1_5_2_14.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.71,"ok" "robots_1_5_2_14.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_2_14.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",0.95,"ok" "robots_1_5_2_2.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3935381356,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",3600,"timeout" "robots_1_5_2_2.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3935381356,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_2.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3935381356,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",3.99,"ok" "robots_1_5_2_2.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3935381356,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",0.76,"ok" "robots_1_5_2_2.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3935381356,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",7.49,"ok" "robots_1_5_2_21.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",3600,"timeout" "robots_1_5_2_21.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_21.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",0.48,"ok" "robots_1_5_2_21.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",0.34,"ok" "robots_1_5_2_21.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",1.87,"ok" "robots_1_5_2_28.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9926854754,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",301.34,"ok" "robots_1_5_2_28.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9926854754,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",1,"ok" "robots_1_5_2_28.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9926854754,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.54,"ok" "robots_1_5_2_28.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9926854754,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.11,"ok" "robots_1_5_2_28.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9926854754,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",1.05,"ok" "robots_1_5_2_3.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",358.45,"ok" "robots_1_5_2_3.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_3.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",0.49,"ok" "robots_1_5_2_3.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",0.36,"ok" "robots_1_5_2_3.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",1.8,"ok" "robots_1_5_2_31.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",310.62,"ok" "robots_1_5_2_31.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",1.17,"ok" "robots_1_5_2_31.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.53,"ok" "robots_1_5_2_31.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.08,"ok" "robots_1_5_2_31.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",0.92,"ok" "robots_1_5_2_32.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_32.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_32.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",2171.47,"ok" "robots_1_5_2_32.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",310.04,"ok" "robots_1_5_2_32.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_2_33.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",372.35,"ok" "robots_1_5_2_33.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",0.85,"ok" "robots_1_5_2_33.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.7,"ok" "robots_1_5_2_33.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.1,"ok" "robots_1_5_2_33.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",1.03,"ok" "robots_1_5_2_39.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",3600,"timeout" "robots_1_5_2_39.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_39.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",6.13,"ok" "robots_1_5_2_39.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",1.53,"ok" "robots_1_5_2_39.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",17.19,"ok" "robots_1_5_2_40.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_40.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_40.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",166.92,"ok" "robots_1_5_2_40.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",1081.22,"ok" "robots_1_5_2_40.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_2_45.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",2891.91,"ok" "robots_1_5_2_45.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_45.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",4.52,"ok" "robots_1_5_2_45.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",1.07,"ok" "robots_1_5_2_45.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",7.37,"ok" "robots_1_5_2_47.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",379.8,"ok" "robots_1_5_2_47.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",0.95,"ok" "robots_1_5_2_47.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.51,"ok" "robots_1_5_2_47.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.1,"ok" "robots_1_5_2_47.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9937304075,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",0.91,"ok" "robots_1_5_2_49.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",374.85,"ok" "robots_1_5_2_49.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",1.1,"ok" "robots_1_5_2_49.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.22,"ok" "robots_1_5_2_49.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_2_49.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",0.9,"ok" "robots_1_5_2_54.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_54.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_54.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",1133.98,"ok" "robots_1_5_2_54.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",149.32,"ok" "robots_1_5_2_54.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_2_61.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_61.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_61.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",4.54,"ok" "robots_1_5_2_61.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",330.08,"ok" "robots_1_5_2_61.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",3349.48,"ok" "robots_1_5_2_67.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_67.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_67.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",589.17,"ok" "robots_1_5_2_67.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",105.43,"ok" "robots_1_5_2_67.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_2_75.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_75.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_75.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",1.08,"ok" "robots_1_5_2_75.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",1.02,"ok" "robots_1_5_2_75.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1432,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",112.64,"ok" "robots_1_5_2_80.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",3046.4,"ok" "robots_1_5_2_80.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_80.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",3.92,"ok" "robots_1_5_2_80.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",0.69,"ok" "robots_1_5_2_80.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",6.09,"ok" "robots_1_5_2_81.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",439.96,"ok" "robots_1_5_2_81.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",1.04,"ok" "robots_1_5_2_81.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.24,"ok" "robots_1_5_2_81.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_2_81.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9958202717,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",0.89,"ok" "robots_1_5_2_82.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3945974576,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",1874.58,"ok" "robots_1_5_2_82.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3945974576,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_82.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3945974576,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",6.26,"ok" "robots_1_5_2_82.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3945974576,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",1.48,"ok" "robots_1_5_2_82.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3945974576,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",11.61,"ok" "robots_1_5_2_82.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3527.21,"ok" "robots_1_5_2_82.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_82.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",4.75,"ok" "robots_1_5_2_82.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",350.72,"ok" "robots_1_5_2_82.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1437333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",2001.31,"ok" "robots_1_5_2_85.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"X2clsQ",87.33,"ok" "robots_1_5_2_85.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"quantor",0.73,"ok" "robots_1_5_2_85.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"QuBE",0.24,"ok" "robots_1_5_2_85.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sKizzo",0.1,"ok" "robots_1_5_2_85.2",1,1914,10,1924,8640,35339,1,1,2,4,1353,7283,1461,641,3.553125,0.537037037037037,1914,0.472222222222222,0.0648148148148148,9.6049896049896,464,408,17.0893970893971,464,408,10458.9947753396,0.477064999009593,0.407481818953564,0.530929346232776,0.966783320481642,0.0158465151815275,7.28571428571429,1.09615042410582,0.873238966850499,0.46362819377492,0.879310344827586,0.530929346232776,0.971834435008714,7.28571428571429,0.842939814814815,0.0741898148148148,0.502104848723462,0.438391081187131,1.6315454738181,0.469070653767224,0.880488753632474,3.24941190662887,"sSolve",0.89,"ok" "robots_1_5_2_85.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",323.02,"ok" "robots_1_5_2_85.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_85.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",0.5,"ok" "robots_1_5_2_85.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",0.36,"ok" "robots_1_5_2_85.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",1.86,"ok" "robots_1_5_2_9.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_9.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_9.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",8.66,"ok" "robots_1_5_2_9.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",949.3,"ok" "robots_1_5_2_9.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1434666667,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_2_91.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1429333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_2_91.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1429333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"quantor",3600,"memout" "robots_1_5_2_91.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1429333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"QuBE",248.5,"ok" "robots_1_5_2_91.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1429333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sKizzo",41.14,"ok" "robots_1_5_2_91.8",1,7500,40,7540,30444,132893,1,1,2,4,1359,29081,1767,2513,3.75551832873473,0.609643936407831,7500,0.536066219944817,0.0735777164630141,9.75994694960212,464,408,17.3554376657825,464,408,12935.1429333333,0.446246228168527,0.430948206451807,0.499094749547375,0.962227880545672,0.0168556658364248,7.28571428571429,1.24091529939463,0.864927242217423,0.431680645331206,0.879310344827586,0.499094749547375,0.967359762575249,7.28571428571429,0.95522927342005,0.0825450006569439,0.470686740067792,0.465771723038839,1.70791098023671,0.500905250452625,0.879954760813083,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_2_99.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"X2clsQ",3600,"timeout" "robots_1_5_2_99.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"quantor",3600,"memout" "robots_1_5_2_99.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"QuBE",5.02,"ok" "robots_1_5_2_99.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sKizzo",0.85,"ok" "robots_1_5_2_99.4",1,3776,20,3796,15908,67857,1,1,2,4,1355,14549,1563,1265,3.68223535328137,0.583354287151119,3776,0.512949459391501,0.0704048277596178,9.70758693361433,464,408,17.2655426765016,464,408,12098.3940677966,0.456946225149948,0.422800890107137,0.510217320791437,0.963879124068759,0.0165052979058903,7.28571428571429,1.188441319702,0.867814072739707,0.442773771138358,0.879310344827586,0.510217320791437,0.968984416039462,7.28571428571429,0.914571284888107,0.079519738496354,0.481626777607289,0.456237400473966,1.68131989812867,0.489782679208563,0.880133040761755,3.49091864551516,"sSolve",12.62,"ok" "robots_1_5_3_100.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",322.65,"ok" "robots_1_5_3_100.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",0.87,"ok" "robots_1_5_3_100.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.54,"ok" "robots_1_5_3_100.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_3_100.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",0.91,"ok" "robots_1_5_3_100.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",1255.73,"ok" "robots_1_5_3_100.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_100.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",5.04,"ok" "robots_1_5_3_100.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.69,"ok" "robots_1_5_3_100.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",6.98,"ok" "robots_1_5_3_100.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_3_100.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_100.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",10.6,"ok" "robots_1_5_3_100.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",1376.55,"ok" "robots_1_5_3_100.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",2131.19,"ok" "robots_1_5_3_16.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",991.97,"ok" "robots_1_5_3_16.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_16.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",4.76,"ok" "robots_1_5_3_16.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.75,"ok" "robots_1_5_3_16.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",7.14,"ok" "robots_1_5_3_16.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_3_16.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_16.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",1590.64,"ok" "robots_1_5_3_16.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",655.7,"ok" "robots_1_5_3_16.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_3_2.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",2882.31,"ok" "robots_1_5_3_2.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_2.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",6.12,"ok" "robots_1_5_3_2.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",1.03,"ok" "robots_1_5_3_2.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",17.16,"ok" "robots_1_5_3_20.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",1794.35,"ok" "robots_1_5_3_20.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_20.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",3.52,"ok" "robots_1_5_3_20.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.67,"ok" "robots_1_5_3_20.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",10.48,"ok" "robots_1_5_3_24.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",3600,"timeout" "robots_1_5_3_24.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_24.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",5.67,"ok" "robots_1_5_3_24.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.9,"ok" "robots_1_5_3_24.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",11.3,"ok" "robots_1_5_3_25.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",282.65,"ok" "robots_1_5_3_25.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",1.08,"ok" "robots_1_5_3_25.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.55,"ok" "robots_1_5_3_25.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_3_25.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",0.94,"ok" "robots_1_5_3_26.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",342.26,"ok" "robots_1_5_3_26.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",1.5,"ok" "robots_1_5_3_26.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.71,"ok" "robots_1_5_3_26.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_3_26.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",0.96,"ok" "robots_1_5_3_27.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_3_27.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_27.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",7.28,"ok" "robots_1_5_3_27.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",552.07,"ok" "robots_1_5_3_27.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_3_30.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",16.76,"ok" "robots_1_5_3_30.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",1.48,"ok" "robots_1_5_3_30.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.66,"ok" "robots_1_5_3_30.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_3_30.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",0.99,"ok" "robots_1_5_3_39.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_3_39.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_39.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",1.08,"ok" "robots_1_5_3_39.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",1.52,"ok" "robots_1_5_3_39.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",105.47,"ok" "robots_1_5_3_44.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",338.68,"ok" "robots_1_5_3_44.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_44.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",4.59,"ok" "robots_1_5_3_44.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.69,"ok" "robots_1_5_3_44.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",7.3,"ok" "robots_1_5_3_44.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",1759.7,"ok" "robots_1_5_3_44.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_44.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",3.34,"ok" "robots_1_5_3_44.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_3_44.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1488,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",916.15,"ok" "robots_1_5_3_51.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",271.46,"ok" "robots_1_5_3_51.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",0.92,"ok" "robots_1_5_3_51.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.51,"ok" "robots_1_5_3_51.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.1,"ok" "robots_1_5_3_51.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",0.91,"ok" "robots_1_5_3_52.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",498.15,"ok" "robots_1_5_3_52.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",1.21,"ok" "robots_1_5_3_52.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.72,"ok" "robots_1_5_3_52.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_3_52.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0167189133,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",1.06,"ok" "robots_1_5_3_52.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",3270.04,"ok" "robots_1_5_3_52.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_52.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",7.09,"ok" "robots_1_5_3_52.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.95,"ok" "robots_1_5_3_52.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405190678,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",12.73,"ok" "robots_1_5_3_55.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_3_55.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_55.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",5.34,"ok" "robots_1_5_3_55.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",448.26,"ok" "robots_1_5_3_55.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",3433.39,"ok" "robots_1_5_3_57.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",3600,"timeout" "robots_1_5_3_57.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_57.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",6.32,"ok" "robots_1_5_3_57.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.94,"ok" "robots_1_5_3_57.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4041313559,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",11.35,"ok" "robots_1_5_3_62.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",374.05,"ok" "robots_1_5_3_62.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",1.34,"ok" "robots_1_5_3_62.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.71,"ok" "robots_1_5_3_62.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.11,"ok" "robots_1_5_3_62.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",1.2,"ok" "robots_1_5_3_64.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_3_64.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_64.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",21.24,"ok" "robots_1_5_3_64.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_3_64.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_3_66.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",2154.51,"ok" "robots_1_5_3_66.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_66.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",5.35,"ok" "robots_1_5_3_66.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.79,"ok" "robots_1_5_3_66.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.4046610169,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",9.78,"ok" "robots_1_5_3_7.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0177638453,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",17.51,"ok" "robots_1_5_3_7.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0177638453,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",1.28,"ok" "robots_1_5_3_7.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0177638453,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.67,"ok" "robots_1_5_3_7.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0177638453,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_3_7.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0177638453,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",0.95,"ok" "robots_1_5_3_70.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_3_70.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_70.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",3.52,"ok" "robots_1_5_3_70.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",920.17,"ok" "robots_1_5_3_70.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",1630.62,"ok" "robots_1_5_3_78.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405720339,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"X2clsQ",2095,"ok" "robots_1_5_3_78.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405720339,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"quantor",3600,"memout" "robots_1_5_3_78.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405720339,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"QuBE",3.75,"ok" "robots_1_5_3_78.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405720339,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sKizzo",0.55,"ok" "robots_1_5_3_78.4",1,3776,20,3796,15909,67858,1,1,2,5,1355,14549,1564,1266,3.68206675466717,0.583317618957823,3776,0.512917216669809,0.0704004022880131,9.70785036880927,464,408,17.2660695468915,464,408,12098.405720339,0.456939491290636,0.422809396091839,0.510208610741234,0.963879124068759,0.0165050546729936,7.28571428571429,1.18847357048408,0.867816098772561,0.442767246133626,0.879310344827586,0.510208610741234,0.968984416039462,7.28571428571429,0.914513797221698,0.0795775975862719,0.481619159100256,0.456246085646064,1.68129330254042,0.489791389258766,0.880136860101092,3.49091864551516,"sSolve",11.9,"ok" "robots_1_5_3_8.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",1244.85,"ok" "robots_1_5_3_8.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_8.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",3.18,"ok" "robots_1_5_3_8.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_3_8.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1490666667,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",735.51,"ok" "robots_1_5_3_80.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",500.9,"ok" "robots_1_5_3_80.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",1.34,"ok" "robots_1_5_3_80.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.7,"ok" "robots_1_5_3_80.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_3_80.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",0.96,"ok" "robots_1_5_3_90.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_3_90.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"quantor",3600,"memout" "robots_1_5_3_90.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"QuBE",9.36,"ok" "robots_1_5_3_90.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_3_90.8",1,7500,40,7540,30445,132894,1,1,2,5,1359,29081,1768,2514,3.75542782066021,0.609623911972409,7500,0.536048612251601,0.073575299720808,9.76007957559682,464,408,17.3557029177719,464,408,12935.1493333333,0.446242870257498,0.430952488449441,0.499090384312628,0.962227880545672,0.0168555390010083,7.28571428571429,1.24093216194796,0.864928298744363,0.431677397023191,0.879310344827586,0.499090384312628,0.967359762575249,7.28571428571429,0.955197897848579,0.0825751354902283,0.47068293235394,0.46577608792963,1.70789716375168,0.500909615687372,0.87995667700835,3.62855129505182,"sSolve",2858.34,"ok" "robots_1_5_3_91.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"X2clsQ",378.33,"ok" "robots_1_5_3_91.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"quantor",1.57,"ok" "robots_1_5_3_91.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"QuBE",0.72,"ok" "robots_1_5_3_91.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sKizzo",0.1,"ok" "robots_1_5_3_91.2",1,1914,10,1924,8641,35340,1,1,2,5,1353,7283,1462,642,3.55282953361879,0.536974887165837,1914,0.472167573197547,0.0648073139682907,9.60550935550935,464,408,17.0904365904366,464,408,10459.0156739812,0.477051499717035,0.407498585172609,0.530912052117264,0.966783320481642,0.0158460667798529,7.28571428571429,1.09620973960496,0.873242701589988,0.463615074697564,0.879310344827586,0.530912052117264,0.971834435008714,7.28571428571429,0.842842263626895,0.0742969563707904,0.502089642640824,0.438408247558397,1.63149606299213,0.469087947882736,0.880496340513245,3.24941190662887,"sSolve",0.96,"ok" "robots_1_5_4_1.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1549333333,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_1.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1549333333,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_1.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1549333333,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",21.27,"ok" "robots_1_5_4_1.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1549333333,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_4_1.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1549333333,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_4_100.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",15.63,"ok" "robots_1_5_4_100.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.15,"ok" "robots_1_5_4_100.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.43,"ok" "robots_1_5_4_100.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.08,"ok" "robots_1_5_4_100.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",1.02,"ok" "robots_1_5_4_100.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",963.65,"ok" "robots_1_5_4_100.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_100.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",2.88,"ok" "robots_1_5_4_100.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.46,"ok" "robots_1_5_4_100.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",6.48,"ok" "robots_1_5_4_100.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_100.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_100.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",3.35,"ok" "robots_1_5_4_100.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_4_100.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",874.63,"ok" "robots_1_5_4_17.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_17.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_17.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",9.05,"ok" "robots_1_5_4_17.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",3569.41,"ok" "robots_1_5_4_17.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_4_2.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",880.92,"ok" "robots_1_5_4_2.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_2.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",3.57,"ok" "robots_1_5_4_2.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.51,"ok" "robots_1_5_4_2.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",17.71,"ok" "robots_1_5_4_22.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",19.19,"ok" "robots_1_5_4_22.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.38,"ok" "robots_1_5_4_22.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.64,"ok" "robots_1_5_4_22.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_4_22.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",1.13,"ok" "robots_1_5_4_24.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_24.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_24.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",10.41,"ok" "robots_1_5_4_24.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_4_24.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_4_30.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",788.9,"ok" "robots_1_5_4_30.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_30.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",2.91,"ok" "robots_1_5_4_30.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.47,"ok" "robots_1_5_4_30.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",6.23,"ok" "robots_1_5_4_31.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",651.67,"ok" "robots_1_5_4_31.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_31.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",2.84,"ok" "robots_1_5_4_31.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.42,"ok" "robots_1_5_4_31.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",9.62,"ok" "robots_1_5_4_32.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",15.57,"ok" "robots_1_5_4_32.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.26,"ok" "robots_1_5_4_32.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.62,"ok" "robots_1_5_4_32.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.11,"ok" "robots_1_5_4_32.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",1.02,"ok" "robots_1_5_4_44.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",20.57,"ok" "robots_1_5_4_44.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.03,"ok" "robots_1_5_4_44.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.46,"ok" "robots_1_5_4_44.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_4_44.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",1.05,"ok" "robots_1_5_4_46.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",632.56,"ok" "robots_1_5_4_46.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_46.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",3.05,"ok" "robots_1_5_4_46.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.47,"ok" "robots_1_5_4_46.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",8.57,"ok" "robots_1_5_4_46.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_46.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_46.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",20.08,"ok" "robots_1_5_4_46.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",199.26,"ok" "robots_1_5_4_46.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_4_50.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",749.18,"ok" "robots_1_5_4_50.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_50.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",2.75,"ok" "robots_1_5_4_50.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.41,"ok" "robots_1_5_4_50.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4157838983,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",13.9,"ok" "robots_1_5_4_51.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",1481.08,"ok" "robots_1_5_4_51.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_51.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",4.05,"ok" "robots_1_5_4_51.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.5,"ok" "robots_1_5_4_51.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",11.34,"ok" "robots_1_5_4_52.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",17.6,"ok" "robots_1_5_4_52.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.29,"ok" "robots_1_5_4_52.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.65,"ok" "robots_1_5_4_52.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_4_52.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",0.94,"ok" "robots_1_5_4_56.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3456.15,"ok" "robots_1_5_4_56.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_56.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",3.23,"ok" "robots_1_5_4_56.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_4_56.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",447.75,"ok" "robots_1_5_4_59.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",19.97,"ok" "robots_1_5_4_59.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.35,"ok" "robots_1_5_4_59.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.68,"ok" "robots_1_5_4_59.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_4_59.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",1.06,"ok" "robots_1_5_4_71.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",21.42,"ok" "robots_1_5_4_71.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.2,"ok" "robots_1_5_4_71.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.59,"ok" "robots_1_5_4_71.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.1,"ok" "robots_1_5_4_71.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",0.95,"ok" "robots_1_5_4_73.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",19.45,"ok" "robots_1_5_4_73.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.41,"ok" "robots_1_5_4_73.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.43,"ok" "robots_1_5_4_73.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.08,"ok" "robots_1_5_4_73.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0376175549,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",0.9,"ok" "robots_1_5_4_75.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",17.33,"ok" "robots_1_5_4_75.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.2,"ok" "robots_1_5_4_75.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.44,"ok" "robots_1_5_4_75.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.1,"ok" "robots_1_5_4_75.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.0386624869,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",0.92,"ok" "robots_1_5_4_75.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_75.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_75.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",4.7,"ok" "robots_1_5_4_75.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",146.92,"ok" "robots_1_5_4_75.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",2032.09,"ok" "robots_1_5_4_81.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"X2clsQ",17.87,"ok" "robots_1_5_4_81.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"quantor",1.16,"ok" "robots_1_5_4_81.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"QuBE",0.46,"ok" "robots_1_5_4_81.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_4_81.2",1,1914,10,1924,8642,35341,1,1,2,6,1353,7283,1463,643,3.55253413561676,0.536912751677852,1914,0.472112936820181,0.0647998148576718,9.60602910602911,464,408,17.0914760914761,464,408,10459.039707419,0.477038001188421,0.407515350442828,0.530894759128367,0.966783320481642,0.0158456184035539,7.28571428571429,1.0962690551041,0.873246436118123,0.463601956362636,0.879310344827586,0.530894759128367,0.971834435008714,7.28571428571429,0.842744735015043,0.0744040731312196,0.50207443747918,0.438425412889939,1.63144665515884,0.469105240871633,0.880503926471151,3.24941190662887,"sSolve",1.02,"ok" "robots_1_5_4_87.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",673.82,"ok" "robots_1_5_4_87.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_87.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",3.14,"ok" "robots_1_5_4_87.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.48,"ok" "robots_1_5_4_87.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4168432203,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",13.82,"ok" "robots_1_5_4_91.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",686.94,"ok" "robots_1_5_4_91.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_91.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",3.16,"ok" "robots_1_5_4_91.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.49,"ok" "robots_1_5_4_91.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",11.32,"ok" "robots_1_5_4_91.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_91.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_91.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",4.22,"ok" "robots_1_5_4_91.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_4_91.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1546666667,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",1384.56,"ok" "robots_1_5_4_96.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_96.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_96.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",25.45,"ok" "robots_1_5_4_96.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",1464.37,"ok" "robots_1_5_4_96.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_4_98.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"X2clsQ",771.11,"ok" "robots_1_5_4_98.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"quantor",3600,"memout" "robots_1_5_4_98.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"QuBE",2.99,"ok" "robots_1_5_4_98.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sKizzo",0.49,"ok" "robots_1_5_4_98.4",1,3776,20,3796,15910,67859,1,1,2,6,1355,14549,1565,1267,3.68189817724701,0.583280955373979,3776,0.512884978001257,0.0703959773727216,9.70811380400422,464,408,17.2665964172813,464,408,12098.4163135593,0.456932757629791,0.422817901825845,0.510199900988409,0.963879124068759,0.0165048114472657,7.28571428571429,1.18850582126617,0.867818124745703,0.442760721321204,0.879310344827586,0.510199900988409,0.968984416039462,7.28571428571429,0.914456316781898,0.0796354494028913,0.481611540834243,0.456254770543398,1.68126670779354,0.489800099011591,0.880140679207343,3.49091864551516,"sSolve",9.25,"ok" "robots_1_5_4_99.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_4_99.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"quantor",3600,"memout" "robots_1_5_4_99.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"QuBE",10.47,"ok" "robots_1_5_4_99.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_4_99.8",1,7500,40,7540,30446,132895,1,1,2,6,1359,29081,1769,2515,3.75533731853117,0.609603888852394,7500,0.536031005715036,0.073572883137358,9.76021220159151,464,408,17.3559681697613,464,408,12935.1544,0.446239512397005,0.430956770382633,0.49908601915424,0.962227880545672,0.0168554121675007,7.28571428571429,1.24094902450129,0.864929355255402,0.431674148764062,0.879310344827586,0.49908601915424,0.967359762575249,7.28571428571429,0.955166524338173,0.0826052683439532,0.470679124701695,0.465780452749801,1.70788334749019,0.50091398084576,0.879958593145046,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_5_10.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_10.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_10.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",6.88,"ok" "robots_1_5_5_10.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_5_10.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_5_100.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",15.39,"ok" "robots_1_5_5_100.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",1.55,"ok" "robots_1_5_5_100.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.64,"ok" "robots_1_5_5_100.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.11,"ok" "robots_1_5_5_100.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",0.95,"ok" "robots_1_5_5_100.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",2053.33,"ok" "robots_1_5_5_100.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_100.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",4.29,"ok" "robots_1_5_5_100.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.63,"ok" "robots_1_5_5_100.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",12.64,"ok" "robots_1_5_5_100.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_100.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_100.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",5.81,"ok" "robots_1_5_5_100.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_5_100.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",1829.71,"ok" "robots_1_5_5_12.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",1959.01,"ok" "robots_1_5_5_12.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_12.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",4.37,"ok" "robots_1_5_5_12.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.64,"ok" "robots_1_5_5_12.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",16.78,"ok" "robots_1_5_5_12.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_12.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_12.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",8.98,"ok" "robots_1_5_5_12.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",1757.87,"ok" "robots_1_5_5_12.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_5_14.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_14.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_14.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",20.84,"ok" "robots_1_5_5_14.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",227.59,"ok" "robots_1_5_5_14.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_5_15.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",14.5,"ok" "robots_1_5_5_15.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",1.2,"ok" "robots_1_5_5_15.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.47,"ok" "robots_1_5_5_15.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_5_15.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",0.92,"ok" "robots_1_5_5_24.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",3.78,"ok" "robots_1_5_5_24.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",0.78,"ok" "robots_1_5_5_24.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.23,"ok" "robots_1_5_5_24.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_5_24.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",0.97,"ok" "robots_1_5_5_26.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",10.08,"ok" "robots_1_5_5_26.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",211.13,"ok" "robots_1_5_5_26.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",0.45,"ok" "robots_1_5_5_26.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.31,"ok" "robots_1_5_5_26.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",1.47,"ok" "robots_1_5_5_30.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",792.44,"ok" "robots_1_5_5_30.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_30.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",3.5,"ok" "robots_1_5_5_30.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.57,"ok" "robots_1_5_5_30.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",16.05,"ok" "robots_1_5_5_31.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",13.71,"ok" "robots_1_5_5_31.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",1.21,"ok" "robots_1_5_5_31.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.62,"ok" "robots_1_5_5_31.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.11,"ok" "robots_1_5_5_31.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",1.09,"ok" "robots_1_5_5_33.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_33.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_33.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",3.12,"ok" "robots_1_5_5_33.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_5_33.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",1005.1,"ok" "robots_1_5_5_34.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",1585.04,"ok" "robots_1_5_5_34.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_34.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",3.75,"ok" "robots_1_5_5_34.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.55,"ok" "robots_1_5_5_34.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",12.95,"ok" "robots_1_5_5_38.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",12.26,"ok" "robots_1_5_5_38.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",1.25,"ok" "robots_1_5_5_38.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.43,"ok" "robots_1_5_5_38.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_5_38.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",0.9,"ok" "robots_1_5_5_45.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",1859.94,"ok" "robots_1_5_5_45.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_45.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",3.55,"ok" "robots_1_5_5_45.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.56,"ok" "robots_1_5_5_45.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",10.26,"ok" "robots_1_5_5_5.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_5.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_5.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",20.28,"ok" "robots_1_5_5_5.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",943.63,"ok" "robots_1_5_5_5.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_5_50.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_50.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_50.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",8.48,"ok" "robots_1_5_5_50.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_5_50.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_5_56.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",13.15,"ok" "robots_1_5_5_56.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",1.05,"ok" "robots_1_5_5_56.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.45,"ok" "robots_1_5_5_56.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_5_56.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",0.9,"ok" "robots_1_5_5_61.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",25.78,"ok" "robots_1_5_5_61.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",1.05,"ok" "robots_1_5_5_61.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.46,"ok" "robots_1_5_5_61.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_5_61.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",0.95,"ok" "robots_1_5_5_62.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",9.05,"ok" "robots_1_5_5_62.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",1.25,"ok" "robots_1_5_5_62.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.43,"ok" "robots_1_5_5_62.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_5_62.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",1.07,"ok" "robots_1_5_5_66.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",9.8,"ok" "robots_1_5_5_66.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_66.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",0.42,"ok" "robots_1_5_5_66.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.31,"ok" "robots_1_5_5_66.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",1.47,"ok" "robots_1_5_5_66.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",25.83,"ok" "robots_1_5_5_66.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_66.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",0.96,"ok" "robots_1_5_5_66.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",1.06,"ok" "robots_1_5_5_66.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",31.62,"ok" "robots_1_5_5_75.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",20.59,"ok" "robots_1_5_5_75.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",0.99,"ok" "robots_1_5_5_75.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.47,"ok" "robots_1_5_5_75.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_5_75.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",1.01,"ok" "robots_1_5_5_8.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",2526.14,"ok" "robots_1_5_5_8.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_8.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",4.32,"ok" "robots_1_5_5_8.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.6,"ok" "robots_1_5_5_8.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",19.77,"ok" "robots_1_5_5_87.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",573.63,"ok" "robots_1_5_5_87.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_87.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",2.82,"ok" "robots_1_5_5_87.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.46,"ok" "robots_1_5_5_87.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",6.72,"ok" "robots_1_5_5_93.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"X2clsQ",1776.36,"ok" "robots_1_5_5_93.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"quantor",3600,"memout" "robots_1_5_5_93.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"QuBE",4.08,"ok" "robots_1_5_5_93.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sKizzo",0.61,"ok" "robots_1_5_5_93.4",1,3776,20,3796,15911,67860,1,1,2,7,1355,14549,1566,1268,3.68172962101691,0.583244296398718,3776,0.512852743385079,0.0703915530136384,9.70837723919916,464,408,17.2671232876712,464,408,12098.4274364407,0.456926024167403,0.422826407309166,0.510191191532946,0.963879124068759,0.0165045682287062,7.28571428571429,1.18853807204825,0.867820150659134,0.442754196701084,0.879310344827586,0.510191191532946,0.968984416039462,7.28571428571429,0.914398843567343,0.0796932939475834,0.481603922809238,0.456263455165979,1.68124011388801,0.489808808467054,0.88014449808053,3.49091864551516,"sSolve",12.64,"ok" "robots_1_5_5_95.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_95.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_95.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",6.6,"ok" "robots_1_5_5_95.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",3090.51,"ok" "robots_1_5_5_95.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",3600,"timeout" "robots_1_5_5_97.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"X2clsQ",16.73,"ok" "robots_1_5_5_97.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"quantor",1.62,"ok" "robots_1_5_5_97.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"QuBE",0.66,"ok" "robots_1_5_5_97.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sKizzo",0.09,"ok" "robots_1_5_5_97.2",1,1914,10,1924,8643,35342,1,1,2,7,1353,7283,1464,644,3.55223880597015,0.53685063056809,1914,0.472058313085734,0.0647923174823557,9.60654885654886,464,408,17.0925155925156,464,408,10459.0606060606,0.477024503423689,0.407532114764303,0.530877467265976,0.966783320481642,0.0158451700526286,7.28571428571429,1.09632837060324,0.873250170434921,0.463588838770073,0.879310344827586,0.530877467265976,0.971834435008714,7.28571428571429,0.842647228971422,0.074511165104709,0.502059233238447,0.43844257718185,1.63139725031797,0.469122532734024,0.880511511506359,3.24941190662887,"sSolve",0.98,"ok" "robots_1_5_5_99.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"X2clsQ",3600,"timeout" "robots_1_5_5_99.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"quantor",3600,"memout" "robots_1_5_5_99.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"QuBE",8.77,"ok" "robots_1_5_5_99.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sKizzo",3600,"memout" "robots_1_5_5_99.8",1,7500,40,7540,30447,132896,1,1,2,7,1359,29081,1770,2516,3.75524682234703,0.609583867047657,7500,0.536013400335008,0.0735704667126482,9.76034482758621,464,408,17.3562334217507,464,408,12935.1602666667,0.446236154587046,0.430961052251385,0.499081654072208,0.962227880545672,0.0168552853359018,7.28571428571429,1.24096588705462,0.864930411750542,0.431670900553817,0.879310344827586,0.499081654072208,0.967359762575249,7.28571428571429,0.955135152888626,0.0826353992183138,0.470675317111054,0.465784817499353,1.70786953145224,0.500918345927792,0.879960509223175,3.62855129505182,"sSolve",3600,"timeout" "S-adeu-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,78.7192646495596,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"X2clsQ",3600,"timeout" "S-adeu-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,78.7192646495596,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"quantor",3600,"memout" "S-adeu-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,78.7192646495596,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"QuBE",1.42,"ok" "S-adeu-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,78.7192646495596,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"sKizzo",3600,"memout" "S-adeu-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,78.7192646495596,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"sSolve",8.34,"ok" "S-adeu-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"X2clsQ",3600,"timeout" "S-adeu-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"quantor",3600,"memout" "S-adeu-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"QuBE",1.94,"ok" "S-adeu-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"sKizzo",3201,"ok" "S-adeu-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"sSolve",8.58,"ok" "S-adeu-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,81.3573343546534,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"X2clsQ",3600,"timeout" "S-adeu-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,81.3573343546534,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"quantor",3600,"memout" "S-adeu-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,81.3573343546534,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"QuBE",1.57,"ok" "S-adeu-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,81.3573343546534,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"sKizzo",3600,"memout" "S-adeu-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,81.3573343546534,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"sSolve",7.37,"ok" "S-adeu-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"X2clsQ",3600,"timeout" "S-adeu-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"quantor",3600,"memout" "S-adeu-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"QuBE",1.3,"ok" "S-adeu-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"sKizzo",3600,"memout" "S-adeu-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,76.7154346993489,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"sSolve",6.98,"ok" "S-adeu-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0425124473382,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"X2clsQ",6.33,"ok" "S-adeu-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0425124473382,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"quantor",3600,"memout" "S-adeu-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0425124473382,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"QuBE",1.19,"ok" "S-adeu-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0425124473382,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"sKizzo",125.37,"ok" "S-adeu-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0425124473382,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"sSolve",7.5,"ok" "S-adeu-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0792799693604,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"X2clsQ",41.01,"ok" "S-adeu-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0792799693604,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"quantor",3600,"memout" "S-adeu-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0792799693604,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"QuBE",1.05,"ok" "S-adeu-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0792799693604,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"sKizzo",124.87,"ok" "S-adeu-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,6.83463396506875,83.4,58.75,75.0792799693604,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.662532110091743,0.258926925252724,1.21712201834862,0.286275821694405,0.767263887900906,1.83707627118644,"sSolve",7.34,"ok" "S-adeu-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,75.1160474913826,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"X2clsQ",3600,"timeout" "S-adeu-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,75.1160474913826,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"quantor",3600,"memout" "S-adeu-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,75.1160474913826,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"QuBE",1,"ok" "S-adeu-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,75.1160474913826,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"sKizzo",3600,"memout" "S-adeu-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,6.85247120029729,84,59.35,75.1160474913826,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.663134819145049,0.257791695766779,1.21178571428571,0.285131195335277,0.765266671706804,1.82735950413223,"sSolve",7.35,"ok" "S-adeu-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,74.8219073152049,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"X2clsQ",129.42,"ok" "S-adeu-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,74.8219073152049,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"quantor",3600,"memout" "S-adeu-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,74.8219073152049,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"QuBE",1.05,"ok" "S-adeu-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,74.8219073152049,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"sKizzo",561.4,"ok" "S-adeu-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,74.8219073152049,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"sSolve",6.88,"ok" "S-adeu-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,6.81679672984021,82.8,58.15,76.7522022213711,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.661924069295982,0.260072197314291,1.22250552893476,0.287429675035687,0.769271556164057,1.84689692616104,"X2clsQ",3.62,"ok" "S-adeu-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,6.81679672984021,82.8,58.15,76.7522022213711,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.661924069295982,0.260072197314291,1.22250552893476,0.287429675035687,0.769271556164057,1.84689692616104,"quantor",3600,"memout" "S-adeu-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,6.81679672984021,82.8,58.15,76.7522022213711,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.661924069295982,0.260072197314291,1.22250552893476,0.287429675035687,0.769271556164057,1.84689692616104,"QuBE",1.2,"ok" "S-adeu-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,6.81679672984021,82.8,58.15,76.7522022213711,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.661924069295982,0.260072197314291,1.22250552893476,0.287429675035687,0.769271556164057,1.84689692616104,"sKizzo",681.57,"ok" "S-adeu-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,6.81679672984021,82.8,58.15,76.7522022213711,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.661924069295982,0.260072197314291,1.22250552893476,0.287429675035687,0.769271556164057,1.84689692616104,"sSolve",8.05,"ok" "S-adeu-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,75.7502872462658,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"X2clsQ",3600,"timeout" "S-adeu-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,75.7502872462658,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"quantor",3600,"memout" "S-adeu-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,75.7502872462658,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"QuBE",1.55,"ok" "S-adeu-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,75.7502872462658,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"sKizzo",2630.79,"ok" "S-adeu-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,6.79895949461167,82.2,57.55,75.7502872462658,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.661310625694187,0.261227645802915,1.2279368752314,0.288592867380491,0.771289758760027,1.8568231441048,"sSolve",8.01,"ok" "S-edau-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,95.5158942933742,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"X2clsQ",4.09,"ok" "S-edau-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,95.5158942933742,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"quantor",3600,"memout" "S-edau-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,95.5158942933742,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"QuBE",0.87,"ok" "S-edau-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,95.5158942933742,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"sKizzo",3600,"memout" "S-edau-0",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,95.5158942933742,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"sSolve",2.45,"ok" "S-edau-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"X2clsQ",3.26,"ok" "S-edau-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"quantor",3600,"memout" "S-edau-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"QuBE",0.79,"ok" "S-edau-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"sKizzo",116.29,"ok" "S-edau-1",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"sSolve",2.47,"ok" "S-edau-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,98.8065875143623,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"X2clsQ",4.8,"ok" "S-edau-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,98.8065875143623,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"quantor",3600,"memout" "S-edau-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,98.8065875143623,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"QuBE",0.69,"ok" "S-edau-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,98.8065875143623,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"sKizzo",405.97,"ok" "S-edau-2",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,98.8065875143623,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"sSolve",2.38,"ok" "S-edau-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"X2clsQ",3.71,"ok" "S-edau-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"quantor",3600,"memout" "S-edau-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"QuBE",0.76,"ok" "S-edau-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"sKizzo",323.3,"ok" "S-edau-3",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,93.0432784373803,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"sSolve",2.2,"ok" "S-edau-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9383378016086,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"X2clsQ",4.01,"ok" "S-edau-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9383378016086,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"quantor",3600,"memout" "S-edau-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9383378016086,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"QuBE",0.73,"ok" "S-edau-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9383378016086,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"sKizzo",3600,"memout" "S-edau-4",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9383378016086,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"sSolve",2.33,"ok" "S-edau-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9842972041363,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"X2clsQ",3.87,"ok" "S-edau-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9842972041363,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"quantor",3600,"memout" "S-edau-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9842972041363,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"QuBE",0.77,"ok" "S-edau-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9842972041363,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"sKizzo",44.4,"ok" "S-edau-5",1,5222,160,5382,12555,33914,2,2,4,0,9490,3065,12443,7609,2.16216646754281,0.539068100358423,2611,0.381680605336519,0.157387495021904,2.33704942400595,42.3,29.95,7.17651430694909,83.4,58.75,90.9842972041363,0.629120717107979,0.229580704133986,0.713180579090842,0.907386576677915,0.0582650232942148,2.4251012145749,0.589520059992501,0.824961450355188,0.588346484891934,0.708037825059102,0.713180579090842,0.935188539961804,2.4251012145749,0.244125846276384,0.60605336519315,0.665071106486299,0.261181163021572,1.15041883454735,0.286577233858947,0.779810783959394,1.72976817565453,"sSolve",2.35,"ok" "S-edau-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,91.0210647261586,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"X2clsQ",3.28,"ok" "S-edau-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,91.0210647261586,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"quantor",3600,"memout" "S-edau-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,91.0210647261586,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"QuBE",0.75,"ok" "S-edau-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,91.0210647261586,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"sKizzo",3600,"memout" "S-edau-6",1,5222,160,5382,12603,34082,2,2,4,0,9538,3065,12491,7657,2.16345314607633,0.540823613425375,2611,0.384035547091962,0.156788066333413,2.34596804162022,42.6,30.25,7.19435154217763,84,59.35,91.0210647261586,0.62954051992254,0.228449034681063,0.714442895914326,0.907904548844146,0.0579778182031571,2.44939271255061,0.588460104399702,0.824523777347223,0.589075155238169,0.710093896713615,0.714442895914326,0.935722382588892,2.44939271255061,0.243196064429104,0.607553757041974,0.665768938609212,0.259990778603408,1.14517557489124,0.285368330599172,0.777877368792553,1.72007960792449,"sSolve",2.47,"ok" "S-edau-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,90.6809651474531,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"X2clsQ",3.14,"ok" "S-edau-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,90.6809651474531,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"quantor",3600,"memout" "S-edau-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,90.6809651474531,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"QuBE",0.7,"ok" "S-edau-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,90.6809651474531,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"sKizzo",211.18,"ok" "S-edau-7",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,90.6809651474531,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"sSolve",2.15,"ok" "S-edau-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,7.15867707172055,82.8,58.15,93.0800459594025,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.664366854833089,0.262382498080421,1.15571032824587,0.2877964232254,0.781753834085539,1.73956650582188,"X2clsQ",3.69,"ok" "S-edau-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,7.15867707172055,82.8,58.15,93.0800459594025,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.664366854833089,0.262382498080421,1.15571032824587,0.2877964232254,0.781753834085539,1.73956650582188,"quantor",3600,"memout" "S-edau-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,7.15867707172055,82.8,58.15,93.0800459594025,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.664366854833089,0.262382498080421,1.15571032824587,0.2877964232254,0.781753834085539,1.73956650582188,"QuBE",0.74,"ok" "S-edau-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,7.15867707172055,82.8,58.15,93.0800459594025,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.664366854833089,0.262382498080421,1.15571032824587,0.2877964232254,0.781753834085539,1.73956650582188,"sKizzo",465.13,"ok" "S-edau-8",1,5222,160,5382,12507,33746,2,2,4,0,9442,3065,12395,7561,2.1608699128488,0.537299112497002,2611,0.37930758775086,0.157991524746142,2.32813080639168,42,29.65,7.15867707172055,82.8,58.15,93.0800459594025,0.628696734427784,0.230723641320453,0.711907052467994,0.906862745098039,0.0585550880104309,2.40080971659919,0.590592006033183,0.825403481157578,0.587610559367713,0.705952380952381,0.711907052467994,0.93464865839097,2.40080971659919,0.245062764851683,0.604541456784201,0.664366854833089,0.262382498080421,1.15571032824587,0.2877964232254,0.781753834085539,1.73956650582188,"sSolve",2.43,"ok" "S-edau-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,91.8391420911528,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"X2clsQ",5.26,"ok" "S-edau-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,91.8391420911528,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"quantor",3600,"memout" "S-edau-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,91.8391420911528,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"QuBE",1.03,"ok" "S-edau-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,91.8391420911528,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"sKizzo",185.59,"ok" "S-edau-9",1,5222,160,5382,12459,33578,2,2,4,0,9394,3065,12347,7513,2.15956336784654,0.53551649410065,2611,0.376916285416165,0.158600208684485,2.31921218877741,41.7,29.35,7.14083983649201,82.2,57.55,91.8391420911528,0.628268509142891,0.231878015367205,0.710622166059615,0.906332954114524,0.0588480552742867,2.37651821862348,0.591676147136898,0.825849935164137,0.586867269766532,0.703836930455635,0.710622166059615,0.934102634822744,2.37651821862348,0.246006902640661,0.603017898707761,0.663656094657985,0.263594935584678,1.16105072463768,0.289026030540391,0.783706591373013,1.74947647431164,"sSolve",2.34,"ok" "s1196_d3_u-shuffled",1,4592,1042,5634,14367,36982,2,1,3,18,10236,4113,8240,10833,1.63151667014686,0.942576738358739,2296,0.471288369179369,0.471288369179369,3.37060702875399,12.9961612284069,6.49808061420346,7.01757188498403,25.9923224568138,12.9961612284069,14.4634146341463,0.486506949326699,0.330403980314748,0.478711604095563,0.623666073810582,0.183089070358553,1,1.05546909737661,0.777646418815293,0.372268364570239,0.5,0.478711604095563,0.765186119305057,1,0.286281060764251,0.754019628314888,0.481386746419015,0.418354730076218,0.960443522231551,0.52886962890625,0.806679596380367,1.99515987794884,"X2clsQ",3600,"timeout" "s1196_d3_u-shuffled",1,4592,1042,5634,14367,36982,2,1,3,18,10236,4113,8240,10833,1.63151667014686,0.942576738358739,2296,0.471288369179369,0.471288369179369,3.37060702875399,12.9961612284069,6.49808061420346,7.01757188498403,25.9923224568138,12.9961612284069,14.4634146341463,0.486506949326699,0.330403980314748,0.478711604095563,0.623666073810582,0.183089070358553,1,1.05546909737661,0.777646418815293,0.372268364570239,0.5,0.478711604095563,0.765186119305057,1,0.286281060764251,0.754019628314888,0.481386746419015,0.418354730076218,0.960443522231551,0.52886962890625,0.806679596380367,1.99515987794884,"quantor",3600,"timeout" "s1196_d3_u-shuffled",1,4592,1042,5634,14367,36982,2,1,3,18,10236,4113,8240,10833,1.63151667014686,0.942576738358739,2296,0.471288369179369,0.471288369179369,3.37060702875399,12.9961612284069,6.49808061420346,7.01757188498403,25.9923224568138,12.9961612284069,14.4634146341463,0.486506949326699,0.330403980314748,0.478711604095563,0.623666073810582,0.183089070358553,1,1.05546909737661,0.777646418815293,0.372268364570239,0.5,0.478711604095563,0.765186119305057,1,0.286281060764251,0.754019628314888,0.481386746419015,0.418354730076218,0.960443522231551,0.52886962890625,0.806679596380367,1.99515987794884,"QuBE",3600,"memout" "s1196_d3_u-shuffled",1,4592,1042,5634,14367,36982,2,1,3,18,10236,4113,8240,10833,1.63151667014686,0.942576738358739,2296,0.471288369179369,0.471288369179369,3.37060702875399,12.9961612284069,6.49808061420346,7.01757188498403,25.9923224568138,12.9961612284069,14.4634146341463,0.486506949326699,0.330403980314748,0.478711604095563,0.623666073810582,0.183089070358553,1,1.05546909737661,0.777646418815293,0.372268364570239,0.5,0.478711604095563,0.765186119305057,1,0.286281060764251,0.754019628314888,0.481386746419015,0.418354730076218,0.960443522231551,0.52886962890625,0.806679596380367,1.99515987794884,"sKizzo",3600,"memout" "s1196_d3_u-shuffled",1,4592,1042,5634,14367,36982,2,1,3,18,10236,4113,8240,10833,1.63151667014686,0.942576738358739,2296,0.471288369179369,0.471288369179369,3.37060702875399,12.9961612284069,6.49808061420346,7.01757188498403,25.9923224568138,12.9961612284069,14.4634146341463,0.486506949326699,0.330403980314748,0.478711604095563,0.623666073810582,0.183089070358553,1,1.05546909737661,0.777646418815293,0.372268364570239,0.5,0.478711604095563,0.765186119305057,1,0.286281060764251,0.754019628314888,0.481386746419015,0.418354730076218,0.960443522231551,0.52886962890625,0.806679596380367,1.99515987794884,"sSolve",3600,"timeout" "s1269_d3_s-shuffled",1,4963,1119,6082,15354,39433,2,1,3,37,10816,4501,9399,11025,1.65631105900742,0.911944770092484,2481.5,0.455972385046242,0.455972385046242,3.26471555409405,12.5129579982127,6.25647899910634,6.82818151923709,25.0259159964254,12.5129579982127,14.5454362280878,0.496462353866051,0.325995993203662,0.494514568833314,0.642386473923482,0.177541652930287,1,1.0142514174797,0.790325072286115,0.390827262359726,0.5,0.494514568833314,0.787224367197787,1,0.293148365246841,0.718053927315358,0.486503863987635,0.417106281070338,0.941005385411185,0.520644587770233,0.812287088096597,1.93421975664946,"X2clsQ",3600,"timeout" "s1269_d3_s-shuffled",1,4963,1119,6082,15354,39433,2,1,3,37,10816,4501,9399,11025,1.65631105900742,0.911944770092484,2481.5,0.455972385046242,0.455972385046242,3.26471555409405,12.5129579982127,6.25647899910634,6.82818151923709,25.0259159964254,12.5129579982127,14.5454362280878,0.496462353866051,0.325995993203662,0.494514568833314,0.642386473923482,0.177541652930287,1,1.0142514174797,0.790325072286115,0.390827262359726,0.5,0.494514568833314,0.787224367197787,1,0.293148365246841,0.718053927315358,0.486503863987635,0.417106281070338,0.941005385411185,0.520644587770233,0.812287088096597,1.93421975664946,"quantor",3600,"timeout" "s1269_d3_s-shuffled",1,4963,1119,6082,15354,39433,2,1,3,37,10816,4501,9399,11025,1.65631105900742,0.911944770092484,2481.5,0.455972385046242,0.455972385046242,3.26471555409405,12.5129579982127,6.25647899910634,6.82818151923709,25.0259159964254,12.5129579982127,14.5454362280878,0.496462353866051,0.325995993203662,0.494514568833314,0.642386473923482,0.177541652930287,1,1.0142514174797,0.790325072286115,0.390827262359726,0.5,0.494514568833314,0.787224367197787,1,0.293148365246841,0.718053927315358,0.486503863987635,0.417106281070338,0.941005385411185,0.520644587770233,0.812287088096597,1.93421975664946,"QuBE",3600,"memout" "s1269_d3_s-shuffled",1,4963,1119,6082,15354,39433,2,1,3,37,10816,4501,9399,11025,1.65631105900742,0.911944770092484,2481.5,0.455972385046242,0.455972385046242,3.26471555409405,12.5129579982127,6.25647899910634,6.82818151923709,25.0259159964254,12.5129579982127,14.5454362280878,0.496462353866051,0.325995993203662,0.494514568833314,0.642386473923482,0.177541652930287,1,1.0142514174797,0.790325072286115,0.390827262359726,0.5,0.494514568833314,0.787224367197787,1,0.293148365246841,0.718053927315358,0.486503863987635,0.417106281070338,0.941005385411185,0.520644587770233,0.812287088096597,1.93421975664946,"sKizzo",3600,"memout" "s1269_d3_s-shuffled",1,4963,1119,6082,15354,39433,2,1,3,37,10816,4501,9399,11025,1.65631105900742,0.911944770092484,2481.5,0.455972385046242,0.455972385046242,3.26471555409405,12.5129579982127,6.25647899910634,6.82818151923709,25.0259159964254,12.5129579982127,14.5454362280878,0.496462353866051,0.325995993203662,0.494514568833314,0.642386473923482,0.177541652930287,1,1.0142514174797,0.790325072286115,0.390827262359726,0.5,0.494514568833314,0.787224367197787,1,0.293148365246841,0.718053927315358,0.486503863987635,0.417106281070338,0.941005385411185,0.520644587770233,0.812287088096597,1.93421975664946,"sSolve",3600,"timeout" "s27_d5_u-shuffled",1,172,49,221,478,1231,2,1,3,3,330,145,273,346,1.64225941422594,0.933054393305439,86,0.46652719665272,0.46652719665272,2.82805429864253,9.10204081632653,4.55102040816327,6.00904977375566,18.2040816326531,9.10204081632653,11.296511627907,0.492282696994314,0.326563769293258,0.487898089171975,0.632013201320132,0.181153533712429,1,1.03135313531353,0.819361268018061,0.399764797007538,0.5,0.487898089171975,0.812063473789239,1,0.303347280334728,0.723849372384937,0.483870967741936,0.44044595486221,0.781792079443515,0.524687685901249,0.853364037545531,1.61570363084993,"X2clsQ",3600,"timeout" "s27_d5_u-shuffled",1,172,49,221,478,1231,2,1,3,3,330,145,273,346,1.64225941422594,0.933054393305439,86,0.46652719665272,0.46652719665272,2.82805429864253,9.10204081632653,4.55102040816327,6.00904977375566,18.2040816326531,9.10204081632653,11.296511627907,0.492282696994314,0.326563769293258,0.487898089171975,0.632013201320132,0.181153533712429,1,1.03135313531353,0.819361268018061,0.399764797007538,0.5,0.487898089171975,0.812063473789239,1,0.303347280334728,0.723849372384937,0.483870967741936,0.44044595486221,0.781792079443515,0.524687685901249,0.853364037545531,1.61570363084993,"quantor",3.14,"ok" "s27_d5_u-shuffled",1,172,49,221,478,1231,2,1,3,3,330,145,273,346,1.64225941422594,0.933054393305439,86,0.46652719665272,0.46652719665272,2.82805429864253,9.10204081632653,4.55102040816327,6.00904977375566,18.2040816326531,9.10204081632653,11.296511627907,0.492282696994314,0.326563769293258,0.487898089171975,0.632013201320132,0.181153533712429,1,1.03135313531353,0.819361268018061,0.399764797007538,0.5,0.487898089171975,0.812063473789239,1,0.303347280334728,0.723849372384937,0.483870967741936,0.44044595486221,0.781792079443515,0.524687685901249,0.853364037545531,1.61570363084993,"QuBE",3600,"timeout" "s27_d5_u-shuffled",1,172,49,221,478,1231,2,1,3,3,330,145,273,346,1.64225941422594,0.933054393305439,86,0.46652719665272,0.46652719665272,2.82805429864253,9.10204081632653,4.55102040816327,6.00904977375566,18.2040816326531,9.10204081632653,11.296511627907,0.492282696994314,0.326563769293258,0.487898089171975,0.632013201320132,0.181153533712429,1,1.03135313531353,0.819361268018061,0.399764797007538,0.5,0.487898089171975,0.812063473789239,1,0.303347280334728,0.723849372384937,0.483870967741936,0.44044595486221,0.781792079443515,0.524687685901249,0.853364037545531,1.61570363084993,"sKizzo",0.21,"ok" "s27_d5_u-shuffled",1,172,49,221,478,1231,2,1,3,3,330,145,273,346,1.64225941422594,0.933054393305439,86,0.46652719665272,0.46652719665272,2.82805429864253,9.10204081632653,4.55102040816327,6.00904977375566,18.2040816326531,9.10204081632653,11.296511627907,0.492282696994314,0.326563769293258,0.487898089171975,0.632013201320132,0.181153533712429,1,1.03135313531353,0.819361268018061,0.399764797007538,0.5,0.487898089171975,0.812063473789239,1,0.303347280334728,0.723849372384937,0.483870967741936,0.44044595486221,0.781792079443515,0.524687685901249,0.853364037545531,1.61570363084993,"sSolve",101.28,"ok" "s298_d11_s-shuffled",1,4800,1146,5946,15656,40941,2,1,3,14,11306,4336,9201,11638,1.58629279509453,1.0287429739397,2400,0.514371486969852,0.514371486969852,3.52539522367978,14.0541012216405,7.02705061082024,7.66145307769929,28.108202443281,14.0541012216405,16.6466666666667,0.487994919518331,0.315307393566352,0.480209381920676,0.596926773111767,0.196697686915317,1,1.04920166174483,0.751431480667302,0.360844446886984,0.5,0.480209381920676,0.739443040192202,1,0.2769545222279,0.743357179356157,0.480125988565168,0.416309456560193,0.953652251747456,0.531426509067942,0.800789128525958,1.98625418006927,"X2clsQ",3600,"timeout" "s298_d11_s-shuffled",1,4800,1146,5946,15656,40941,2,1,3,14,11306,4336,9201,11638,1.58629279509453,1.0287429739397,2400,0.514371486969852,0.514371486969852,3.52539522367978,14.0541012216405,7.02705061082024,7.66145307769929,28.108202443281,14.0541012216405,16.6466666666667,0.487994919518331,0.315307393566352,0.480209381920676,0.596926773111767,0.196697686915317,1,1.04920166174483,0.751431480667302,0.360844446886984,0.5,0.480209381920676,0.739443040192202,1,0.2769545222279,0.743357179356157,0.480125988565168,0.416309456560193,0.953652251747456,0.531426509067942,0.800789128525958,1.98625418006927,"quantor",3600,"timeout" "s298_d11_s-shuffled",1,4800,1146,5946,15656,40941,2,1,3,14,11306,4336,9201,11638,1.58629279509453,1.0287429739397,2400,0.514371486969852,0.514371486969852,3.52539522367978,14.0541012216405,7.02705061082024,7.66145307769929,28.108202443281,14.0541012216405,16.6466666666667,0.487994919518331,0.315307393566352,0.480209381920676,0.596926773111767,0.196697686915317,1,1.04920166174483,0.751431480667302,0.360844446886984,0.5,0.480209381920676,0.739443040192202,1,0.2769545222279,0.743357179356157,0.480125988565168,0.416309456560193,0.953652251747456,0.531426509067942,0.800789128525958,1.98625418006927,"QuBE",3600,"memout" "s298_d11_s-shuffled",1,4800,1146,5946,15656,40941,2,1,3,14,11306,4336,9201,11638,1.58629279509453,1.0287429739397,2400,0.514371486969852,0.514371486969852,3.52539522367978,14.0541012216405,7.02705061082024,7.66145307769929,28.108202443281,14.0541012216405,16.6466666666667,0.487994919518331,0.315307393566352,0.480209381920676,0.596926773111767,0.196697686915317,1,1.04920166174483,0.751431480667302,0.360844446886984,0.5,0.480209381920676,0.739443040192202,1,0.2769545222279,0.743357179356157,0.480125988565168,0.416309456560193,0.953652251747456,0.531426509067942,0.800789128525958,1.98625418006927,"sKizzo",3600,"memout" "s298_d11_s-shuffled",1,4800,1146,5946,15656,40941,2,1,3,14,11306,4336,9201,11638,1.58629279509453,1.0287429739397,2400,0.514371486969852,0.514371486969852,3.52539522367978,14.0541012216405,7.02705061082024,7.66145307769929,28.108202443281,14.0541012216405,16.6466666666667,0.487994919518331,0.315307393566352,0.480209381920676,0.596926773111767,0.196697686915317,1,1.04920166174483,0.751431480667302,0.360844446886984,0.5,0.480209381920676,0.739443040192202,1,0.2769545222279,0.743357179356157,0.480125988565168,0.416309456560193,0.953652251747456,0.531426509067942,0.800789128525958,1.98625418006927,"sSolve",3600,"timeout" "s298_d2_s-shuffled",1,597,102,699,1895,4851,2,1,3,14,1379,502,1155,1378,1.91609498680739,0.643799472295514,298.5,0.321899736147757,0.321899736147757,3.51645207439199,11.9607843137255,5.98039215686275,6.75536480686695,23.921568627451,11.9607843137255,26.2043551088777,0.493300350443208,0.380952380952381,0.491049297714128,0.745089845382365,0.125747268604411,1,1.02716255745926,0.876390818242936,0.430351095821304,0.5,0.491049297714128,0.872391628010508,1,0.26490765171504,0.727176781002638,0.482747288859678,0.449154524952509,0.915827389121932,0.523546120496337,0.868346390997767,1.89711555146225,"X2clsQ",3600,"timeout" "s298_d2_s-shuffled",1,597,102,699,1895,4851,2,1,3,14,1379,502,1155,1378,1.91609498680739,0.643799472295514,298.5,0.321899736147757,0.321899736147757,3.51645207439199,11.9607843137255,5.98039215686275,6.75536480686695,23.921568627451,11.9607843137255,26.2043551088777,0.493300350443208,0.380952380952381,0.491049297714128,0.745089845382365,0.125747268604411,1,1.02716255745926,0.876390818242936,0.430351095821304,0.5,0.491049297714128,0.872391628010508,1,0.26490765171504,0.727176781002638,0.482747288859678,0.449154524952509,0.915827389121932,0.523546120496337,0.868346390997767,1.89711555146225,"quantor",0.13,"ok" "s298_d2_s-shuffled",1,597,102,699,1895,4851,2,1,3,14,1379,502,1155,1378,1.91609498680739,0.643799472295514,298.5,0.321899736147757,0.321899736147757,3.51645207439199,11.9607843137255,5.98039215686275,6.75536480686695,23.921568627451,11.9607843137255,26.2043551088777,0.493300350443208,0.380952380952381,0.491049297714128,0.745089845382365,0.125747268604411,1,1.02716255745926,0.876390818242936,0.430351095821304,0.5,0.491049297714128,0.872391628010508,1,0.26490765171504,0.727176781002638,0.482747288859678,0.449154524952509,0.915827389121932,0.523546120496337,0.868346390997767,1.89711555146225,"QuBE",3600,"timeout" "s298_d2_s-shuffled",1,597,102,699,1895,4851,2,1,3,14,1379,502,1155,1378,1.91609498680739,0.643799472295514,298.5,0.321899736147757,0.321899736147757,3.51645207439199,11.9607843137255,5.98039215686275,6.75536480686695,23.921568627451,11.9607843137255,26.2043551088777,0.493300350443208,0.380952380952381,0.491049297714128,0.745089845382365,0.125747268604411,1,1.02716255745926,0.876390818242936,0.430351095821304,0.5,0.491049297714128,0.872391628010508,1,0.26490765171504,0.727176781002638,0.482747288859678,0.449154524952509,0.915827389121932,0.523546120496337,0.868346390997767,1.89711555146225,"sKizzo",0.21,"ok" "s298_d2_s-shuffled",1,597,102,699,1895,4851,2,1,3,14,1379,502,1155,1378,1.91609498680739,0.643799472295514,298.5,0.321899736147757,0.321899736147757,3.51645207439199,11.9607843137255,5.98039215686275,6.75536480686695,23.921568627451,11.9607843137255,26.2043551088777,0.493300350443208,0.380952380952381,0.491049297714128,0.745089845382365,0.125747268604411,1,1.02716255745926,0.876390818242936,0.430351095821304,0.5,0.491049297714128,0.872391628010508,1,0.26490765171504,0.727176781002638,0.482747288859678,0.449154524952509,0.915827389121932,0.523546120496337,0.868346390997767,1.89711555146225,"sSolve",3600,"timeout" "s298_d5_s-shuffled",1,1998,450,2448,6482,16881,2,1,3,14,4688,1780,3837,4798,1.65057081147794,0.953717988275224,999,0.476858994137612,0.476858994137612,3.52369281045752,13.7377777777778,6.86888888888889,7.48897058823529,27.4755555555556,13.7377777777778,18.5505505505506,0.489011314495587,0.327883419228719,0.482661931021591,0.625560266505148,0.183105266275695,1,1.04494245911569,0.7765348430001,0.374803806827976,0.5,0.482661931021591,0.766452218420722,1,0.274606602900339,0.740203640851589,0.480577985550361,0.421807953299666,0.952828445955518,0.529894029915835,0.812071767398228,1.98267185473411,"X2clsQ",3600,"timeout" "s298_d5_s-shuffled",1,1998,450,2448,6482,16881,2,1,3,14,4688,1780,3837,4798,1.65057081147794,0.953717988275224,999,0.476858994137612,0.476858994137612,3.52369281045752,13.7377777777778,6.86888888888889,7.48897058823529,27.4755555555556,13.7377777777778,18.5505505505506,0.489011314495587,0.327883419228719,0.482661931021591,0.625560266505148,0.183105266275695,1,1.04494245911569,0.7765348430001,0.374803806827976,0.5,0.482661931021591,0.766452218420722,1,0.274606602900339,0.740203640851589,0.480577985550361,0.421807953299666,0.952828445955518,0.529894029915835,0.812071767398228,1.98267185473411,"quantor",105.36,"ok" "s298_d5_s-shuffled",1,1998,450,2448,6482,16881,2,1,3,14,4688,1780,3837,4798,1.65057081147794,0.953717988275224,999,0.476858994137612,0.476858994137612,3.52369281045752,13.7377777777778,6.86888888888889,7.48897058823529,27.4755555555556,13.7377777777778,18.5505505505506,0.489011314495587,0.327883419228719,0.482661931021591,0.625560266505148,0.183105266275695,1,1.04494245911569,0.7765348430001,0.374803806827976,0.5,0.482661931021591,0.766452218420722,1,0.274606602900339,0.740203640851589,0.480577985550361,0.421807953299666,0.952828445955518,0.529894029915835,0.812071767398228,1.98267185473411,"QuBE",3600,"memout" "s298_d5_s-shuffled",1,1998,450,2448,6482,16881,2,1,3,14,4688,1780,3837,4798,1.65057081147794,0.953717988275224,999,0.476858994137612,0.476858994137612,3.52369281045752,13.7377777777778,6.86888888888889,7.48897058823529,27.4755555555556,13.7377777777778,18.5505505505506,0.489011314495587,0.327883419228719,0.482661931021591,0.625560266505148,0.183105266275695,1,1.04494245911569,0.7765348430001,0.374803806827976,0.5,0.482661931021591,0.766452218420722,1,0.274606602900339,0.740203640851589,0.480577985550361,0.421807953299666,0.952828445955518,0.529894029915835,0.812071767398228,1.98267185473411,"sKizzo",3600,"memout" "s298_d5_s-shuffled",1,1998,450,2448,6482,16881,2,1,3,14,4688,1780,3837,4798,1.65057081147794,0.953717988275224,999,0.476858994137612,0.476858994137612,3.52369281045752,13.7377777777778,6.86888888888889,7.48897058823529,27.4755555555556,13.7377777777778,18.5505505505506,0.489011314495587,0.327883419228719,0.482661931021591,0.625560266505148,0.183105266275695,1,1.04494245911569,0.7765348430001,0.374803806827976,0.5,0.482661931021591,0.766452218420722,1,0.274606602900339,0.740203640851589,0.480577985550361,0.421807953299666,0.952828445955518,0.529894029915835,0.812071767398228,1.98267185473411,"sSolve",3600,"timeout" "s298_d9_s-shuffled",1,3866,914,4780,12598,32921,2,1,3,14,9100,3484,7413,9358,1.59731703444991,1.01587553579933,1933,0.507937767899667,0.507937767899667,3.52510460251046,14.0021881838074,7.00109409190372,7.63200836820084,28.0043763676149,14.0021881838074,16.9746508018624,0.488168646152912,0.317456942377206,0.480644039159171,0.601829382116856,0.194374411469882,1,1.04847240370854,0.755763224611465,0.363253088925214,0.5,0.480644039159171,0.744113928225187,1,0.276551833624385,0.742816320050802,0.480201758260547,0.417225490151057,0.953656291674616,0.531163792136721,0.80266814438397,1.98594918754375,"X2clsQ",3600,"timeout" "s298_d9_s-shuffled",1,3866,914,4780,12598,32921,2,1,3,14,9100,3484,7413,9358,1.59731703444991,1.01587553579933,1933,0.507937767899667,0.507937767899667,3.52510460251046,14.0021881838074,7.00109409190372,7.63200836820084,28.0043763676149,14.0021881838074,16.9746508018624,0.488168646152912,0.317456942377206,0.480644039159171,0.601829382116856,0.194374411469882,1,1.04847240370854,0.755763224611465,0.363253088925214,0.5,0.480644039159171,0.744113928225187,1,0.276551833624385,0.742816320050802,0.480201758260547,0.417225490151057,0.953656291674616,0.531163792136721,0.80266814438397,1.98594918754375,"quantor",3600,"timeout" "s298_d9_s-shuffled",1,3866,914,4780,12598,32921,2,1,3,14,9100,3484,7413,9358,1.59731703444991,1.01587553579933,1933,0.507937767899667,0.507937767899667,3.52510460251046,14.0021881838074,7.00109409190372,7.63200836820084,28.0043763676149,14.0021881838074,16.9746508018624,0.488168646152912,0.317456942377206,0.480644039159171,0.601829382116856,0.194374411469882,1,1.04847240370854,0.755763224611465,0.363253088925214,0.5,0.480644039159171,0.744113928225187,1,0.276551833624385,0.742816320050802,0.480201758260547,0.417225490151057,0.953656291674616,0.531163792136721,0.80266814438397,1.98594918754375,"QuBE",3600,"memout" "s298_d9_s-shuffled",1,3866,914,4780,12598,32921,2,1,3,14,9100,3484,7413,9358,1.59731703444991,1.01587553579933,1933,0.507937767899667,0.507937767899667,3.52510460251046,14.0021881838074,7.00109409190372,7.63200836820084,28.0043763676149,14.0021881838074,16.9746508018624,0.488168646152912,0.317456942377206,0.480644039159171,0.601829382116856,0.194374411469882,1,1.04847240370854,0.755763224611465,0.363253088925214,0.5,0.480644039159171,0.744113928225187,1,0.276551833624385,0.742816320050802,0.480201758260547,0.417225490151057,0.953656291674616,0.531163792136721,0.80266814438397,1.98594918754375,"sKizzo",3600,"memout" "s298_d9_s-shuffled",1,3866,914,4780,12598,32921,2,1,3,14,9100,3484,7413,9358,1.59731703444991,1.01587553579933,1933,0.507937767899667,0.507937767899667,3.52510460251046,14.0021881838074,7.00109409190372,7.63200836820084,28.0043763676149,14.0021881838074,16.9746508018624,0.488168646152912,0.317456942377206,0.480644039159171,0.601829382116856,0.194374411469882,1,1.04847240370854,0.755763224611465,0.363253088925214,0.5,0.480644039159171,0.744113928225187,1,0.276551833624385,0.742816320050802,0.480201758260547,0.417225490151057,0.953656291674616,0.531163792136721,0.80266814438397,1.98594918754375,"sSolve",3600,"timeout" "s3330_d10_u-shuffled",1,57688,15672,73360,173669,446424,2,1,3,132,125490,48047,104832,127284,1.55744548537736,1.01309963205869,28844,0.506549816029343,0.506549816029343,3.07415485278081,11.2266462480858,5.61332312404288,6.70245365321701,22.4532924961715,11.2266462480858,13.3741159339897,0.494830027059477,0.308110675053313,0.491467021591245,0.60176366204324,0.19705929788721,1,1.0208959548039,0.770480165961526,0.378665592360239,0.5,0.491467021591245,0.765243763824229,1,0.27665847099943,0.732911458003443,0.488115167112244,0.418016637844602,0.857409873447321,0.518755832446144,0.816622433382906,1.75657289758045,"X2clsQ",3600,"timeout" "s3330_d10_u-shuffled",1,57688,15672,73360,173669,446424,2,1,3,132,125490,48047,104832,127284,1.55744548537736,1.01309963205869,28844,0.506549816029343,0.506549816029343,3.07415485278081,11.2266462480858,5.61332312404288,6.70245365321701,22.4532924961715,11.2266462480858,13.3741159339897,0.494830027059477,0.308110675053313,0.491467021591245,0.60176366204324,0.19705929788721,1,1.0208959548039,0.770480165961526,0.378665592360239,0.5,0.491467021591245,0.765243763824229,1,0.27665847099943,0.732911458003443,0.488115167112244,0.418016637844602,0.857409873447321,0.518755832446144,0.816622433382906,1.75657289758045,"quantor",3600,"timeout" "s3330_d10_u-shuffled",1,57688,15672,73360,173669,446424,2,1,3,132,125490,48047,104832,127284,1.55744548537736,1.01309963205869,28844,0.506549816029343,0.506549816029343,3.07415485278081,11.2266462480858,5.61332312404288,6.70245365321701,22.4532924961715,11.2266462480858,13.3741159339897,0.494830027059477,0.308110675053313,0.491467021591245,0.60176366204324,0.19705929788721,1,1.0208959548039,0.770480165961526,0.378665592360239,0.5,0.491467021591245,0.765243763824229,1,0.27665847099943,0.732911458003443,0.488115167112244,0.418016637844602,0.857409873447321,0.518755832446144,0.816622433382906,1.75657289758045,"QuBE",3600,"memout" "s3330_d10_u-shuffled",1,57688,15672,73360,173669,446424,2,1,3,132,125490,48047,104832,127284,1.55744548537736,1.01309963205869,28844,0.506549816029343,0.506549816029343,3.07415485278081,11.2266462480858,5.61332312404288,6.70245365321701,22.4532924961715,11.2266462480858,13.3741159339897,0.494830027059477,0.308110675053313,0.491467021591245,0.60176366204324,0.19705929788721,1,1.0208959548039,0.770480165961526,0.378665592360239,0.5,0.491467021591245,0.765243763824229,1,0.27665847099943,0.732911458003443,0.488115167112244,0.418016637844602,0.857409873447321,0.518755832446144,0.816622433382906,1.75657289758045,"sKizzo",3600,"memout" "s3330_d10_u-shuffled",1,57688,15672,73360,173669,446424,2,1,3,132,125490,48047,104832,127284,1.55744548537736,1.01309963205869,28844,0.506549816029343,0.506549816029343,3.07415485278081,11.2266462480858,5.61332312404288,6.70245365321701,22.4532924961715,11.2266462480858,13.3741159339897,0.494830027059477,0.308110675053313,0.491467021591245,0.60176366204324,0.19705929788721,1,1.0208959548039,0.770480165961526,0.378665592360239,0.5,0.491467021591245,0.765243763824229,1,0.27665847099943,0.732911458003443,0.488115167112244,0.418016637844602,0.857409873447321,0.518755832446144,0.816622433382906,1.75657289758045,"sSolve",3600,"timeout" "s3330_d4_s-shuffled",1,20488,5136,25624,60941,155496,2,1,3,132,44256,16553,37212,44478,1.61388884330746,0.937693835020758,10244,0.468846917510379,0.468846917510379,3.05682172962847,11.1261682242991,5.56308411214953,6.49000936621917,22.2523364485981,11.1261682242991,13.8557204217103,0.496270000514483,0.31998250758862,0.494102814380999,0.629742898610823,0.183747491896898,1,1.01503213767365,0.791063477902567,0.390866690785679,0.5,0.494102814380999,0.787608943479292,1,0.271623373426757,0.729853464826636,0.488886430134494,0.419585175010941,0.876229176131148,0.517130945613038,0.820923567185567,1.79229596511831,"X2clsQ",3600,"timeout" "s3330_d4_s-shuffled",1,20488,5136,25624,60941,155496,2,1,3,132,44256,16553,37212,44478,1.61388884330746,0.937693835020758,10244,0.468846917510379,0.468846917510379,3.05682172962847,11.1261682242991,5.56308411214953,6.49000936621917,22.2523364485981,11.1261682242991,13.8557204217103,0.496270000514483,0.31998250758862,0.494102814380999,0.629742898610823,0.183747491896898,1,1.01503213767365,0.791063477902567,0.390866690785679,0.5,0.494102814380999,0.787608943479292,1,0.271623373426757,0.729853464826636,0.488886430134494,0.419585175010941,0.876229176131148,0.517130945613038,0.820923567185567,1.79229596511831,"quantor",3600,"timeout" "s3330_d4_s-shuffled",1,20488,5136,25624,60941,155496,2,1,3,132,44256,16553,37212,44478,1.61388884330746,0.937693835020758,10244,0.468846917510379,0.468846917510379,3.05682172962847,11.1261682242991,5.56308411214953,6.49000936621917,22.2523364485981,11.1261682242991,13.8557204217103,0.496270000514483,0.31998250758862,0.494102814380999,0.629742898610823,0.183747491896898,1,1.01503213767365,0.791063477902567,0.390866690785679,0.5,0.494102814380999,0.787608943479292,1,0.271623373426757,0.729853464826636,0.488886430134494,0.419585175010941,0.876229176131148,0.517130945613038,0.820923567185567,1.79229596511831,"QuBE",3600,"memout" "s3330_d4_s-shuffled",1,20488,5136,25624,60941,155496,2,1,3,132,44256,16553,37212,44478,1.61388884330746,0.937693835020758,10244,0.468846917510379,0.468846917510379,3.05682172962847,11.1261682242991,5.56308411214953,6.49000936621917,22.2523364485981,11.1261682242991,13.8557204217103,0.496270000514483,0.31998250758862,0.494102814380999,0.629742898610823,0.183747491896898,1,1.01503213767365,0.791063477902567,0.390866690785679,0.5,0.494102814380999,0.787608943479292,1,0.271623373426757,0.729853464826636,0.488886430134494,0.419585175010941,0.876229176131148,0.517130945613038,0.820923567185567,1.79229596511831,"sKizzo",3600,"memout" "s3330_d4_s-shuffled",1,20488,5136,25624,60941,155496,2,1,3,132,44256,16553,37212,44478,1.61388884330746,0.937693835020758,10244,0.468846917510379,0.468846917510379,3.05682172962847,11.1261682242991,5.56308411214953,6.49000936621917,22.2523364485981,11.1261682242991,13.8557204217103,0.496270000514483,0.31998250758862,0.494102814380999,0.629742898610823,0.183747491896898,1,1.01503213767365,0.791063477902567,0.390866690785679,0.5,0.494102814380999,0.787608943479292,1,0.271623373426757,0.729853464826636,0.488886430134494,0.419585175010941,0.876229176131148,0.517130945613038,0.820923567185567,1.79229596511831,"sSolve",3600,"timeout" "s386_d12_u-shuffled",1,7326,1743,9069,24215,63570,2,1,3,6,17380,6829,15544,17772,1.56787115424324,1.05736113978939,3663,0.528680569894693,0.528680569894693,3.54173558275444,14.6896156052783,7.34480780263913,7.79644944315801,29.3792312105565,14.6896156052783,17.462598962599,0.494730218656599,0.303885480572597,0.491176315650845,0.592941176470588,0.201384300770804,1,1.02130365659777,0.739324536257052,0.363138701789009,0.5,0.491176315650846,0.734013585778292,1,0.282015279785257,0.733925252942391,0.482409264600384,0.408713058135485,0.97521429457175,0.528138832291154,0.789645235477272,2.02154968018617,"X2clsQ",3600,"timeout" "s386_d12_u-shuffled",1,7326,1743,9069,24215,63570,2,1,3,6,17380,6829,15544,17772,1.56787115424324,1.05736113978939,3663,0.528680569894693,0.528680569894693,3.54173558275444,14.6896156052783,7.34480780263913,7.79644944315801,29.3792312105565,14.6896156052783,17.462598962599,0.494730218656599,0.303885480572597,0.491176315650845,0.592941176470588,0.201384300770804,1,1.02130365659777,0.739324536257052,0.363138701789009,0.5,0.491176315650846,0.734013585778292,1,0.282015279785257,0.733925252942391,0.482409264600384,0.408713058135485,0.97521429457175,0.528138832291154,0.789645235477272,2.02154968018617,"quantor",3600,"timeout" "s386_d12_u-shuffled",1,7326,1743,9069,24215,63570,2,1,3,6,17380,6829,15544,17772,1.56787115424324,1.05736113978939,3663,0.528680569894693,0.528680569894693,3.54173558275444,14.6896156052783,7.34480780263913,7.79644944315801,29.3792312105565,14.6896156052783,17.462598962599,0.494730218656599,0.303885480572597,0.491176315650845,0.592941176470588,0.201384300770804,1,1.02130365659777,0.739324536257052,0.363138701789009,0.5,0.491176315650846,0.734013585778292,1,0.282015279785257,0.733925252942391,0.482409264600384,0.408713058135485,0.97521429457175,0.528138832291154,0.789645235477272,2.02154968018617,"QuBE",3600,"memout" "s386_d12_u-shuffled",1,7326,1743,9069,24215,63570,2,1,3,6,17380,6829,15544,17772,1.56787115424324,1.05736113978939,3663,0.528680569894693,0.528680569894693,3.54173558275444,14.6896156052783,7.34480780263913,7.79644944315801,29.3792312105565,14.6896156052783,17.462598962599,0.494730218656599,0.303885480572597,0.491176315650845,0.592941176470588,0.201384300770804,1,1.02130365659777,0.739324536257052,0.363138701789009,0.5,0.491176315650846,0.734013585778292,1,0.282015279785257,0.733925252942391,0.482409264600384,0.408713058135485,0.97521429457175,0.528138832291154,0.789645235477272,2.02154968018617,"sKizzo",3600,"memout" "s386_d12_u-shuffled",1,7326,1743,9069,24215,63570,2,1,3,6,17380,6829,15544,17772,1.56787115424324,1.05736113978939,3663,0.528680569894693,0.528680569894693,3.54173558275444,14.6896156052783,7.34480780263913,7.79644944315801,29.3792312105565,14.6896156052783,17.462598962599,0.494730218656599,0.303885480572597,0.491176315650845,0.592941176470588,0.201384300770804,1,1.02130365659777,0.739324536257052,0.363138701789009,0.5,0.491176315650846,0.734013585778292,1,0.282015279785257,0.733925252942391,0.482409264600384,0.408713058135485,0.97521429457175,0.528138832291154,0.789645235477272,2.02154968018617,"sSolve",3600,"timeout" "s499_d15_s-shuffled",1,9191,2120,11311,30628,80403,2,1,3,22,21931,8675,15653,24597,1.5706869531148,1.05445997126812,4595.5,0.52722998563406,0.52722998563406,3.74255149854124,15.2339622641509,7.61698113207547,8.06400848731323,30.4679245283019,15.2339622641509,15.9030573387009,0.473502232503762,0.325659490317525,0.455713305755919,0.575845131464894,0.200838277178712,1,1.1119224606656,0.736332988103925,0.335556740145973,0.5,0.455713305755919,0.708669816342011,1,0.283237560402246,0.803088677027556,0.472540436137792,0.419284379050839,0.996439810843948,0.543831633359487,0.794912838399821,2.10868686495516,"X2clsQ",3600,"timeout" "s499_d15_s-shuffled",1,9191,2120,11311,30628,80403,2,1,3,22,21931,8675,15653,24597,1.5706869531148,1.05445997126812,4595.5,0.52722998563406,0.52722998563406,3.74255149854124,15.2339622641509,7.61698113207547,8.06400848731323,30.4679245283019,15.2339622641509,15.9030573387009,0.473502232503762,0.325659490317525,0.455713305755919,0.575845131464894,0.200838277178712,1,1.1119224606656,0.736332988103925,0.335556740145973,0.5,0.455713305755919,0.708669816342011,1,0.283237560402246,0.803088677027556,0.472540436137792,0.419284379050839,0.996439810843948,0.543831633359487,0.794912838399821,2.10868686495516,"quantor",3600,"timeout" "s499_d15_s-shuffled",1,9191,2120,11311,30628,80403,2,1,3,22,21931,8675,15653,24597,1.5706869531148,1.05445997126812,4595.5,0.52722998563406,0.52722998563406,3.74255149854124,15.2339622641509,7.61698113207547,8.06400848731323,30.4679245283019,15.2339622641509,15.9030573387009,0.473502232503762,0.325659490317525,0.455713305755919,0.575845131464894,0.200838277178712,1,1.1119224606656,0.736332988103925,0.335556740145973,0.5,0.455713305755919,0.708669816342011,1,0.283237560402246,0.803088677027556,0.472540436137792,0.419284379050839,0.996439810843948,0.543831633359487,0.794912838399821,2.10868686495516,"QuBE",3600,"memout" "s499_d15_s-shuffled",1,9191,2120,11311,30628,80403,2,1,3,22,21931,8675,15653,24597,1.5706869531148,1.05445997126812,4595.5,0.52722998563406,0.52722998563406,3.74255149854124,15.2339622641509,7.61698113207547,8.06400848731323,30.4679245283019,15.2339622641509,15.9030573387009,0.473502232503762,0.325659490317525,0.455713305755919,0.575845131464894,0.200838277178712,1,1.1119224606656,0.736332988103925,0.335556740145973,0.5,0.455713305755919,0.708669816342011,1,0.283237560402246,0.803088677027556,0.472540436137792,0.419284379050839,0.996439810843948,0.543831633359487,0.794912838399821,2.10868686495516,"sKizzo",3600,"memout" "s499_d15_s-shuffled",1,9191,2120,11311,30628,80403,2,1,3,22,21931,8675,15653,24597,1.5706869531148,1.05445997126812,4595.5,0.52722998563406,0.52722998563406,3.74255149854124,15.2339622641509,7.61698113207547,8.06400848731323,30.4679245283019,15.2339622641509,15.9030573387009,0.473502232503762,0.325659490317525,0.455713305755919,0.575845131464894,0.200838277178712,1,1.1119224606656,0.736332988103925,0.335556740145973,0.5,0.455713305755919,0.708669816342011,1,0.283237560402246,0.803088677027556,0.472540436137792,0.419284379050839,0.996439810843948,0.543831633359487,0.794912838399821,2.10868686495516,"sSolve",3600,"timeout" "s499_d4_s-shuffled",1,2107,437,2544,6967,18165,2,1,3,22,4991,1954,3553,5556,1.66312616621214,0.944165350940146,1053.5,0.472082675470073,0.472082675470073,3.76336477987421,15.0526315789474,7.52631578947368,7.81328616352201,30.1052631578947,15.0526315789474,16.9188419553868,0.472942471786402,0.345995045417011,0.457581772676275,0.617157490396927,0.181062482796587,1,1.11442206960773,0.770172799018019,0.352417034641714,0.5,0.457581772676275,0.745158355752151,1,0.280465049519162,0.797473805081097,0.472044410210099,0.426499093120815,1.01712913322644,0.542971461233822,0.807831380837428,2.15473186680408,"X2clsQ",3600,"timeout" "s499_d4_s-shuffled",1,2107,437,2544,6967,18165,2,1,3,22,4991,1954,3553,5556,1.66312616621214,0.944165350940146,1053.5,0.472082675470073,0.472082675470073,3.76336477987421,15.0526315789474,7.52631578947368,7.81328616352201,30.1052631578947,15.0526315789474,16.9188419553868,0.472942471786402,0.345995045417011,0.457581772676275,0.617157490396927,0.181062482796587,1,1.11442206960773,0.770172799018019,0.352417034641714,0.5,0.457581772676275,0.745158355752151,1,0.280465049519162,0.797473805081097,0.472044410210099,0.426499093120815,1.01712913322644,0.542971461233822,0.807831380837428,2.15473186680408,"quantor",79.22,"ok" "s499_d4_s-shuffled",1,2107,437,2544,6967,18165,2,1,3,22,4991,1954,3553,5556,1.66312616621214,0.944165350940146,1053.5,0.472082675470073,0.472082675470073,3.76336477987421,15.0526315789474,7.52631578947368,7.81328616352201,30.1052631578947,15.0526315789474,16.9188419553868,0.472942471786402,0.345995045417011,0.457581772676275,0.617157490396927,0.181062482796587,1,1.11442206960773,0.770172799018019,0.352417034641714,0.5,0.457581772676275,0.745158355752151,1,0.280465049519162,0.797473805081097,0.472044410210099,0.426499093120815,1.01712913322644,0.542971461233822,0.807831380837428,2.15473186680408,"QuBE",3600,"memout" "s499_d4_s-shuffled",1,2107,437,2544,6967,18165,2,1,3,22,4991,1954,3553,5556,1.66312616621214,0.944165350940146,1053.5,0.472082675470073,0.472082675470073,3.76336477987421,15.0526315789474,7.52631578947368,7.81328616352201,30.1052631578947,15.0526315789474,16.9188419553868,0.472942471786402,0.345995045417011,0.457581772676275,0.617157490396927,0.181062482796587,1,1.11442206960773,0.770172799018019,0.352417034641714,0.5,0.457581772676275,0.745158355752151,1,0.280465049519162,0.797473805081097,0.472044410210099,0.426499093120815,1.01712913322644,0.542971461233822,0.807831380837428,2.15473186680408,"sKizzo",71.58,"ok" "s499_d4_s-shuffled",1,2107,437,2544,6967,18165,2,1,3,22,4991,1954,3553,5556,1.66312616621214,0.944165350940146,1053.5,0.472082675470073,0.472082675470073,3.76336477987421,15.0526315789474,7.52631578947368,7.81328616352201,30.1052631578947,15.0526315789474,16.9188419553868,0.472942471786402,0.345995045417011,0.457581772676275,0.617157490396927,0.181062482796587,1,1.11442206960773,0.770172799018019,0.352417034641714,0.5,0.457581772676275,0.745158355752151,1,0.280465049519162,0.797473805081097,0.472044410210099,0.426499093120815,1.01712913322644,0.542971461233822,0.807831380837428,2.15473186680408,"sSolve",3600,"timeout" "s510_d12_s-shuffled",1,9392,2447,11839,29679,77416,2,1,3,6,20898,8775,16303,22467,1.56454058425149,1.04390309646551,4696,0.521951548232757,0.521951548232757,3.36413548441591,12.661217817736,6.330608908868,7.31506039361433,25.322435635472,12.661217817736,14.8528534923339,0.485532706417278,0.314366539216699,0.475879743291554,0.58787378950729,0.200100754366023,1,1.05959348728318,0.756070521520583,0.359798645691526,0.5,0.475879743291554,0.741038947399574,1,0.295663600525624,0.756999898918427,0.479930579743217,0.42104073102915,0.900158283855446,0.531963120947225,0.809585633435748,1.87560101783276,"X2clsQ",3600,"timeout" "s510_d12_s-shuffled",1,9392,2447,11839,29679,77416,2,1,3,6,20898,8775,16303,22467,1.56454058425149,1.04390309646551,4696,0.521951548232757,0.521951548232757,3.36413548441591,12.661217817736,6.330608908868,7.31506039361433,25.322435635472,12.661217817736,14.8528534923339,0.485532706417278,0.314366539216699,0.475879743291554,0.58787378950729,0.200100754366023,1,1.05959348728318,0.756070521520583,0.359798645691526,0.5,0.475879743291554,0.741038947399574,1,0.295663600525624,0.756999898918427,0.479930579743217,0.42104073102915,0.900158283855446,0.531963120947225,0.809585633435748,1.87560101783276,"quantor",3600,"timeout" "s510_d12_s-shuffled",1,9392,2447,11839,29679,77416,2,1,3,6,20898,8775,16303,22467,1.56454058425149,1.04390309646551,4696,0.521951548232757,0.521951548232757,3.36413548441591,12.661217817736,6.330608908868,7.31506039361433,25.322435635472,12.661217817736,14.8528534923339,0.485532706417278,0.314366539216699,0.475879743291554,0.58787378950729,0.200100754366023,1,1.05959348728318,0.756070521520583,0.359798645691526,0.5,0.475879743291554,0.741038947399574,1,0.295663600525624,0.756999898918427,0.479930579743217,0.42104073102915,0.900158283855446,0.531963120947225,0.809585633435748,1.87560101783276,"QuBE",3600,"memout" "s510_d12_s-shuffled",1,9392,2447,11839,29679,77416,2,1,3,6,20898,8775,16303,22467,1.56454058425149,1.04390309646551,4696,0.521951548232757,0.521951548232757,3.36413548441591,12.661217817736,6.330608908868,7.31506039361433,25.322435635472,12.661217817736,14.8528534923339,0.485532706417278,0.314366539216699,0.475879743291554,0.58787378950729,0.200100754366023,1,1.05959348728318,0.756070521520583,0.359798645691526,0.5,0.475879743291554,0.741038947399574,1,0.295663600525624,0.756999898918427,0.479930579743217,0.42104073102915,0.900158283855446,0.531963120947225,0.809585633435748,1.87560101783276,"sKizzo",3600,"memout" "s510_d12_s-shuffled",1,9392,2447,11839,29679,77416,2,1,3,6,20898,8775,16303,22467,1.56454058425149,1.04390309646551,4696,0.521951548232757,0.521951548232757,3.36413548441591,12.661217817736,6.330608908868,7.31506039361433,25.322435635472,12.661217817736,14.8528534923339,0.485532706417278,0.314366539216699,0.475879743291554,0.58787378950729,0.200100754366023,1,1.05959348728318,0.756070521520583,0.359798645691526,0.5,0.475879743291554,0.741038947399574,1,0.295663600525624,0.756999898918427,0.479930579743217,0.42104073102915,0.900158283855446,0.531963120947225,0.809585633435748,1.87560101783276,"sSolve",3600,"timeout" "s510_d31_s-shuffled",1,25219,6684,31903,79877,208668,2,1,3,6,56238,23633,43815,60619,1.54800505777633,1.0643614557382,12609.5,0.532180727869099,0.532180727869099,3.36620380528477,12.7196289646918,6.3598144823459,7.37300567344764,25.4392579293836,12.7196289646918,14.4735715135414,0.485345141564591,0.310938907738609,0.475268904164982,0.580265808286267,0.203715950696801,1,1.0603894308622,0.749621319237081,0.356271702932515,0.5,0.475268904164982,0.734058451237432,1,0.295867396121537,0.758904315384904,0.479836623131935,0.420190228541432,0.897366074002916,0.532313853350817,0.807804330768965,1.87014919400218,"X2clsQ",3600,"timeout" "s510_d31_s-shuffled",1,25219,6684,31903,79877,208668,2,1,3,6,56238,23633,43815,60619,1.54800505777633,1.0643614557382,12609.5,0.532180727869099,0.532180727869099,3.36620380528477,12.7196289646918,6.3598144823459,7.37300567344764,25.4392579293836,12.7196289646918,14.4735715135414,0.485345141564591,0.310938907738609,0.475268904164982,0.580265808286267,0.203715950696801,1,1.0603894308622,0.749621319237081,0.356271702932515,0.5,0.475268904164982,0.734058451237432,1,0.295867396121537,0.758904315384904,0.479836623131935,0.420190228541432,0.897366074002916,0.532313853350817,0.807804330768965,1.87014919400218,"quantor",3600,"timeout" "s510_d31_s-shuffled",1,25219,6684,31903,79877,208668,2,1,3,6,56238,23633,43815,60619,1.54800505777633,1.0643614557382,12609.5,0.532180727869099,0.532180727869099,3.36620380528477,12.7196289646918,6.3598144823459,7.37300567344764,25.4392579293836,12.7196289646918,14.4735715135414,0.485345141564591,0.310938907738609,0.475268904164982,0.580265808286267,0.203715950696801,1,1.0603894308622,0.749621319237081,0.356271702932515,0.5,0.475268904164982,0.734058451237432,1,0.295867396121537,0.758904315384904,0.479836623131935,0.420190228541432,0.897366074002916,0.532313853350817,0.807804330768965,1.87014919400218,"QuBE",3600,"memout" "s510_d31_s-shuffled",1,25219,6684,31903,79877,208668,2,1,3,6,56238,23633,43815,60619,1.54800505777633,1.0643614557382,12609.5,0.532180727869099,0.532180727869099,3.36620380528477,12.7196289646918,6.3598144823459,7.37300567344764,25.4392579293836,12.7196289646918,14.4735715135414,0.485345141564591,0.310938907738609,0.475268904164982,0.580265808286267,0.203715950696801,1,1.0603894308622,0.749621319237081,0.356271702932515,0.5,0.475268904164982,0.734058451237432,1,0.295867396121537,0.758904315384904,0.479836623131935,0.420190228541432,0.897366074002916,0.532313853350817,0.807804330768965,1.87014919400218,"sKizzo",3600,"memout" "s510_d31_s-shuffled",1,25219,6684,31903,79877,208668,2,1,3,6,56238,23633,43815,60619,1.54800505777633,1.0643614557382,12609.5,0.532180727869099,0.532180727869099,3.36620380528477,12.7196289646918,6.3598144823459,7.37300567344764,25.4392579293836,12.7196289646918,14.4735715135414,0.485345141564591,0.310938907738609,0.475268904164982,0.580265808286267,0.203715950696801,1,1.0603894308622,0.749621319237081,0.356271702932515,0.5,0.475268904164982,0.734058451237432,1,0.295867396121537,0.758904315384904,0.479836623131935,0.420190228541432,0.897366074002916,0.532313853350817,0.807804330768965,1.87014919400218,"sSolve",3600,"timeout" "s510_d4_s-shuffled",1,2728,663,3391,8543,22152,2,1,3,6,6018,2519,4719,6403,1.62963830036287,0.963361816692029,1364,0.481680908346014,0.481680908346014,3.35594219994102,12.4132730015083,6.20663650075415,7.08552049542908,24.8265460030166,12.4132730015083,16.3291788856305,0.486276634163958,0.327961357890935,0.478164056888378,0.617991088005941,0.185762007945107,1,1.05644262903825,0.781217764705384,0.373550255684797,0.5,0.478164056888378,0.768184669878353,1,0.294861289944984,0.749502516680323,0.480317515248518,0.424713996326477,0.910442720684229,0.53056357896151,0.817256707294226,1.89550181240666,"X2clsQ",3600,"timeout" "s510_d4_s-shuffled",1,2728,663,3391,8543,22152,2,1,3,6,6018,2519,4719,6403,1.62963830036287,0.963361816692029,1364,0.481680908346014,0.481680908346014,3.35594219994102,12.4132730015083,6.20663650075415,7.08552049542908,24.8265460030166,12.4132730015083,16.3291788856305,0.486276634163958,0.327961357890935,0.478164056888378,0.617991088005941,0.185762007945107,1,1.05644262903825,0.781217764705384,0.373550255684797,0.5,0.478164056888378,0.768184669878353,1,0.294861289944984,0.749502516680323,0.480317515248518,0.424713996326477,0.910442720684229,0.53056357896151,0.817256707294226,1.89550181240666,"quantor",3600,"memout" "s510_d4_s-shuffled",1,2728,663,3391,8543,22152,2,1,3,6,6018,2519,4719,6403,1.62963830036287,0.963361816692029,1364,0.481680908346014,0.481680908346014,3.35594219994102,12.4132730015083,6.20663650075415,7.08552049542908,24.8265460030166,12.4132730015083,16.3291788856305,0.486276634163958,0.327961357890935,0.478164056888378,0.617991088005941,0.185762007945107,1,1.05644262903825,0.781217764705384,0.373550255684797,0.5,0.478164056888378,0.768184669878353,1,0.294861289944984,0.749502516680323,0.480317515248518,0.424713996326477,0.910442720684229,0.53056357896151,0.817256707294226,1.89550181240666,"QuBE",3600,"memout" "s510_d4_s-shuffled",1,2728,663,3391,8543,22152,2,1,3,6,6018,2519,4719,6403,1.62963830036287,0.963361816692029,1364,0.481680908346014,0.481680908346014,3.35594219994102,12.4132730015083,6.20663650075415,7.08552049542908,24.8265460030166,12.4132730015083,16.3291788856305,0.486276634163958,0.327961357890935,0.478164056888378,0.617991088005941,0.185762007945107,1,1.05644262903825,0.781217764705384,0.373550255684797,0.5,0.478164056888378,0.768184669878353,1,0.294861289944984,0.749502516680323,0.480317515248518,0.424713996326477,0.910442720684229,0.53056357896151,0.817256707294226,1.89550181240666,"sKizzo",3600,"memout" "s510_d4_s-shuffled",1,2728,663,3391,8543,22152,2,1,3,6,6018,2519,4719,6403,1.62963830036287,0.963361816692029,1364,0.481680908346014,0.481680908346014,3.35594219994102,12.4132730015083,6.20663650075415,7.08552049542908,24.8265460030166,12.4132730015083,16.3291788856305,0.486276634163958,0.327961357890935,0.478164056888378,0.617991088005941,0.185762007945107,1,1.05644262903825,0.781217764705384,0.373550255684797,0.5,0.478164056888378,0.768184669878353,1,0.294861289944984,0.749502516680323,0.480317515248518,0.424713996326477,0.910442720684229,0.53056357896151,0.817256707294226,1.89550181240666,"sSolve",3600,"timeout" "s713_d3_s-shuffled",1,3098,791,3889,8792,22251,2,1,3,19,6509,2264,5106,6454,1.61521838034577,0.915605095541401,1549,0.457802547770701,0.457802547770701,2.8768320905117,10.1769911504425,5.08849557522124,6.02648495757264,20.353982300885,10.1769911504425,11.4002582311168,0.497191137476967,0.321918116039729,0.495598901485811,0.636174636174636,0.180890746483304,1,1.0112989243424,0.801172296272712,0.397060109933621,0.5,0.495598901485811,0.798606572008767,1,0.257506824385805,0.734076433121019,0.490289467388704,0.42008006394535,0.860753758814963,0.514942605669154,0.824154175887321,1.75560320191939,"X2clsQ",3600,"timeout" "s713_d3_s-shuffled",1,3098,791,3889,8792,22251,2,1,3,19,6509,2264,5106,6454,1.61521838034577,0.915605095541401,1549,0.457802547770701,0.457802547770701,2.8768320905117,10.1769911504425,5.08849557522124,6.02648495757264,20.353982300885,10.1769911504425,11.4002582311168,0.497191137476967,0.321918116039729,0.495598901485811,0.636174636174636,0.180890746483304,1,1.0112989243424,0.801172296272712,0.397060109933621,0.5,0.495598901485811,0.798606572008767,1,0.257506824385805,0.734076433121019,0.490289467388704,0.42008006394535,0.860753758814963,0.514942605669154,0.824154175887321,1.75560320191939,"quantor",3600,"timeout" "s713_d3_s-shuffled",1,3098,791,3889,8792,22251,2,1,3,19,6509,2264,5106,6454,1.61521838034577,0.915605095541401,1549,0.457802547770701,0.457802547770701,2.8768320905117,10.1769911504425,5.08849557522124,6.02648495757264,20.353982300885,10.1769911504425,11.4002582311168,0.497191137476967,0.321918116039729,0.495598901485811,0.636174636174636,0.180890746483304,1,1.0112989243424,0.801172296272712,0.397060109933621,0.5,0.495598901485811,0.798606572008767,1,0.257506824385805,0.734076433121019,0.490289467388704,0.42008006394535,0.860753758814963,0.514942605669154,0.824154175887321,1.75560320191939,"QuBE",3600,"memout" "s713_d3_s-shuffled",1,3098,791,3889,8792,22251,2,1,3,19,6509,2264,5106,6454,1.61521838034577,0.915605095541401,1549,0.457802547770701,0.457802547770701,2.8768320905117,10.1769911504425,5.08849557522124,6.02648495757264,20.353982300885,10.1769911504425,11.4002582311168,0.497191137476967,0.321918116039729,0.495598901485811,0.636174636174636,0.180890746483304,1,1.0112989243424,0.801172296272712,0.397060109933621,0.5,0.495598901485811,0.798606572008767,1,0.257506824385805,0.734076433121019,0.490289467388704,0.42008006394535,0.860753758814963,0.514942605669154,0.824154175887321,1.75560320191939,"sKizzo",3600,"memout" "s713_d3_s-shuffled",1,3098,791,3889,8792,22251,2,1,3,19,6509,2264,5106,6454,1.61521838034577,0.915605095541401,1549,0.457802547770701,0.457802547770701,2.8768320905117,10.1769911504425,5.08849557522124,6.02648495757264,20.353982300885,10.1769911504425,11.4002582311168,0.497191137476967,0.321918116039729,0.495598901485811,0.636174636174636,0.180890746483304,1,1.0112989243424,0.801172296272712,0.397060109933621,0.5,0.495598901485811,0.798606572008767,1,0.257506824385805,0.734076433121019,0.490289467388704,0.42008006394535,0.860753758814963,0.514942605669154,0.824154175887321,1.75560320191939,"sSolve",3600,"timeout" "s713_d5_s-shuffled",1,5772,1601,7373,16632,42427,2,1,3,19,12219,4394,9570,12310,1.5724506974507,0.978475228475228,2886,0.489237614237614,0.489237614237614,2.90085446900854,10.1648969394129,5.08244846970643,6.23531805235318,20.3297938788257,10.1648969394129,11.5727650727651,0.495887053055837,0.312324698894572,0.49332772530876,0.613242074243072,0.191788248049591,1,1.01658824088597,0.787403065533737,0.388447763220903,0.5,0.49332772530876,0.783339191509732,1,0.264189514189514,0.74013949013949,0.489534870809784,0.421233568242262,0.832166921689644,0.51638759932537,0.82519557978523,1.69991347156349,"X2clsQ",3600,"timeout" "s713_d5_s-shuffled",1,5772,1601,7373,16632,42427,2,1,3,19,12219,4394,9570,12310,1.5724506974507,0.978475228475228,2886,0.489237614237614,0.489237614237614,2.90085446900854,10.1648969394129,5.08244846970643,6.23531805235318,20.3297938788257,10.1648969394129,11.5727650727651,0.495887053055837,0.312324698894572,0.49332772530876,0.613242074243072,0.191788248049591,1,1.01658824088597,0.787403065533737,0.388447763220903,0.5,0.49332772530876,0.783339191509732,1,0.264189514189514,0.74013949013949,0.489534870809784,0.421233568242262,0.832166921689644,0.51638759932537,0.82519557978523,1.69991347156349,"quantor",3600,"timeout" "s713_d5_s-shuffled",1,5772,1601,7373,16632,42427,2,1,3,19,12219,4394,9570,12310,1.5724506974507,0.978475228475228,2886,0.489237614237614,0.489237614237614,2.90085446900854,10.1648969394129,5.08244846970643,6.23531805235318,20.3297938788257,10.1648969394129,11.5727650727651,0.495887053055837,0.312324698894572,0.49332772530876,0.613242074243072,0.191788248049591,1,1.01658824088597,0.787403065533737,0.388447763220903,0.5,0.49332772530876,0.783339191509732,1,0.264189514189514,0.74013949013949,0.489534870809784,0.421233568242262,0.832166921689644,0.51638759932537,0.82519557978523,1.69991347156349,"QuBE",3600,"memout" "s713_d5_s-shuffled",1,5772,1601,7373,16632,42427,2,1,3,19,12219,4394,9570,12310,1.5724506974507,0.978475228475228,2886,0.489237614237614,0.489237614237614,2.90085446900854,10.1648969394129,5.08244846970643,6.23531805235318,20.3297938788257,10.1648969394129,11.5727650727651,0.495887053055837,0.312324698894572,0.49332772530876,0.613242074243072,0.191788248049591,1,1.01658824088597,0.787403065533737,0.388447763220903,0.5,0.49332772530876,0.783339191509732,1,0.264189514189514,0.74013949013949,0.489534870809784,0.421233568242262,0.832166921689644,0.51638759932537,0.82519557978523,1.69991347156349,"sKizzo",3600,"memout" "s713_d5_s-shuffled",1,5772,1601,7373,16632,42427,2,1,3,19,12219,4394,9570,12310,1.5724506974507,0.978475228475228,2886,0.489237614237614,0.489237614237614,2.90085446900854,10.1648969394129,5.08244846970643,6.23531805235318,20.3297938788257,10.1648969394129,11.5727650727651,0.495887053055837,0.312324698894572,0.49332772530876,0.613242074243072,0.191788248049591,1,1.01658824088597,0.787403065533737,0.388447763220903,0.5,0.49332772530876,0.783339191509732,1,0.264189514189514,0.74013949013949,0.489534870809784,0.421233568242262,0.832166921689644,0.51638759932537,0.82519557978523,1.69991347156349,"sSolve",3600,"timeout" "s820_d6_s-shuffled",1,6603,1435,8038,22630,59767,2,1,3,5,16332,6293,13318,17267,1.61789659743703,1.02315510384445,3301.5,0.511577551922227,0.511577551922227,3.86364767355063,16.1351916376307,8.06759581881533,8.26735506344862,32.2703832752613,16.1351916376307,29.7816144176889,0.480382150685161,0.325915639065036,0.467975855570426,0.596774755320261,0.193702210249803,1,1.08167601267807,0.745728194460724,0.348982789825746,0.5,0.467975855570426,0.726469102417727,1,0.278082191780822,0.763013698630137,0.473518669635005,0.417592754069121,1.02752054240796,0.541826736576026,0.793176756675522,2.16996838413993,"X2clsQ",3600,"timeout" "s820_d6_s-shuffled",1,6603,1435,8038,22630,59767,2,1,3,5,16332,6293,13318,17267,1.61789659743703,1.02315510384445,3301.5,0.511577551922227,0.511577551922227,3.86364767355063,16.1351916376307,8.06759581881533,8.26735506344862,32.2703832752613,16.1351916376307,29.7816144176889,0.480382150685161,0.325915639065036,0.467975855570426,0.596774755320261,0.193702210249803,1,1.08167601267807,0.745728194460724,0.348982789825746,0.5,0.467975855570426,0.726469102417727,1,0.278082191780822,0.763013698630137,0.473518669635005,0.417592754069121,1.02752054240796,0.541826736576026,0.793176756675522,2.16996838413993,"quantor",3600,"timeout" "s820_d6_s-shuffled",1,6603,1435,8038,22630,59767,2,1,3,5,16332,6293,13318,17267,1.61789659743703,1.02315510384445,3301.5,0.511577551922227,0.511577551922227,3.86364767355063,16.1351916376307,8.06759581881533,8.26735506344862,32.2703832752613,16.1351916376307,29.7816144176889,0.480382150685161,0.325915639065036,0.467975855570426,0.596774755320261,0.193702210249803,1,1.08167601267807,0.745728194460724,0.348982789825746,0.5,0.467975855570426,0.726469102417727,1,0.278082191780822,0.763013698630137,0.473518669635005,0.417592754069121,1.02752054240796,0.541826736576026,0.793176756675522,2.16996838413993,"QuBE",3600,"memout" "s820_d6_s-shuffled",1,6603,1435,8038,22630,59767,2,1,3,5,16332,6293,13318,17267,1.61789659743703,1.02315510384445,3301.5,0.511577551922227,0.511577551922227,3.86364767355063,16.1351916376307,8.06759581881533,8.26735506344862,32.2703832752613,16.1351916376307,29.7816144176889,0.480382150685161,0.325915639065036,0.467975855570426,0.596774755320261,0.193702210249803,1,1.08167601267807,0.745728194460724,0.348982789825746,0.5,0.467975855570426,0.726469102417727,1,0.278082191780822,0.763013698630137,0.473518669635005,0.417592754069121,1.02752054240796,0.541826736576026,0.793176756675522,2.16996838413993,"sKizzo",3600,"memout" "s820_d6_s-shuffled",1,6603,1435,8038,22630,59767,2,1,3,5,16332,6293,13318,17267,1.61789659743703,1.02315510384445,3301.5,0.511577551922227,0.511577551922227,3.86364767355063,16.1351916376307,8.06759581881533,8.26735506344862,32.2703832752613,16.1351916376307,29.7816144176889,0.480382150685161,0.325915639065036,0.467975855570426,0.596774755320261,0.193702210249803,1,1.08167601267807,0.745728194460724,0.348982789825746,0.5,0.467975855570426,0.726469102417727,1,0.278082191780822,0.763013698630137,0.473518669635005,0.417592754069121,1.02752054240796,0.541826736576026,0.793176756675522,2.16996838413993,"sSolve",3600,"timeout" "s820_d7_s-shuffled",1,7865,1723,9588,26960,71243,2,1,3,5,19451,7504,15848,20583,1.60701038575668,1.03553412462908,3932.5,0.51776706231454,0.51776706231454,3.86170212765957,16.2031340684852,8.1015670342426,8.29881101376721,32.4062681369704,16.2031340684852,28.5903369357915,0.480285782462838,0.323779178305237,0.467582227351414,0.592044889966975,0.195935039231925,1,1.08209369611597,0.741354060735997,0.346643982974953,0.5,0.467582227351414,0.721745251748679,1,0.278338278931751,0.763464391691395,0.473474897598613,0.416661347304402,1.02802158170364,0.54206853124836,0.791341847528416,2.17122721165916,"X2clsQ",3600,"timeout" "s820_d7_s-shuffled",1,7865,1723,9588,26960,71243,2,1,3,5,19451,7504,15848,20583,1.60701038575668,1.03553412462908,3932.5,0.51776706231454,0.51776706231454,3.86170212765957,16.2031340684852,8.1015670342426,8.29881101376721,32.4062681369704,16.2031340684852,28.5903369357915,0.480285782462838,0.323779178305237,0.467582227351414,0.592044889966975,0.195935039231925,1,1.08209369611597,0.741354060735997,0.346643982974953,0.5,0.467582227351414,0.721745251748679,1,0.278338278931751,0.763464391691395,0.473474897598613,0.416661347304402,1.02802158170364,0.54206853124836,0.791341847528416,2.17122721165916,"quantor",3600,"timeout" "s820_d7_s-shuffled",1,7865,1723,9588,26960,71243,2,1,3,5,19451,7504,15848,20583,1.60701038575668,1.03553412462908,3932.5,0.51776706231454,0.51776706231454,3.86170212765957,16.2031340684852,8.1015670342426,8.29881101376721,32.4062681369704,16.2031340684852,28.5903369357915,0.480285782462838,0.323779178305237,0.467582227351414,0.592044889966975,0.195935039231925,1,1.08209369611597,0.741354060735997,0.346643982974953,0.5,0.467582227351414,0.721745251748679,1,0.278338278931751,0.763464391691395,0.473474897598613,0.416661347304402,1.02802158170364,0.54206853124836,0.791341847528416,2.17122721165916,"QuBE",3600,"memout" "s820_d7_s-shuffled",1,7865,1723,9588,26960,71243,2,1,3,5,19451,7504,15848,20583,1.60701038575668,1.03553412462908,3932.5,0.51776706231454,0.51776706231454,3.86170212765957,16.2031340684852,8.1015670342426,8.29881101376721,32.4062681369704,16.2031340684852,28.5903369357915,0.480285782462838,0.323779178305237,0.467582227351414,0.592044889966975,0.195935039231925,1,1.08209369611597,0.741354060735997,0.346643982974953,0.5,0.467582227351414,0.721745251748679,1,0.278338278931751,0.763464391691395,0.473474897598613,0.416661347304402,1.02802158170364,0.54206853124836,0.791341847528416,2.17122721165916,"sKizzo",3600,"memout" "s820_d7_s-shuffled",1,7865,1723,9588,26960,71243,2,1,3,5,19451,7504,15848,20583,1.60701038575668,1.03553412462908,3932.5,0.51776706231454,0.51776706231454,3.86170212765957,16.2031340684852,8.1015670342426,8.29881101376721,32.4062681369704,16.2031340684852,28.5903369357915,0.480285782462838,0.323779178305237,0.467582227351414,0.592044889966975,0.195935039231925,1,1.08209369611597,0.741354060735997,0.346643982974953,0.5,0.467582227351414,0.721745251748679,1,0.278338278931751,0.763464391691395,0.473474897598613,0.416661347304402,1.02802158170364,0.54206853124836,0.791341847528416,2.17122721165916,"sSolve",3600,"timeout" "sortnetsort10.AE.stepl.005",1,4176,945,5121,8550,22662,1,1,2,225,6858,1467,7778,5191,1.92947368421053,0.721052631578947,4176,0.473684210526316,0.247368421052632,1.96817027924234,6.52380952380952,4.28571428571429,3.14547939855497,6.52380952380952,4.28571428571429,22.779214559387,0.555246668431736,0.266040067072633,0.634539613263018,0.831916077247079,0.0933280381254964,1.91489361702128,0.801001351029166,0.892690730190901,0.566447630698815,0.656934306569343,0.634539613263018,1.02017294817584,1.91489361702128,0.171578947368421,0.607134502923977,0.588651395592329,0.377605205479693,0.292685863129439,0.365460386736983,0.917968850346369,0.497214251628377,"X2clsQ",3600,"timeout" "sortnetsort10.AE.stepl.005",1,4176,945,5121,8550,22662,1,1,2,225,6858,1467,7778,5191,1.92947368421053,0.721052631578947,4176,0.473684210526316,0.247368421052632,1.96817027924234,6.52380952380952,4.28571428571429,3.14547939855497,6.52380952380952,4.28571428571429,22.779214559387,0.555246668431736,0.266040067072633,0.634539613263018,0.831916077247079,0.0933280381254964,1.91489361702128,0.801001351029166,0.892690730190901,0.566447630698815,0.656934306569343,0.634539613263018,1.02017294817584,1.91489361702128,0.171578947368421,0.607134502923977,0.588651395592329,0.377605205479693,0.292685863129439,0.365460386736983,0.917968850346369,0.497214251628377,"quantor",3600,"memout" "sortnetsort10.AE.stepl.005",1,4176,945,5121,8550,22662,1,1,2,225,6858,1467,7778,5191,1.92947368421053,0.721052631578947,4176,0.473684210526316,0.247368421052632,1.96817027924234,6.52380952380952,4.28571428571429,3.14547939855497,6.52380952380952,4.28571428571429,22.779214559387,0.555246668431736,0.266040067072633,0.634539613263018,0.831916077247079,0.0933280381254964,1.91489361702128,0.801001351029166,0.892690730190901,0.566447630698815,0.656934306569343,0.634539613263018,1.02017294817584,1.91489361702128,0.171578947368421,0.607134502923977,0.588651395592329,0.377605205479693,0.292685863129439,0.365460386736983,0.917968850346369,0.497214251628377,"QuBE",3600,"timeout" "sortnetsort10.AE.stepl.005",1,4176,945,5121,8550,22662,1,1,2,225,6858,1467,7778,5191,1.92947368421053,0.721052631578947,4176,0.473684210526316,0.247368421052632,1.96817027924234,6.52380952380952,4.28571428571429,3.14547939855497,6.52380952380952,4.28571428571429,22.779214559387,0.555246668431736,0.266040067072633,0.634539613263018,0.831916077247079,0.0933280381254964,1.91489361702128,0.801001351029166,0.892690730190901,0.566447630698815,0.656934306569343,0.634539613263018,1.02017294817584,1.91489361702128,0.171578947368421,0.607134502923977,0.588651395592329,0.377605205479693,0.292685863129439,0.365460386736983,0.917968850346369,0.497214251628377,"sKizzo",3600,"memout" "sortnetsort10.AE.stepl.005",1,4176,945,5121,8550,22662,1,1,2,225,6858,1467,7778,5191,1.92947368421053,0.721052631578947,4176,0.473684210526316,0.247368421052632,1.96817027924234,6.52380952380952,4.28571428571429,3.14547939855497,6.52380952380952,4.28571428571429,22.779214559387,0.555246668431736,0.266040067072633,0.634539613263018,0.831916077247079,0.0933280381254964,1.91489361702128,0.801001351029166,0.892690730190901,0.566447630698815,0.656934306569343,0.634539613263018,1.02017294817584,1.91489361702128,0.171578947368421,0.607134502923977,0.588651395592329,0.377605205479693,0.292685863129439,0.365460386736983,0.917968850346369,0.497214251628377,"sSolve",3600,"timeout" "sortnetsort10.AE.stepl.012",1,9993,2268,12261,20492,54323,1,1,2,540,16434,3518,18642,12443,1.92889908256881,0.722037868436463,9993,0.474331446418114,0.247706422018349,1.97072016964359,6.52380952380952,4.28571428571429,3.14868281543104,6.52380952380952,4.28571428571429,23.4870409286501,0.555197614270199,0.265872650626806,0.634604194601159,0.831697612732095,0.0934410838871196,1.91489361702128,0.801160477453581,0.892771078591234,0.566556271292598,0.656934306569343,0.634604194601159,1.0204587641057,1.91489361702128,0.171676751903182,0.607212570759321,0.588641449120938,0.377644474733805,0.292395159448969,0.365395805398841,0.918042116607979,0.496728797956081,"X2clsQ",3600,"timeout" "sortnetsort10.AE.stepl.012",1,9993,2268,12261,20492,54323,1,1,2,540,16434,3518,18642,12443,1.92889908256881,0.722037868436463,9993,0.474331446418114,0.247706422018349,1.97072016964359,6.52380952380952,4.28571428571429,3.14868281543104,6.52380952380952,4.28571428571429,23.4870409286501,0.555197614270199,0.265872650626806,0.634604194601159,0.831697612732095,0.0934410838871196,1.91489361702128,0.801160477453581,0.892771078591234,0.566556271292598,0.656934306569343,0.634604194601159,1.0204587641057,1.91489361702128,0.171676751903182,0.607212570759321,0.588641449120938,0.377644474733805,0.292395159448969,0.365395805398841,0.918042116607979,0.496728797956081,"quantor",3600,"memout" "sortnetsort10.AE.stepl.012",1,9993,2268,12261,20492,54323,1,1,2,540,16434,3518,18642,12443,1.92889908256881,0.722037868436463,9993,0.474331446418114,0.247706422018349,1.97072016964359,6.52380952380952,4.28571428571429,3.14868281543104,6.52380952380952,4.28571428571429,23.4870409286501,0.555197614270199,0.265872650626806,0.634604194601159,0.831697612732095,0.0934410838871196,1.91489361702128,0.801160477453581,0.892771078591234,0.566556271292598,0.656934306569343,0.634604194601159,1.0204587641057,1.91489361702128,0.171676751903182,0.607212570759321,0.588641449120938,0.377644474733805,0.292395159448969,0.365395805398841,0.918042116607979,0.496728797956081,"QuBE",3600,"timeout" "sortnetsort10.AE.stepl.012",1,9993,2268,12261,20492,54323,1,1,2,540,16434,3518,18642,12443,1.92889908256881,0.722037868436463,9993,0.474331446418114,0.247706422018349,1.97072016964359,6.52380952380952,4.28571428571429,3.14868281543104,6.52380952380952,4.28571428571429,23.4870409286501,0.555197614270199,0.265872650626806,0.634604194601159,0.831697612732095,0.0934410838871196,1.91489361702128,0.801160477453581,0.892771078591234,0.566556271292598,0.656934306569343,0.634604194601159,1.0204587641057,1.91489361702128,0.171676751903182,0.607212570759321,0.588641449120938,0.377644474733805,0.292395159448969,0.365395805398841,0.918042116607979,0.496728797956081,"sKizzo",3600,"memout" "sortnetsort10.AE.stepl.012",1,9993,2268,12261,20492,54323,1,1,2,540,16434,3518,18642,12443,1.92889908256881,0.722037868436463,9993,0.474331446418114,0.247706422018349,1.97072016964359,6.52380952380952,4.28571428571429,3.14868281543104,6.52380952380952,4.28571428571429,23.4870409286501,0.555197614270199,0.265872650626806,0.634604194601159,0.831697612732095,0.0934410838871196,1.91489361702128,0.801160477453581,0.892771078591234,0.566556271292598,0.656934306569343,0.634604194601159,1.0204587641057,1.91489361702128,0.171676751903182,0.607212570759321,0.588641449120938,0.377644474733805,0.292395159448969,0.365395805398841,0.918042116607979,0.496728797956081,"sSolve",3600,"timeout" "sortnetsort10.v.stepl.006",1,1744,11,1755,5874,14451,2,1,3,0,4683,1191,4954,2955,2.36380660537964,0.0963568266939053,872,0.0481784133469527,0.0481784133469527,3.07065527065527,51.4545454545455,25.7272727272727,8.43532763532763,102.909090909091,51.4545454545455,106.369266055046,0.627084630821396,0.353331949346066,0.632265034209579,0.968770690796734,0.0195834198325375,1,0.594681085853013,0.966893461231533,0.611332927342573,0.5,0.632265034209579,0.974881056392355,1,0.202757916241062,0.503064351378958,0.567980856217352,0.418122505590877,2.63526796255077,0.429696695337257,0.967833281483556,4.63971264824165,"X2clsQ",3600,"timeout" "sortnetsort10.v.stepl.006",1,1744,11,1755,5874,14451,2,1,3,0,4683,1191,4954,2955,2.36380660537964,0.0963568266939053,872,0.0481784133469527,0.0481784133469527,3.07065527065527,51.4545454545455,25.7272727272727,8.43532763532763,102.909090909091,51.4545454545455,106.369266055046,0.627084630821396,0.353331949346066,0.632265034209579,0.968770690796734,0.0195834198325375,1,0.594681085853013,0.966893461231533,0.611332927342573,0.5,0.632265034209579,0.974881056392355,1,0.202757916241062,0.503064351378958,0.567980856217352,0.418122505590877,2.63526796255077,0.429696695337257,0.967833281483556,4.63971264824165,"quantor",3600,"timeout" "sortnetsort10.v.stepl.006",1,1744,11,1755,5874,14451,2,1,3,0,4683,1191,4954,2955,2.36380660537964,0.0963568266939053,872,0.0481784133469527,0.0481784133469527,3.07065527065527,51.4545454545455,25.7272727272727,8.43532763532763,102.909090909091,51.4545454545455,106.369266055046,0.627084630821396,0.353331949346066,0.632265034209579,0.968770690796734,0.0195834198325375,1,0.594681085853013,0.966893461231533,0.611332927342573,0.5,0.632265034209579,0.974881056392355,1,0.202757916241062,0.503064351378958,0.567980856217352,0.418122505590877,2.63526796255077,0.429696695337257,0.967833281483556,4.63971264824165,"QuBE",3600,"timeout" "sortnetsort10.v.stepl.006",1,1744,11,1755,5874,14451,2,1,3,0,4683,1191,4954,2955,2.36380660537964,0.0963568266939053,872,0.0481784133469527,0.0481784133469527,3.07065527065527,51.4545454545455,25.7272727272727,8.43532763532763,102.909090909091,51.4545454545455,106.369266055046,0.627084630821396,0.353331949346066,0.632265034209579,0.968770690796734,0.0195834198325375,1,0.594681085853013,0.966893461231533,0.611332927342573,0.5,0.632265034209579,0.974881056392355,1,0.202757916241062,0.503064351378958,0.567980856217352,0.418122505590877,2.63526796255077,0.429696695337257,0.967833281483556,4.63971264824165,"sKizzo",3600,"memout" "sortnetsort10.v.stepl.006",1,1744,11,1755,5874,14451,2,1,3,0,4683,1191,4954,2955,2.36380660537964,0.0963568266939053,872,0.0481784133469527,0.0481784133469527,3.07065527065527,51.4545454545455,25.7272727272727,8.43532763532763,102.909090909091,51.4545454545455,106.369266055046,0.627084630821396,0.353331949346066,0.632265034209579,0.968770690796734,0.0195834198325375,1,0.594681085853013,0.966893461231533,0.611332927342573,0.5,0.632265034209579,0.974881056392355,1,0.202757916241062,0.503064351378958,0.567980856217352,0.418122505590877,2.63526796255077,0.429696695337257,0.967833281483556,4.63971264824165,"sSolve",3600,"timeout" "sortnetsort10.v.stepl.007",1,2034,11,2045,6850,16853,2,1,3,0,5461,1389,5777,3445,2.37766423357664,0.0826277372262774,1017,0.0413138686131387,0.0413138686131387,3.07286063569682,51.4545454545455,25.7272727272727,8.4640586797066,102.909090909091,51.4545454545455,108.89872173058,0.627128701121462,0.356079036373346,0.631546632283416,0.97322357838963,0.016792262505192,1,0.594569022613303,0.971641910695066,0.613637176484893,0.5,0.631546632283416,0.978486832746703,1,0.202773722627737,0.502919708029197,0.567847601927446,0.420281806547328,2.62713268555528,0.430179080701935,0.972531469041546,4.62647491446296,"X2clsQ",3600,"timeout" "sortnetsort10.v.stepl.007",1,2034,11,2045,6850,16853,2,1,3,0,5461,1389,5777,3445,2.37766423357664,0.0826277372262774,1017,0.0413138686131387,0.0413138686131387,3.07286063569682,51.4545454545455,25.7272727272727,8.4640586797066,102.909090909091,51.4545454545455,108.89872173058,0.627128701121462,0.356079036373346,0.631546632283416,0.97322357838963,0.016792262505192,1,0.594569022613303,0.971641910695066,0.613637176484893,0.5,0.631546632283416,0.978486832746703,1,0.202773722627737,0.502919708029197,0.567847601927446,0.420281806547328,2.62713268555528,0.430179080701935,0.972531469041546,4.62647491446296,"quantor",2253.1,"ok" "sortnetsort10.v.stepl.007",1,2034,11,2045,6850,16853,2,1,3,0,5461,1389,5777,3445,2.37766423357664,0.0826277372262774,1017,0.0413138686131387,0.0413138686131387,3.07286063569682,51.4545454545455,25.7272727272727,8.4640586797066,102.909090909091,51.4545454545455,108.89872173058,0.627128701121462,0.356079036373346,0.631546632283416,0.97322357838963,0.016792262505192,1,0.594569022613303,0.971641910695066,0.613637176484893,0.5,0.631546632283416,0.978486832746703,1,0.202773722627737,0.502919708029197,0.567847601927446,0.420281806547328,2.62713268555528,0.430179080701935,0.972531469041546,4.62647491446296,"QuBE",3600,"timeout" "sortnetsort10.v.stepl.007",1,2034,11,2045,6850,16853,2,1,3,0,5461,1389,5777,3445,2.37766423357664,0.0826277372262774,1017,0.0413138686131387,0.0413138686131387,3.07286063569682,51.4545454545455,25.7272727272727,8.4640586797066,102.909090909091,51.4545454545455,108.89872173058,0.627128701121462,0.356079036373346,0.631546632283416,0.97322357838963,0.016792262505192,1,0.594569022613303,0.971641910695066,0.613637176484893,0.5,0.631546632283416,0.978486832746703,1,0.202773722627737,0.502919708029197,0.567847601927446,0.420281806547328,2.62713268555528,0.430179080701935,0.972531469041546,4.62647491446296,"sKizzo",185.74,"ok" "sortnetsort10.v.stepl.007",1,2034,11,2045,6850,16853,2,1,3,0,5461,1389,5777,3445,2.37766423357664,0.0826277372262774,1017,0.0413138686131387,0.0413138686131387,3.07286063569682,51.4545454545455,25.7272727272727,8.4640586797066,102.909090909091,51.4545454545455,108.89872173058,0.627128701121462,0.356079036373346,0.631546632283416,0.97322357838963,0.016792262505192,1,0.594569022613303,0.971641910695066,0.613637176484893,0.5,0.631546632283416,0.978486832746703,1,0.202773722627737,0.502919708029197,0.567847601927446,0.420281806547328,2.62713268555528,0.430179080701935,0.972531469041546,4.62647491446296,"sSolve",3600,"timeout" "sortnetsort10.v.stepl.012-shuffled",1,3484,11,3495,11730,28863,2,1,3,0,9351,2379,9892,5895,2.41236146632566,0.0482523444160273,1742,0.0241261722080136,0.0241261722080136,3.07839771101574,51.4545454545455,25.7272727272727,8.53619456366238,102.909090909091,51.4545454545455,115.229621125144,0.6272390257423,0.362956033676333,0.629784076050465,0.98436809544852,0.00980494058136715,1,0.594288555015466,0.983485495217062,0.619383503914311,0.5,0.629784076050465,0.987476031455963,1,0.202813299232737,0.502557544757033,0.567516634533146,0.425618015706727,2.60692687131085,0.431356943891763,0.984125748391001,4.59356909151284,"X2clsQ",3600,"timeout" "sortnetsort10.v.stepl.012-shuffled",1,3484,11,3495,11730,28863,2,1,3,0,9351,2379,9892,5895,2.41236146632566,0.0482523444160273,1742,0.0241261722080136,0.0241261722080136,3.07839771101574,51.4545454545455,25.7272727272727,8.53619456366238,102.909090909091,51.4545454545455,115.229621125144,0.6272390257423,0.362956033676333,0.629784076050465,0.98436809544852,0.00980494058136715,1,0.594288555015466,0.983485495217062,0.619383503914311,0.5,0.629784076050465,0.987476031455963,1,0.202813299232737,0.502557544757033,0.567516634533146,0.425618015706727,2.60692687131085,0.431356943891763,0.984125748391001,4.59356909151284,"quantor",41.15,"ok" "sortnetsort10.v.stepl.012-shuffled",1,3484,11,3495,11730,28863,2,1,3,0,9351,2379,9892,5895,2.41236146632566,0.0482523444160273,1742,0.0241261722080136,0.0241261722080136,3.07839771101574,51.4545454545455,25.7272727272727,8.53619456366238,102.909090909091,51.4545454545455,115.229621125144,0.6272390257423,0.362956033676333,0.629784076050465,0.98436809544852,0.00980494058136715,1,0.594288555015466,0.983485495217062,0.619383503914311,0.5,0.629784076050465,0.987476031455963,1,0.202813299232737,0.502557544757033,0.567516634533146,0.425618015706727,2.60692687131085,0.431356943891763,0.984125748391001,4.59356909151284,"QuBE",3600,"timeout" "sortnetsort10.v.stepl.012-shuffled",1,3484,11,3495,11730,28863,2,1,3,0,9351,2379,9892,5895,2.41236146632566,0.0482523444160273,1742,0.0241261722080136,0.0241261722080136,3.07839771101574,51.4545454545455,25.7272727272727,8.53619456366238,102.909090909091,51.4545454545455,115.229621125144,0.6272390257423,0.362956033676333,0.629784076050465,0.98436809544852,0.00980494058136715,1,0.594288555015466,0.983485495217062,0.619383503914311,0.5,0.629784076050465,0.987476031455963,1,0.202813299232737,0.502557544757033,0.567516634533146,0.425618015706727,2.60692687131085,0.431356943891763,0.984125748391001,4.59356909151284,"sKizzo",1885.37,"ok" "sortnetsort10.v.stepl.012-shuffled",1,3484,11,3495,11730,28863,2,1,3,0,9351,2379,9892,5895,2.41236146632566,0.0482523444160273,1742,0.0241261722080136,0.0241261722080136,3.07839771101574,51.4545454545455,25.7272727272727,8.53619456366238,102.909090909091,51.4545454545455,115.229621125144,0.6272390257423,0.362956033676333,0.629784076050465,0.98436809544852,0.00980494058136715,1,0.594288555015466,0.983485495217062,0.619383503914311,0.5,0.629784076050465,0.987476031455963,1,0.202813299232737,0.502557544757033,0.567516634533146,0.425618015706727,2.60692687131085,0.431356943891763,0.984125748391001,4.59356909151284,"sSolve",3600,"timeout" "sortnetsort5.AE.stepl.003",1,494,102,596,1003,2686,1,1,2,30,752,221,884,579,2.0259222333001,0.652043868394816,494,0.418743768693918,0.233300099700897,1.96308724832215,6.41176470588235,4.11764705882353,3.22147651006711,6.41176470588235,4.11764705882353,14.8704453441296,0.564408041697692,0.279225614296351,0.630905511811024,0.845646437994723,0.0871183916604616,1.7948717948718,0.771767810026385,0.912718820936872,0.575839334862731,0.642201834862385,0.630905511811024,1.02025359725679,1.79487179487179,0.220338983050847,0.577268195413759,0.593047901653243,0.383577066382002,0.289803755330025,0.369094488188976,0.942560728744939,0.488668376571501,"X2clsQ",1.04,"ok" "sortnetsort5.AE.stepl.003",1,494,102,596,1003,2686,1,1,2,30,752,221,884,579,2.0259222333001,0.652043868394816,494,0.418743768693918,0.233300099700897,1.96308724832215,6.41176470588235,4.11764705882353,3.22147651006711,6.41176470588235,4.11764705882353,14.8704453441296,0.564408041697692,0.279225614296351,0.630905511811024,0.845646437994723,0.0871183916604616,1.7948717948718,0.771767810026385,0.912718820936872,0.575839334862731,0.642201834862385,0.630905511811024,1.02025359725679,1.79487179487179,0.220338983050847,0.577268195413759,0.593047901653243,0.383577066382002,0.289803755330025,0.369094488188976,0.942560728744939,0.488668376571501,"quantor",3600,"memout" "sortnetsort5.AE.stepl.003",1,494,102,596,1003,2686,1,1,2,30,752,221,884,579,2.0259222333001,0.652043868394816,494,0.418743768693918,0.233300099700897,1.96308724832215,6.41176470588235,4.11764705882353,3.22147651006711,6.41176470588235,4.11764705882353,14.8704453441296,0.564408041697692,0.279225614296351,0.630905511811024,0.845646437994723,0.0871183916604616,1.7948717948718,0.771767810026385,0.912718820936872,0.575839334862731,0.642201834862385,0.630905511811024,1.02025359725679,1.79487179487179,0.220338983050847,0.577268195413759,0.593047901653243,0.383577066382002,0.289803755330025,0.369094488188976,0.942560728744939,0.488668376571501,"QuBE",0.09,"ok" "sortnetsort5.AE.stepl.003",1,494,102,596,1003,2686,1,1,2,30,752,221,884,579,2.0259222333001,0.652043868394816,494,0.418743768693918,0.233300099700897,1.96308724832215,6.41176470588235,4.11764705882353,3.22147651006711,6.41176470588235,4.11764705882353,14.8704453441296,0.564408041697692,0.279225614296351,0.630905511811024,0.845646437994723,0.0871183916604616,1.7948717948718,0.771767810026385,0.912718820936872,0.575839334862731,0.642201834862385,0.630905511811024,1.02025359725679,1.79487179487179,0.220338983050847,0.577268195413759,0.593047901653243,0.383577066382002,0.289803755330025,0.369094488188976,0.942560728744939,0.488668376571501,"sKizzo",8.49,"ok" "sortnetsort5.AE.stepl.003",1,494,102,596,1003,2686,1,1,2,30,752,221,884,579,2.0259222333001,0.652043868394816,494,0.418743768693918,0.233300099700897,1.96308724832215,6.41176470588235,4.11764705882353,3.22147651006711,6.41176470588235,4.11764705882353,14.8704453441296,0.564408041697692,0.279225614296351,0.630905511811024,0.845646437994723,0.0871183916604616,1.7948717948718,0.771767810026385,0.912718820936872,0.575839334862731,0.642201834862385,0.630905511811024,1.02025359725679,1.79487179487179,0.220338983050847,0.577268195413759,0.593047901653243,0.383577066382002,0.289803755330025,0.369094488188976,0.942560728744939,0.488668376571501,"sSolve",9.3,"ok" "sortnetsort5.AE.stepl.005",1,816,170,986,1665,4462,1,1,2,50,1248,367,1468,961,2.02522522522523,0.654654654654655,816,0.42042042042042,0.234234234234234,1.97160243407708,6.41176470588235,4.11764705882353,3.23326572008114,6.41176470588235,4.11764705882353,15.4485294117647,0.564320932317346,0.278798744957418,0.631079478054567,0.845115170770453,0.0874047512326311,1.79487179487179,0.772041302621128,0.913155535634245,0.576273718810698,0.642201834862385,0.631079478054567,1.02118083134763,1.79487179487179,0.22042042042042,0.577177177177177,0.593055910135308,0.383754574078802,0.288741383712024,0.368920521945433,0.943015474696779,0.486870426173052,"X2clsQ",20.72,"ok" "sortnetsort5.AE.stepl.005",1,816,170,986,1665,4462,1,1,2,50,1248,367,1468,961,2.02522522522523,0.654654654654655,816,0.42042042042042,0.234234234234234,1.97160243407708,6.41176470588235,4.11764705882353,3.23326572008114,6.41176470588235,4.11764705882353,15.4485294117647,0.564320932317346,0.278798744957418,0.631079478054567,0.845115170770453,0.0874047512326311,1.79487179487179,0.772041302621128,0.913155535634245,0.576273718810698,0.642201834862385,0.631079478054567,1.02118083134763,1.79487179487179,0.22042042042042,0.577177177177177,0.593055910135308,0.383754574078802,0.288741383712024,0.368920521945433,0.943015474696779,0.486870426173052,"quantor",3600,"memout" "sortnetsort5.AE.stepl.005",1,816,170,986,1665,4462,1,1,2,50,1248,367,1468,961,2.02522522522523,0.654654654654655,816,0.42042042042042,0.234234234234234,1.97160243407708,6.41176470588235,4.11764705882353,3.23326572008114,6.41176470588235,4.11764705882353,15.4485294117647,0.564320932317346,0.278798744957418,0.631079478054567,0.845115170770453,0.0874047512326311,1.79487179487179,0.772041302621128,0.913155535634245,0.576273718810698,0.642201834862385,0.631079478054567,1.02118083134763,1.79487179487179,0.22042042042042,0.577177177177177,0.593055910135308,0.383754574078802,0.288741383712024,0.368920521945433,0.943015474696779,0.486870426173052,"QuBE",0.18,"ok" "sortnetsort5.AE.stepl.005",1,816,170,986,1665,4462,1,1,2,50,1248,367,1468,961,2.02522522522523,0.654654654654655,816,0.42042042042042,0.234234234234234,1.97160243407708,6.41176470588235,4.11764705882353,3.23326572008114,6.41176470588235,4.11764705882353,15.4485294117647,0.564320932317346,0.278798744957418,0.631079478054567,0.845115170770453,0.0874047512326311,1.79487179487179,0.772041302621128,0.913155535634245,0.576273718810698,0.642201834862385,0.631079478054567,1.02118083134763,1.79487179487179,0.22042042042042,0.577177177177177,0.593055910135308,0.383754574078802,0.288741383712024,0.368920521945433,0.943015474696779,0.486870426173052,"sKizzo",3600,"memout" "sortnetsort5.AE.stepl.005",1,816,170,986,1665,4462,1,1,2,50,1248,367,1468,961,2.02522522522523,0.654654654654655,816,0.42042042042042,0.234234234234234,1.97160243407708,6.41176470588235,4.11764705882353,3.23326572008114,6.41176470588235,4.11764705882353,15.4485294117647,0.564320932317346,0.278798744957418,0.631079478054567,0.845115170770453,0.0874047512326311,1.79487179487179,0.772041302621128,0.913155535634245,0.576273718810698,0.642201834862385,0.631079478054567,1.02118083134763,1.79487179487179,0.22042042042042,0.577177177177177,0.593055910135308,0.383754574078802,0.288741383712024,0.368920521945433,0.943015474696779,0.486870426173052,"sSolve",3600,"timeout" "sortnetsort5.AE.stepl.006",1,977,204,1181,1996,5350,1,1,2,60,1496,440,1760,1152,2.0250501002004,0.655310621242485,977,0.420841683366733,0.234468937875752,1.97375105842506,6.41176470588235,4.11764705882353,3.23624047417443,6.41176470588235,4.11764705882353,15.5946775844422,0.564299065420561,0.278691588785047,0.631123206333498,0.844981782047035,0.0874766355140187,1.79487179487179,0.772109970188804,0.913267201714193,0.576384124585083,0.642201834862385,0.631123206333498,1.02141605383577,1.79487179487179,0.220440881763527,0.577154308617234,0.593057921635434,0.383799928160293,0.28847454654775,0.368876793666502,0.943131586939161,0.486418840426655,"X2clsQ",25.98,"ok" "sortnetsort5.AE.stepl.006",1,977,204,1181,1996,5350,1,1,2,60,1496,440,1760,1152,2.0250501002004,0.655310621242485,977,0.420841683366733,0.234468937875752,1.97375105842506,6.41176470588235,4.11764705882353,3.23624047417443,6.41176470588235,4.11764705882353,15.5946775844422,0.564299065420561,0.278691588785047,0.631123206333498,0.844981782047035,0.0874766355140187,1.79487179487179,0.772109970188804,0.913267201714193,0.576384124585083,0.642201834862385,0.631123206333498,1.02141605383577,1.79487179487179,0.220440881763527,0.577154308617234,0.593057921635434,0.383799928160293,0.28847454654775,0.368876793666502,0.943131586939161,0.486418840426655,"quantor",3600,"memout" "sortnetsort5.AE.stepl.006",1,977,204,1181,1996,5350,1,1,2,60,1496,440,1760,1152,2.0250501002004,0.655310621242485,977,0.420841683366733,0.234468937875752,1.97375105842506,6.41176470588235,4.11764705882353,3.23624047417443,6.41176470588235,4.11764705882353,15.5946775844422,0.564299065420561,0.278691588785047,0.631123206333498,0.844981782047035,0.0874766355140187,1.79487179487179,0.772109970188804,0.913267201714193,0.576384124585083,0.642201834862385,0.631123206333498,1.02141605383577,1.79487179487179,0.220440881763527,0.577154308617234,0.593057921635434,0.383799928160293,0.28847454654775,0.368876793666502,0.943131586939161,0.486418840426655,"QuBE",0.2,"ok" "sortnetsort5.AE.stepl.006",1,977,204,1181,1996,5350,1,1,2,60,1496,440,1760,1152,2.0250501002004,0.655310621242485,977,0.420841683366733,0.234468937875752,1.97375105842506,6.41176470588235,4.11764705882353,3.23624047417443,6.41176470588235,4.11764705882353,15.5946775844422,0.564299065420561,0.278691588785047,0.631123206333498,0.844981782047035,0.0874766355140187,1.79487179487179,0.772109970188804,0.913267201714193,0.576384124585083,0.642201834862385,0.631123206333498,1.02141605383577,1.79487179487179,0.220440881763527,0.577154308617234,0.593057921635434,0.383799928160293,0.28847454654775,0.368876793666502,0.943131586939161,0.486418840426655,"sKizzo",3600,"memout" "sortnetsort5.AE.stepl.006",1,977,204,1181,1996,5350,1,1,2,60,1496,440,1760,1152,2.0250501002004,0.655310621242485,977,0.420841683366733,0.234468937875752,1.97375105842506,6.41176470588235,4.11764705882353,3.23624047417443,6.41176470588235,4.11764705882353,15.5946775844422,0.564299065420561,0.278691588785047,0.631123206333498,0.844981782047035,0.0874766355140187,1.79487179487179,0.772109970188804,0.913267201714193,0.576384124585083,0.642201834862385,0.631123206333498,1.02141605383577,1.79487179487179,0.220440881763527,0.577154308617234,0.593057921635434,0.383799928160293,0.28847454654775,0.368876793666502,0.943131586939161,0.486418840426655,"sSolve",3600,"timeout" "sortnetsort5.AE.stepl.007",1,1138,238,1376,2327,6238,1,1,2,70,1744,513,2052,1343,2.02492479587452,0.655779974215728,1138,0.421143102707349,0.23463687150838,1.97529069767442,6.41176470588235,4.11764705882353,3.23837209302326,6.41176470588235,4.11764705882353,15.6994727592267,0.564283424174415,0.278614940686117,0.631154499151104,0.844886363636364,0.0875280538634178,1.79487179487179,0.772159090909091,0.913347581662592,0.576463435455125,0.642201834862385,0.631154499151104,1.02158491771849,1.79487179487179,0.2204555221315,0.57713794585303,0.593059360730594,0.383832566948343,0.288283642224013,0.368845500848896,0.943215127487513,0.486095762604395,"X2clsQ",27.53,"ok" "sortnetsort5.AE.stepl.007",1,1138,238,1376,2327,6238,1,1,2,70,1744,513,2052,1343,2.02492479587452,0.655779974215728,1138,0.421143102707349,0.23463687150838,1.97529069767442,6.41176470588235,4.11764705882353,3.23837209302326,6.41176470588235,4.11764705882353,15.6994727592267,0.564283424174415,0.278614940686117,0.631154499151104,0.844886363636364,0.0875280538634178,1.79487179487179,0.772159090909091,0.913347581662592,0.576463435455125,0.642201834862385,0.631154499151104,1.02158491771849,1.79487179487179,0.2204555221315,0.57713794585303,0.593059360730594,0.383832566948343,0.288283642224013,0.368845500848896,0.943215127487513,0.486095762604395,"quantor",3600,"memout" "sortnetsort5.AE.stepl.007",1,1138,238,1376,2327,6238,1,1,2,70,1744,513,2052,1343,2.02492479587452,0.655779974215728,1138,0.421143102707349,0.23463687150838,1.97529069767442,6.41176470588235,4.11764705882353,3.23837209302326,6.41176470588235,4.11764705882353,15.6994727592267,0.564283424174415,0.278614940686117,0.631154499151104,0.844886363636364,0.0875280538634178,1.79487179487179,0.772159090909091,0.913347581662592,0.576463435455125,0.642201834862385,0.631154499151104,1.02158491771849,1.79487179487179,0.2204555221315,0.57713794585303,0.593059360730594,0.383832566948343,0.288283642224013,0.368845500848896,0.943215127487513,0.486095762604395,"QuBE",0.36,"ok" "sortnetsort5.AE.stepl.007",1,1138,238,1376,2327,6238,1,1,2,70,1744,513,2052,1343,2.02492479587452,0.655779974215728,1138,0.421143102707349,0.23463687150838,1.97529069767442,6.41176470588235,4.11764705882353,3.23837209302326,6.41176470588235,4.11764705882353,15.6994727592267,0.564283424174415,0.278614940686117,0.631154499151104,0.844886363636364,0.0875280538634178,1.79487179487179,0.772159090909091,0.913347581662592,0.576463435455125,0.642201834862385,0.631154499151104,1.02158491771849,1.79487179487179,0.2204555221315,0.57713794585303,0.593059360730594,0.383832566948343,0.288283642224013,0.368845500848896,0.943215127487513,0.486095762604395,"sKizzo",3600,"memout" "sortnetsort5.AE.stepl.007",1,1138,238,1376,2327,6238,1,1,2,70,1744,513,2052,1343,2.02492479587452,0.655779974215728,1138,0.421143102707349,0.23463687150838,1.97529069767442,6.41176470588235,4.11764705882353,3.23837209302326,6.41176470588235,4.11764705882353,15.6994727592267,0.564283424174415,0.278614940686117,0.631154499151104,0.844886363636364,0.0875280538634178,1.79487179487179,0.772159090909091,0.913347581662592,0.576463435455125,0.642201834862385,0.631154499151104,1.02158491771849,1.79487179487179,0.2204555221315,0.57713794585303,0.593059360730594,0.383832566948343,0.288283642224013,0.368845500848896,0.943215127487513,0.486095762604395,"sSolve",3600,"timeout" "sortnetsort5.v.stepl.007-shuffled",1,424,6,430,1385,3473,2,1,3,0,1046,339,1117,710,2.40938628158845,0.0981949458483754,212,0.0490974729241877,0.0490974729241877,3.12558139534884,22.6666666666667,11.3333333333333,8.56744186046512,45.3333333333333,22.6666666666667,64.4339622641509,0.613014684710625,0.367405701122948,0.617620617320947,0.968060122123062,0.0195796141664267,1,0.631282292155942,0.974437575039795,0.601832736636805,0.5,0.617620617320947,0.98175908611537,1,0.244765342960289,0.512635379061372,0.549798362458756,0.439717407695199,1.19108721332845,0.448489445076476,0.976712146354455,2.16640734978143,"X2clsQ",0.17,"ok" "sortnetsort5.v.stepl.007-shuffled",1,424,6,430,1385,3473,2,1,3,0,1046,339,1117,710,2.40938628158845,0.0981949458483754,212,0.0490974729241877,0.0490974729241877,3.12558139534884,22.6666666666667,11.3333333333333,8.56744186046512,45.3333333333333,22.6666666666667,64.4339622641509,0.613014684710625,0.367405701122948,0.617620617320947,0.968060122123062,0.0195796141664267,1,0.631282292155942,0.974437575039795,0.601832736636805,0.5,0.617620617320947,0.98175908611537,1,0.244765342960289,0.512635379061372,0.549798362458756,0.439717407695199,1.19108721332845,0.448489445076476,0.976712146354455,2.16640734978143,"quantor",0.03,"ok" "sortnetsort5.v.stepl.007-shuffled",1,424,6,430,1385,3473,2,1,3,0,1046,339,1117,710,2.40938628158845,0.0981949458483754,212,0.0490974729241877,0.0490974729241877,3.12558139534884,22.6666666666667,11.3333333333333,8.56744186046512,45.3333333333333,22.6666666666667,64.4339622641509,0.613014684710625,0.367405701122948,0.617620617320947,0.968060122123062,0.0195796141664267,1,0.631282292155942,0.974437575039795,0.601832736636805,0.5,0.617620617320947,0.98175908611537,1,0.244765342960289,0.512635379061372,0.549798362458756,0.439717407695199,1.19108721332845,0.448489445076476,0.976712146354455,2.16640734978143,"QuBE",9.04,"ok" "sortnetsort5.v.stepl.007-shuffled",1,424,6,430,1385,3473,2,1,3,0,1046,339,1117,710,2.40938628158845,0.0981949458483754,212,0.0490974729241877,0.0490974729241877,3.12558139534884,22.6666666666667,11.3333333333333,8.56744186046512,45.3333333333333,22.6666666666667,64.4339622641509,0.613014684710625,0.367405701122948,0.617620617320947,0.968060122123062,0.0195796141664267,1,0.631282292155942,0.974437575039795,0.601832736636805,0.5,0.617620617320947,0.98175908611537,1,0.244765342960289,0.512635379061372,0.549798362458756,0.439717407695199,1.19108721332845,0.448489445076476,0.976712146354455,2.16640734978143,"sKizzo",0.03,"ok" "sortnetsort5.v.stepl.007-shuffled",1,424,6,430,1385,3473,2,1,3,0,1046,339,1117,710,2.40938628158845,0.0981949458483754,212,0.0490974729241877,0.0490974729241877,3.12558139534884,22.6666666666667,11.3333333333333,8.56744186046512,45.3333333333333,22.6666666666667,64.4339622641509,0.613014684710625,0.367405701122948,0.617620617320947,0.968060122123062,0.0195796141664267,1,0.631282292155942,0.974437575039795,0.601832736636805,0.5,0.617620617320947,0.98175908611537,1,0.244765342960289,0.512635379061372,0.549798362458756,0.439717407695199,1.19108721332845,0.448489445076476,0.976712146354455,2.16640734978143,"sSolve",1.4,"ok" "sortnetsort6.AE.stepl.003",1,772,165,937,1572,4194,1,1,2,45,1210,317,1402,925,1.99045801526718,0.677480916030534,772,0.438931297709924,0.238549618320611,1.96478121664888,6.45454545454545,4.18181818181818,3.1931696905016,6.45454545454545,4.18181818181818,16.2305699481865,0.561039580352885,0.274439675727229,0.632150846915948,0.840628984275393,0.0894134477825465,1.84,0.782405439864003,0.905522878723862,0.572427054687056,0.647887323943662,0.632150846915948,1.02029709619954,1.84,0.201653944020356,0.588422391857506,0.591424279666803,0.381538682509999,0.290802328901469,0.367849153084052,0.933826127012275,0.49169832707122,"X2clsQ",20.07,"ok" "sortnetsort6.AE.stepl.003",1,772,165,937,1572,4194,1,1,2,45,1210,317,1402,925,1.99045801526718,0.677480916030534,772,0.438931297709924,0.238549618320611,1.96478121664888,6.45454545454545,4.18181818181818,3.1931696905016,6.45454545454545,4.18181818181818,16.2305699481865,0.561039580352885,0.274439675727229,0.632150846915948,0.840628984275393,0.0894134477825465,1.84,0.782405439864003,0.905522878723862,0.572427054687056,0.647887323943662,0.632150846915948,1.02029709619954,1.84,0.201653944020356,0.588422391857506,0.591424279666803,0.381538682509999,0.290802328901469,0.367849153084052,0.933826127012275,0.49169832707122,"quantor",3600,"memout" "sortnetsort6.AE.stepl.003",1,772,165,937,1572,4194,1,1,2,45,1210,317,1402,925,1.99045801526718,0.677480916030534,772,0.438931297709924,0.238549618320611,1.96478121664888,6.45454545454545,4.18181818181818,3.1931696905016,6.45454545454545,4.18181818181818,16.2305699481865,0.561039580352885,0.274439675727229,0.632150846915948,0.840628984275393,0.0894134477825465,1.84,0.782405439864003,0.905522878723862,0.572427054687056,0.647887323943662,0.632150846915948,1.02029709619954,1.84,0.201653944020356,0.588422391857506,0.591424279666803,0.381538682509999,0.290802328901469,0.367849153084052,0.933826127012275,0.49169832707122,"QuBE",0.4,"ok" "sortnetsort6.AE.stepl.003",1,772,165,937,1572,4194,1,1,2,45,1210,317,1402,925,1.99045801526718,0.677480916030534,772,0.438931297709924,0.238549618320611,1.96478121664888,6.45454545454545,4.18181818181818,3.1931696905016,6.45454545454545,4.18181818181818,16.2305699481865,0.561039580352885,0.274439675727229,0.632150846915948,0.840628984275393,0.0894134477825465,1.84,0.782405439864003,0.905522878723862,0.572427054687056,0.647887323943662,0.632150846915948,1.02029709619954,1.84,0.201653944020356,0.588422391857506,0.591424279666803,0.381538682509999,0.290802328901469,0.367849153084052,0.933826127012275,0.49169832707122,"sKizzo",3600,"memout" "sortnetsort6.AE.stepl.003",1,772,165,937,1572,4194,1,1,2,45,1210,317,1402,925,1.99045801526718,0.677480916030534,772,0.438931297709924,0.238549618320611,1.96478121664888,6.45454545454545,4.18181818181818,3.1931696905016,6.45454545454545,4.18181818181818,16.2305699481865,0.561039580352885,0.274439675727229,0.632150846915948,0.840628984275393,0.0894134477825465,1.84,0.782405439864003,0.905522878723862,0.572427054687056,0.647887323943662,0.632150846915948,1.02029709619954,1.84,0.201653944020356,0.588422391857506,0.591424279666803,0.381538682509999,0.290802328901469,0.367849153084052,0.933826127012275,0.49169832707122,"sSolve",2171.68,"ok" "sortnetsort6.AE.stepl.006",1,1531,330,1861,3132,8361,1,1,2,90,2410,632,2794,1843,1.98946360153257,0.68007662835249,1531,0.440613026819923,0.239463601532567,1.97259537882859,6.45454545454545,4.18181818181818,3.20365394948952,6.45454545454545,4.18181818181818,16.9941214892227,0.56093768687956,0.274010285850975,0.632322259669395,0.840085287846482,0.0897021887334051,1.84,0.78272921108742,0.905880081005002,0.572808139810577,0.647887323943662,0.632322259669395,1.02116180318896,1.84,0.201787994891443,0.588441890166028,0.591419956140351,0.381690583926341,0.289853718102073,0.367677740330605,0.934188024262524,0.490097966922996,"X2clsQ",2346.53,"ok" "sortnetsort6.AE.stepl.006",1,1531,330,1861,3132,8361,1,1,2,90,2410,632,2794,1843,1.98946360153257,0.68007662835249,1531,0.440613026819923,0.239463601532567,1.97259537882859,6.45454545454545,4.18181818181818,3.20365394948952,6.45454545454545,4.18181818181818,16.9941214892227,0.56093768687956,0.274010285850975,0.632322259669395,0.840085287846482,0.0897021887334051,1.84,0.78272921108742,0.905880081005002,0.572808139810577,0.647887323943662,0.632322259669395,1.02116180318896,1.84,0.201787994891443,0.588441890166028,0.591419956140351,0.381690583926341,0.289853718102073,0.367677740330605,0.934188024262524,0.490097966922996,"quantor",3600,"memout" "sortnetsort6.AE.stepl.006",1,1531,330,1861,3132,8361,1,1,2,90,2410,632,2794,1843,1.98946360153257,0.68007662835249,1531,0.440613026819923,0.239463601532567,1.97259537882859,6.45454545454545,4.18181818181818,3.20365394948952,6.45454545454545,4.18181818181818,16.9941214892227,0.56093768687956,0.274010285850975,0.632322259669395,0.840085287846482,0.0897021887334051,1.84,0.78272921108742,0.905880081005002,0.572808139810577,0.647887323943662,0.632322259669395,1.02116180318896,1.84,0.201787994891443,0.588441890166028,0.591419956140351,0.381690583926341,0.289853718102073,0.367677740330605,0.934188024262524,0.490097966922996,"QuBE",2.28,"ok" "sortnetsort6.AE.stepl.006",1,1531,330,1861,3132,8361,1,1,2,90,2410,632,2794,1843,1.98946360153257,0.68007662835249,1531,0.440613026819923,0.239463601532567,1.97259537882859,6.45454545454545,4.18181818181818,3.20365394948952,6.45454545454545,4.18181818181818,16.9941214892227,0.56093768687956,0.274010285850975,0.632322259669395,0.840085287846482,0.0897021887334051,1.84,0.78272921108742,0.905880081005002,0.572808139810577,0.647887323943662,0.632322259669395,1.02116180318896,1.84,0.201787994891443,0.588441890166028,0.591419956140351,0.381690583926341,0.289853718102073,0.367677740330605,0.934188024262524,0.490097966922996,"sKizzo",3600,"memout" "sortnetsort6.AE.stepl.006",1,1531,330,1861,3132,8361,1,1,2,90,2410,632,2794,1843,1.98946360153257,0.68007662835249,1531,0.440613026819923,0.239463601532567,1.97259537882859,6.45454545454545,4.18181818181818,3.20365394948952,6.45454545454545,4.18181818181818,16.9941214892227,0.56093768687956,0.274010285850975,0.632322259669395,0.840085287846482,0.0897021887334051,1.84,0.78272921108742,0.905880081005002,0.572808139810577,0.647887323943662,0.632322259669395,1.02116180318896,1.84,0.201787994891443,0.588441890166028,0.591419956140351,0.381690583926341,0.289853718102073,0.367677740330605,0.934188024262524,0.490097966922996,"sSolve",3600,"timeout" "sortnetsort6.v.stepl.003-shuffled",1,280,7,287,926,2305,2,1,3,0,713,213,759,473,2.27537796976242,0.213822894168467,140,0.106911447084233,0.106911447084233,3.07317073170732,28.2857142857143,14.1428571428571,8.24738675958188,56.5714285714286,28.2857142857143,60.6857142857143,0.617353579175705,0.339696312364425,0.628381585192216,0.930428671820098,0.0429501084598699,1,0.619817287420942,0.936952277657267,0.588763557483731,0.5,0.628381585192216,0.953689388615601,1,0.230021598272138,0.510799136069114,0.556492411467116,0.416568296795953,1.52107925801012,0.438979963570127,0.93925855513308,2.73333333333333,"X2clsQ",5.94,"ok" "sortnetsort6.v.stepl.003-shuffled",1,280,7,287,926,2305,2,1,3,0,713,213,759,473,2.27537796976242,0.213822894168467,140,0.106911447084233,0.106911447084233,3.07317073170732,28.2857142857143,14.1428571428571,8.24738675958188,56.5714285714286,28.2857142857143,60.6857142857143,0.617353579175705,0.339696312364425,0.628381585192216,0.930428671820098,0.0429501084598699,1,0.619817287420942,0.936952277657267,0.588763557483731,0.5,0.628381585192216,0.953689388615601,1,0.230021598272138,0.510799136069114,0.556492411467116,0.416568296795953,1.52107925801012,0.438979963570127,0.93925855513308,2.73333333333333,"quantor",0.05,"ok" "sortnetsort6.v.stepl.003-shuffled",1,280,7,287,926,2305,2,1,3,0,713,213,759,473,2.27537796976242,0.213822894168467,140,0.106911447084233,0.106911447084233,3.07317073170732,28.2857142857143,14.1428571428571,8.24738675958188,56.5714285714286,28.2857142857143,60.6857142857143,0.617353579175705,0.339696312364425,0.628381585192216,0.930428671820098,0.0429501084598699,1,0.619817287420942,0.936952277657267,0.588763557483731,0.5,0.628381585192216,0.953689388615601,1,0.230021598272138,0.510799136069114,0.556492411467116,0.416568296795953,1.52107925801012,0.438979963570127,0.93925855513308,2.73333333333333,"QuBE",1.62,"ok" "sortnetsort6.v.stepl.003-shuffled",1,280,7,287,926,2305,2,1,3,0,713,213,759,473,2.27537796976242,0.213822894168467,140,0.106911447084233,0.106911447084233,3.07317073170732,28.2857142857143,14.1428571428571,8.24738675958188,56.5714285714286,28.2857142857143,60.6857142857143,0.617353579175705,0.339696312364425,0.628381585192216,0.930428671820098,0.0429501084598699,1,0.619817287420942,0.936952277657267,0.588763557483731,0.5,0.628381585192216,0.953689388615601,1,0.230021598272138,0.510799136069114,0.556492411467116,0.416568296795953,1.52107925801012,0.438979963570127,0.93925855513308,2.73333333333333,"sKizzo",0.03,"ok" "sortnetsort6.v.stepl.003-shuffled",1,280,7,287,926,2305,2,1,3,0,713,213,759,473,2.27537796976242,0.213822894168467,140,0.106911447084233,0.106911447084233,3.07317073170732,28.2857142857143,14.1428571428571,8.24738675958188,56.5714285714286,28.2857142857143,60.6857142857143,0.617353579175705,0.339696312364425,0.628381585192216,0.930428671820098,0.0429501084598699,1,0.619817287420942,0.936952277657267,0.588763557483731,0.5,0.628381585192216,0.953689388615601,1,0.230021598272138,0.510799136069114,0.556492411467116,0.416568296795953,1.52107925801012,0.438979963570127,0.93925855513308,2.73333333333333,"sSolve",1.24,"ok" "sortnetsort7.AE.stepl.003",1,1113,243,1356,2270,6041,1,1,2,63,1776,431,2040,1352,1.96740088105727,0.693832599118943,1113,0.451982378854626,0.241850220264317,1.96533923303835,6.48148148148148,4.22222222222222,3.1740412979351,6.48148148148148,4.22222222222222,17.6352201257862,0.55884787286873,0.271312696573415,0.633004926108374,0.837381516587678,0.0908789935441152,1.86885245901639,0.789395734597156,0.900688065514581,0.570139982357752,0.651428571428571,0.633004926108374,1.02020605255426,1.86885245901639,0.189867841409692,0.595594713656388,0.590368325877986,0.380097262843895,0.291572813891268,0.366995073891626,0.92790007915911,0.493882888208215,"X2clsQ",409.76,"ok" "sortnetsort7.AE.stepl.003",1,1113,243,1356,2270,6041,1,1,2,63,1776,431,2040,1352,1.96740088105727,0.693832599118943,1113,0.451982378854626,0.241850220264317,1.96533923303835,6.48148148148148,4.22222222222222,3.1740412979351,6.48148148148148,4.22222222222222,17.6352201257862,0.55884787286873,0.271312696573415,0.633004926108374,0.837381516587678,0.0908789935441152,1.86885245901639,0.789395734597156,0.900688065514581,0.570139982357752,0.651428571428571,0.633004926108374,1.02020605255426,1.86885245901639,0.189867841409692,0.595594713656388,0.590368325877986,0.380097262843895,0.291572813891268,0.366995073891626,0.92790007915911,0.493882888208215,"quantor",3600,"memout" "sortnetsort7.AE.stepl.003",1,1113,243,1356,2270,6041,1,1,2,63,1776,431,2040,1352,1.96740088105727,0.693832599118943,1113,0.451982378854626,0.241850220264317,1.96533923303835,6.48148148148148,4.22222222222222,3.1740412979351,6.48148148148148,4.22222222222222,17.6352201257862,0.55884787286873,0.271312696573415,0.633004926108374,0.837381516587678,0.0908789935441152,1.86885245901639,0.789395734597156,0.900688065514581,0.570139982357752,0.651428571428571,0.633004926108374,1.02020605255426,1.86885245901639,0.189867841409692,0.595594713656388,0.590368325877986,0.380097262843895,0.291572813891268,0.366995073891626,0.92790007915911,0.493882888208215,"QuBE",0.51,"ok" "sortnetsort7.AE.stepl.003",1,1113,243,1356,2270,6041,1,1,2,63,1776,431,2040,1352,1.96740088105727,0.693832599118943,1113,0.451982378854626,0.241850220264317,1.96533923303835,6.48148148148148,4.22222222222222,3.1740412979351,6.48148148148148,4.22222222222222,17.6352201257862,0.55884787286873,0.271312696573415,0.633004926108374,0.837381516587678,0.0908789935441152,1.86885245901639,0.789395734597156,0.900688065514581,0.570139982357752,0.651428571428571,0.633004926108374,1.02020605255426,1.86885245901639,0.189867841409692,0.595594713656388,0.590368325877986,0.380097262843895,0.291572813891268,0.366995073891626,0.92790007915911,0.493882888208215,"sKizzo",3600,"memout" "sortnetsort7.AE.stepl.003",1,1113,243,1356,2270,6041,1,1,2,63,1776,431,2040,1352,1.96740088105727,0.693832599118943,1113,0.451982378854626,0.241850220264317,1.96533923303835,6.48148148148148,4.22222222222222,3.1740412979351,6.48148148148148,4.22222222222222,17.6352201257862,0.55884787286873,0.271312696573415,0.633004926108374,0.837381516587678,0.0908789935441152,1.86885245901639,0.789395734597156,0.900688065514581,0.570139982357752,0.651428571428571,0.633004926108374,1.02020605255426,1.86885245901639,0.189867841409692,0.595594713656388,0.590368325877986,0.380097262843895,0.291572813891268,0.366995073891626,0.92790007915911,0.493882888208215,"sSolve",3600,"timeout" "sortnetsort7.AE.stepl.005",1,1845,405,2250,3774,10047,1,1,2,105,2952,717,3392,2248,1.96661367249603,0.695548489666137,1845,0.453100158982512,0.242448330683625,1.97022222222222,6.48148148148148,4.22222222222222,3.18044444444444,6.48148148148148,4.22222222222222,18.2872628726287,0.558773763312432,0.271026176968249,0.633117758016707,0.83701460634129,0.0910719617796357,1.86885245901639,0.789633060206626,0.900887778659811,0.570368050649751,0.651428571428571,0.633117758016707,1.0207495199284,1.86885245901639,0.189984101748808,0.595654478007419,0.590360066403343,0.380185666874701,0.290991661419276,0.366882241983293,0.928097179238981,0.492905394485924,"X2clsQ",3600,"timeout" "sortnetsort7.AE.stepl.005",1,1845,405,2250,3774,10047,1,1,2,105,2952,717,3392,2248,1.96661367249603,0.695548489666137,1845,0.453100158982512,0.242448330683625,1.97022222222222,6.48148148148148,4.22222222222222,3.18044444444444,6.48148148148148,4.22222222222222,18.2872628726287,0.558773763312432,0.271026176968249,0.633117758016707,0.83701460634129,0.0910719617796357,1.86885245901639,0.789633060206626,0.900887778659811,0.570368050649751,0.651428571428571,0.633117758016707,1.0207495199284,1.86885245901639,0.189984101748808,0.595654478007419,0.590360066403343,0.380185666874701,0.290991661419276,0.366882241983293,0.928097179238981,0.492905394485924,"quantor",3600,"memout" "sortnetsort7.AE.stepl.005",1,1845,405,2250,3774,10047,1,1,2,105,2952,717,3392,2248,1.96661367249603,0.695548489666137,1845,0.453100158982512,0.242448330683625,1.97022222222222,6.48148148148148,4.22222222222222,3.18044444444444,6.48148148148148,4.22222222222222,18.2872628726287,0.558773763312432,0.271026176968249,0.633117758016707,0.83701460634129,0.0910719617796357,1.86885245901639,0.789633060206626,0.900887778659811,0.570368050649751,0.651428571428571,0.633117758016707,1.0207495199284,1.86885245901639,0.189984101748808,0.595654478007419,0.590360066403343,0.380185666874701,0.290991661419276,0.366882241983293,0.928097179238981,0.492905394485924,"QuBE",2310.89,"ok" "sortnetsort7.AE.stepl.005",1,1845,405,2250,3774,10047,1,1,2,105,2952,717,3392,2248,1.96661367249603,0.695548489666137,1845,0.453100158982512,0.242448330683625,1.97022222222222,6.48148148148148,4.22222222222222,3.18044444444444,6.48148148148148,4.22222222222222,18.2872628726287,0.558773763312432,0.271026176968249,0.633117758016707,0.83701460634129,0.0910719617796357,1.86885245901639,0.789633060206626,0.900887778659811,0.570368050649751,0.651428571428571,0.633117758016707,1.0207495199284,1.86885245901639,0.189984101748808,0.595654478007419,0.590360066403343,0.380185666874701,0.290991661419276,0.366882241983293,0.928097179238981,0.492905394485924,"sKizzo",3600,"memout" "sortnetsort7.AE.stepl.005",1,1845,405,2250,3774,10047,1,1,2,105,2952,717,3392,2248,1.96661367249603,0.695548489666137,1845,0.453100158982512,0.242448330683625,1.97022222222222,6.48148148148148,4.22222222222222,3.18044444444444,6.48148148148148,4.22222222222222,18.2872628726287,0.558773763312432,0.271026176968249,0.633117758016707,0.83701460634129,0.0910719617796357,1.86885245901639,0.789633060206626,0.900887778659811,0.570368050649751,0.651428571428571,0.633117758016707,1.0207495199284,1.86885245901639,0.189984101748808,0.595654478007419,0.590360066403343,0.380185666874701,0.290991661419276,0.366882241983293,0.928097179238981,0.492905394485924,"sSolve",3600,"timeout" "sortnetsort7.AE.stepl.006",1,2211,486,2697,4526,12050,1,1,2,126,3540,860,4068,2696,1.96641626159965,0.695978789217852,2211,0.453380468404772,0.24259832081308,1.97144975899147,6.48148148148148,4.22222222222222,3.18205413422321,6.48148148148148,4.22222222222222,18.4513794663048,0.558755186721992,0.270954356846473,0.63314606741573,0.83692261993168,0.0911203319502075,1.86885245901639,0.789692559037576,0.900938536288756,0.57042569123451,0.651428571428571,0.63314606741573,1.02088661508627,1.86885245901639,0.190013256738842,0.595669465311533,0.59035799522673,0.380208093833285,0.290845929461681,0.36685393258427,0.928147234421735,0.492660270231422,"X2clsQ",3600,"timeout" "sortnetsort7.AE.stepl.006",1,2211,486,2697,4526,12050,1,1,2,126,3540,860,4068,2696,1.96641626159965,0.695978789217852,2211,0.453380468404772,0.24259832081308,1.97144975899147,6.48148148148148,4.22222222222222,3.18205413422321,6.48148148148148,4.22222222222222,18.4513794663048,0.558755186721992,0.270954356846473,0.63314606741573,0.83692261993168,0.0911203319502075,1.86885245901639,0.789692559037576,0.900938536288756,0.57042569123451,0.651428571428571,0.63314606741573,1.02088661508627,1.86885245901639,0.190013256738842,0.595669465311533,0.59035799522673,0.380208093833285,0.290845929461681,0.36685393258427,0.928147234421735,0.492660270231422,"quantor",3600,"memout" "sortnetsort7.AE.stepl.006",1,2211,486,2697,4526,12050,1,1,2,126,3540,860,4068,2696,1.96641626159965,0.695978789217852,2211,0.453380468404772,0.24259832081308,1.97144975899147,6.48148148148148,4.22222222222222,3.18205413422321,6.48148148148148,4.22222222222222,18.4513794663048,0.558755186721992,0.270954356846473,0.63314606741573,0.83692261993168,0.0911203319502075,1.86885245901639,0.789692559037576,0.900938536288756,0.57042569123451,0.651428571428571,0.63314606741573,1.02088661508627,1.86885245901639,0.190013256738842,0.595669465311533,0.59035799522673,0.380208093833285,0.290845929461681,0.36685393258427,0.928147234421735,0.492660270231422,"QuBE",140.78,"ok" "sortnetsort7.AE.stepl.006",1,2211,486,2697,4526,12050,1,1,2,126,3540,860,4068,2696,1.96641626159965,0.695978789217852,2211,0.453380468404772,0.24259832081308,1.97144975899147,6.48148148148148,4.22222222222222,3.18205413422321,6.48148148148148,4.22222222222222,18.4513794663048,0.558755186721992,0.270954356846473,0.63314606741573,0.83692261993168,0.0911203319502075,1.86885245901639,0.789692559037576,0.900938536288756,0.57042569123451,0.651428571428571,0.63314606741573,1.02088661508627,1.86885245901639,0.190013256738842,0.595669465311533,0.59035799522673,0.380208093833285,0.290845929461681,0.36685393258427,0.928147234421735,0.492660270231422,"sKizzo",3600,"memout" "sortnetsort7.AE.stepl.006",1,2211,486,2697,4526,12050,1,1,2,126,3540,860,4068,2696,1.96641626159965,0.695978789217852,2211,0.453380468404772,0.24259832081308,1.97144975899147,6.48148148148148,4.22222222222222,3.18205413422321,6.48148148148148,4.22222222222222,18.4513794663048,0.558755186721992,0.270954356846473,0.63314606741573,0.83692261993168,0.0911203319502075,1.86885245901639,0.789692559037576,0.900938536288756,0.57042569123451,0.651428571428571,0.63314606741573,1.02088661508627,1.86885245901639,0.190013256738842,0.595669465311533,0.59035799522673,0.380208093833285,0.290845929461681,0.36685393258427,0.928147234421735,0.492660270231422,"sSolve",3600,"timeout" "sortnetsort7.AE.stepl.009",1,3309,729,4038,6782,18059,1,1,2,189,5304,1289,6096,4040,1.96608670008847,0.696697139486877,3309,0.453848422294308,0.242848717192569,1.97350173353145,6.48148148148148,4.22222222222222,3.18474492322932,6.48148148148148,4.22222222222222,18.7258990631611,0.558724181848386,0.270834486959411,0.633193340332983,0.836769078295342,0.091201063181793,1.86885245901639,0.789791873141724,0.901023877763011,0.570522318880539,0.651428571428571,0.633193340332983,1.02111620977836,1.86885245901639,0.190061928634621,0.595694485402536,0.590354537635779,0.380245765097861,0.290602646732994,0.366806659667017,0.928231361097756,0.492251059671337,"X2clsQ",3600,"timeout" "sortnetsort7.AE.stepl.009",1,3309,729,4038,6782,18059,1,1,2,189,5304,1289,6096,4040,1.96608670008847,0.696697139486877,3309,0.453848422294308,0.242848717192569,1.97350173353145,6.48148148148148,4.22222222222222,3.18474492322932,6.48148148148148,4.22222222222222,18.7258990631611,0.558724181848386,0.270834486959411,0.633193340332983,0.836769078295342,0.091201063181793,1.86885245901639,0.789791873141724,0.901023877763011,0.570522318880539,0.651428571428571,0.633193340332983,1.02111620977836,1.86885245901639,0.190061928634621,0.595694485402536,0.590354537635779,0.380245765097861,0.290602646732994,0.366806659667017,0.928231361097756,0.492251059671337,"quantor",3600,"memout" "sortnetsort7.AE.stepl.009",1,3309,729,4038,6782,18059,1,1,2,189,5304,1289,6096,4040,1.96608670008847,0.696697139486877,3309,0.453848422294308,0.242848717192569,1.97350173353145,6.48148148148148,4.22222222222222,3.18474492322932,6.48148148148148,4.22222222222222,18.7258990631611,0.558724181848386,0.270834486959411,0.633193340332983,0.836769078295342,0.091201063181793,1.86885245901639,0.789791873141724,0.901023877763011,0.570522318880539,0.651428571428571,0.633193340332983,1.02111620977836,1.86885245901639,0.190061928634621,0.595694485402536,0.590354537635779,0.380245765097861,0.290602646732994,0.366806659667017,0.928231361097756,0.492251059671337,"QuBE",29.54,"ok" "sortnetsort7.AE.stepl.009",1,3309,729,4038,6782,18059,1,1,2,189,5304,1289,6096,4040,1.96608670008847,0.696697139486877,3309,0.453848422294308,0.242848717192569,1.97350173353145,6.48148148148148,4.22222222222222,3.18474492322932,6.48148148148148,4.22222222222222,18.7258990631611,0.558724181848386,0.270834486959411,0.633193340332983,0.836769078295342,0.091201063181793,1.86885245901639,0.789791873141724,0.901023877763011,0.570522318880539,0.651428571428571,0.633193340332983,1.02111620977836,1.86885245901639,0.190061928634621,0.595694485402536,0.590354537635779,0.380245765097861,0.290602646732994,0.366806659667017,0.928231361097756,0.492251059671337,"sKizzo",3600,"memout" "sortnetsort7.AE.stepl.009",1,3309,729,4038,6782,18059,1,1,2,189,5304,1289,6096,4040,1.96608670008847,0.696697139486877,3309,0.453848422294308,0.242848717192569,1.97350173353145,6.48148148148148,4.22222222222222,3.18474492322932,6.48148148148148,4.22222222222222,18.7258990631611,0.558724181848386,0.270834486959411,0.633193340332983,0.836769078295342,0.091201063181793,1.86885245901639,0.789791873141724,0.901023877763011,0.570522318880539,0.651428571428571,0.633193340332983,1.02111620977836,1.86885245901639,0.190061928634621,0.595694485402536,0.590354537635779,0.380245765097861,0.290602646732994,0.366806659667017,0.928231361097756,0.492251059671337,"sSolve",3600,"timeout" "sortnetsort7.v.stepl.004-shuffled",1,528,8,536,1759,4361,2,1,3,0,1372,387,1457,892,2.3246162592382,0.154633314383172,264,0.0773166571915861,0.0773166571915861,3.08208955223881,34,17,8.36567164179104,68,34,74.3143939393939,0.621187800963082,0.347626691125889,0.629249205184642,0.949796973052787,0.0311855079110296,1,0.609819121447029,0.951835483938213,0.598941721734659,0.5,0.629249205184642,0.96418783628086,1,0.220011370096646,0.507106310403638,0.560521415270019,0.419074603726177,1.78614133098108,0.43606998654105,0.953572297461682,3.18657107885994,"X2clsQ",3600,"timeout" "sortnetsort7.v.stepl.004-shuffled",1,528,8,536,1759,4361,2,1,3,0,1372,387,1457,892,2.3246162592382,0.154633314383172,264,0.0773166571915861,0.0773166571915861,3.08208955223881,34,17,8.36567164179104,68,34,74.3143939393939,0.621187800963082,0.347626691125889,0.629249205184642,0.949796973052787,0.0311855079110296,1,0.609819121447029,0.951835483938213,0.598941721734659,0.5,0.629249205184642,0.96418783628086,1,0.220011370096646,0.507106310403638,0.560521415270019,0.419074603726177,1.78614133098108,0.43606998654105,0.953572297461682,3.18657107885994,"quantor",0.57,"ok" "sortnetsort7.v.stepl.004-shuffled",1,528,8,536,1759,4361,2,1,3,0,1372,387,1457,892,2.3246162592382,0.154633314383172,264,0.0773166571915861,0.0773166571915861,3.08208955223881,34,17,8.36567164179104,68,34,74.3143939393939,0.621187800963082,0.347626691125889,0.629249205184642,0.949796973052787,0.0311855079110296,1,0.609819121447029,0.951835483938213,0.598941721734659,0.5,0.629249205184642,0.96418783628086,1,0.220011370096646,0.507106310403638,0.560521415270019,0.419074603726177,1.78614133098108,0.43606998654105,0.953572297461682,3.18657107885994,"QuBE",3600,"timeout" "sortnetsort7.v.stepl.004-shuffled",1,528,8,536,1759,4361,2,1,3,0,1372,387,1457,892,2.3246162592382,0.154633314383172,264,0.0773166571915861,0.0773166571915861,3.08208955223881,34,17,8.36567164179104,68,34,74.3143939393939,0.621187800963082,0.347626691125889,0.629249205184642,0.949796973052787,0.0311855079110296,1,0.609819121447029,0.951835483938213,0.598941721734659,0.5,0.629249205184642,0.96418783628086,1,0.220011370096646,0.507106310403638,0.560521415270019,0.419074603726177,1.78614133098108,0.43606998654105,0.953572297461682,3.18657107885994,"sKizzo",0.15,"ok" "sortnetsort7.v.stepl.004-shuffled",1,528,8,536,1759,4361,2,1,3,0,1372,387,1457,892,2.3246162592382,0.154633314383172,264,0.0773166571915861,0.0773166571915861,3.08208955223881,34,17,8.36567164179104,68,34,74.3143939393939,0.621187800963082,0.347626691125889,0.629249205184642,0.949796973052787,0.0311855079110296,1,0.609819121447029,0.951835483938213,0.598941721734659,0.5,0.629249205184642,0.96418783628086,1,0.220011370096646,0.507106310403638,0.560521415270019,0.419074603726177,1.78614133098108,0.43606998654105,0.953572297461682,3.18657107885994,"sSolve",124.15,"ok" "sortnetsort8.AE.stepl.005",1,2517,560,3077,5151,13687,1,1,2,140,4074,937,4654,3094,1.95049504950495,0.706658901184236,2517,0.462046204620462,0.244612696563774,1.96945076373091,6.5,4.25,3.16542086447839,6.5,4.25,19.7663885578069,0.557244100241105,0.268868269160517,0.633721508908132,0.834797430182247,0.0920581573756119,1.88888888888889,0.794545692933001,0.897371795949159,0.568683808580501,0.653846153846154,0.633721508908132,1.02052907932887,1.88888888888889,0.181906425936711,0.600660066006601,0.589618269149743,0.379097706506684,0.291701778039943,0.366278491091868,0.92376847702563,0.494729884236101,"X2clsQ",3600,"timeout" "sortnetsort8.AE.stepl.005",1,2517,560,3077,5151,13687,1,1,2,140,4074,937,4654,3094,1.95049504950495,0.706658901184236,2517,0.462046204620462,0.244612696563774,1.96945076373091,6.5,4.25,3.16542086447839,6.5,4.25,19.7663885578069,0.557244100241105,0.268868269160517,0.633721508908132,0.834797430182247,0.0920581573756119,1.88888888888889,0.794545692933001,0.897371795949159,0.568683808580501,0.653846153846154,0.633721508908132,1.02052907932887,1.88888888888889,0.181906425936711,0.600660066006601,0.589618269149743,0.379097706506684,0.291701778039943,0.366278491091868,0.92376847702563,0.494729884236101,"quantor",3600,"memout" "sortnetsort8.AE.stepl.005",1,2517,560,3077,5151,13687,1,1,2,140,4074,937,4654,3094,1.95049504950495,0.706658901184236,2517,0.462046204620462,0.244612696563774,1.96945076373091,6.5,4.25,3.16542086447839,6.5,4.25,19.7663885578069,0.557244100241105,0.268868269160517,0.633721508908132,0.834797430182247,0.0920581573756119,1.88888888888889,0.794545692933001,0.897371795949159,0.568683808580501,0.653846153846154,0.633721508908132,1.02052907932887,1.88888888888889,0.181906425936711,0.600660066006601,0.589618269149743,0.379097706506684,0.291701778039943,0.366278491091868,0.92376847702563,0.494729884236101,"QuBE",3600,"timeout" "sortnetsort8.AE.stepl.005",1,2517,560,3077,5151,13687,1,1,2,140,4074,937,4654,3094,1.95049504950495,0.706658901184236,2517,0.462046204620462,0.244612696563774,1.96945076373091,6.5,4.25,3.16542086447839,6.5,4.25,19.7663885578069,0.557244100241105,0.268868269160517,0.633721508908132,0.834797430182247,0.0920581573756119,1.88888888888889,0.794545692933001,0.897371795949159,0.568683808580501,0.653846153846154,0.633721508908132,1.02052907932887,1.88888888888889,0.181906425936711,0.600660066006601,0.589618269149743,0.379097706506684,0.291701778039943,0.366278491091868,0.92376847702563,0.494729884236101,"sKizzo",3600,"memout" "sortnetsort8.AE.stepl.005",1,2517,560,3077,5151,13687,1,1,2,140,4074,937,4654,3094,1.95049504950495,0.706658901184236,2517,0.462046204620462,0.244612696563774,1.96945076373091,6.5,4.25,3.16542086447839,6.5,4.25,19.7663885578069,0.557244100241105,0.268868269160517,0.633721508908132,0.834797430182247,0.0920581573756119,1.88888888888889,0.794545692933001,0.897371795949159,0.568683808580501,0.653846153846154,0.633721508908132,1.02052907932887,1.88888888888889,0.181906425936711,0.600660066006601,0.589618269149743,0.379097706506684,0.291701778039943,0.366278491091868,0.92376847702563,0.494729884236101,"sSolve",3600,"timeout" "sortnetsort8.AE.stepl.007",1,3517,784,4301,7205,19147,1,1,2,196,5698,1311,6510,4328,1.95017349063151,0.707286606523248,3517,0.462456627342124,0.244829979181124,1.97116949546617,6.5,4.25,3.16763543362009,6.5,4.25,20.0682399772533,0.557215229539876,0.268762730453857,0.633762721514483,0.834661167869529,0.0921293152974356,1.88888888888889,0.794638672790327,0.8974361731131,0.568761591457701,0.653846153846154,0.633762721514483,1.02072154762776,1.88888888888889,0.181956974323387,0.600693962526024,0.589613832158564,0.379127189285856,0.291500994035785,0.366237278485517,0.923830331027001,0.494393072443037,"X2clsQ",3600,"timeout" "sortnetsort8.AE.stepl.007",1,3517,784,4301,7205,19147,1,1,2,196,5698,1311,6510,4328,1.95017349063151,0.707286606523248,3517,0.462456627342124,0.244829979181124,1.97116949546617,6.5,4.25,3.16763543362009,6.5,4.25,20.0682399772533,0.557215229539876,0.268762730453857,0.633762721514483,0.834661167869529,0.0921293152974356,1.88888888888889,0.794638672790327,0.8974361731131,0.568761591457701,0.653846153846154,0.633762721514483,1.02072154762776,1.88888888888889,0.181956974323387,0.600693962526024,0.589613832158564,0.379127189285856,0.291500994035785,0.366237278485517,0.923830331027001,0.494393072443037,"quantor",3600,"memout" "sortnetsort8.AE.stepl.007",1,3517,784,4301,7205,19147,1,1,2,196,5698,1311,6510,4328,1.95017349063151,0.707286606523248,3517,0.462456627342124,0.244829979181124,1.97116949546617,6.5,4.25,3.16763543362009,6.5,4.25,20.0682399772533,0.557215229539876,0.268762730453857,0.633762721514483,0.834661167869529,0.0921293152974356,1.88888888888889,0.794638672790327,0.8974361731131,0.568761591457701,0.653846153846154,0.633762721514483,1.02072154762776,1.88888888888889,0.181956974323387,0.600693962526024,0.589613832158564,0.379127189285856,0.291500994035785,0.366237278485517,0.923830331027001,0.494393072443037,"QuBE",367.84,"ok" "sortnetsort8.AE.stepl.007",1,3517,784,4301,7205,19147,1,1,2,196,5698,1311,6510,4328,1.95017349063151,0.707286606523248,3517,0.462456627342124,0.244829979181124,1.97116949546617,6.5,4.25,3.16763543362009,6.5,4.25,20.0682399772533,0.557215229539876,0.268762730453857,0.633762721514483,0.834661167869529,0.0921293152974356,1.88888888888889,0.794638672790327,0.8974361731131,0.568761591457701,0.653846153846154,0.633762721514483,1.02072154762776,1.88888888888889,0.181956974323387,0.600693962526024,0.589613832158564,0.379127189285856,0.291500994035785,0.366237278485517,0.923830331027001,0.494393072443037,"sKizzo",3600,"memout" "sortnetsort8.AE.stepl.007",1,3517,784,4301,7205,19147,1,1,2,196,5698,1311,6510,4328,1.95017349063151,0.707286606523248,3517,0.462456627342124,0.244829979181124,1.97116949546617,6.5,4.25,3.16763543362009,6.5,4.25,20.0682399772533,0.557215229539876,0.268762730453857,0.633762721514483,0.834661167869529,0.0921293152974356,1.88888888888889,0.794638672790327,0.8974361731131,0.568761591457701,0.653846153846154,0.633762721514483,1.02072154762776,1.88888888888889,0.181956974323387,0.600693962526024,0.589613832158564,0.379127189285856,0.291500994035785,0.366237278485517,0.923830331027001,0.494393072443037,"sSolve",3600,"timeout" "sortnetsort8.AE.stepl.009",1,4517,1008,5525,9259,24607,1,1,2,252,7322,1685,8366,5562,1.9499945998488,0.707635813802787,4517,0.462684955178745,0.244950858624041,1.97212669683258,6.5,4.25,3.16886877828054,6.5,4.25,20.2364401151207,0.557199170967611,0.268704027309302,0.633785654943229,0.834585369411421,0.0921688950298696,1.88888888888889,0.7946903945737,0.897472221704653,0.568805019826438,0.653846153846154,0.633785654943229,1.02082890546781,1.88888888888889,0.181985095582676,0.600712819958959,0.589611363742909,0.379143679931425,0.291389292578876,0.366214345056771,0.923864957347181,0.494205692931542,"X2clsQ",3600,"timeout" "sortnetsort8.AE.stepl.009",1,4517,1008,5525,9259,24607,1,1,2,252,7322,1685,8366,5562,1.9499945998488,0.707635813802787,4517,0.462684955178745,0.244950858624041,1.97212669683258,6.5,4.25,3.16886877828054,6.5,4.25,20.2364401151207,0.557199170967611,0.268704027309302,0.633785654943229,0.834585369411421,0.0921688950298696,1.88888888888889,0.7946903945737,0.897472221704653,0.568805019826438,0.653846153846154,0.633785654943229,1.02082890546781,1.88888888888889,0.181985095582676,0.600712819958959,0.589611363742909,0.379143679931425,0.291389292578876,0.366214345056771,0.923864957347181,0.494205692931542,"quantor",3600,"memout" "sortnetsort8.AE.stepl.009",1,4517,1008,5525,9259,24607,1,1,2,252,7322,1685,8366,5562,1.9499945998488,0.707635813802787,4517,0.462684955178745,0.244950858624041,1.97212669683258,6.5,4.25,3.16886877828054,6.5,4.25,20.2364401151207,0.557199170967611,0.268704027309302,0.633785654943229,0.834585369411421,0.0921688950298696,1.88888888888889,0.7946903945737,0.897472221704653,0.568805019826438,0.653846153846154,0.633785654943229,1.02082890546781,1.88888888888889,0.181985095582676,0.600712819958959,0.589611363742909,0.379143679931425,0.291389292578876,0.366214345056771,0.923864957347181,0.494205692931542,"QuBE",3600,"timeout" "sortnetsort8.AE.stepl.009",1,4517,1008,5525,9259,24607,1,1,2,252,7322,1685,8366,5562,1.9499945998488,0.707635813802787,4517,0.462684955178745,0.244950858624041,1.97212669683258,6.5,4.25,3.16886877828054,6.5,4.25,20.2364401151207,0.557199170967611,0.268704027309302,0.633785654943229,0.834585369411421,0.0921688950298696,1.88888888888889,0.7946903945737,0.897472221704653,0.568805019826438,0.653846153846154,0.633785654943229,1.02082890546781,1.88888888888889,0.181985095582676,0.600712819958959,0.589611363742909,0.379143679931425,0.291389292578876,0.366214345056771,0.923864957347181,0.494205692931542,"sKizzo",3600,"memout" "sortnetsort8.AE.stepl.009",1,4517,1008,5525,9259,24607,1,1,2,252,7322,1685,8366,5562,1.9499945998488,0.707635813802787,4517,0.462684955178745,0.244950858624041,1.97212669683258,6.5,4.25,3.16886877828054,6.5,4.25,20.2364401151207,0.557199170967611,0.268704027309302,0.633785654943229,0.834585369411421,0.0921688950298696,1.88888888888889,0.7946903945737,0.897472221704653,0.568805019826438,0.653846153846154,0.633785654943229,1.02082890546781,1.88888888888889,0.181985095582676,0.600712819958959,0.589611363742909,0.379143679931425,0.291389292578876,0.366214345056771,0.923864957347181,0.494205692931542,"sSolve",3600,"timeout" "sortnetsort8.v.stepl.008-shuffled",1,1420,9,1429,4752,11747,2,1,3,0,3741,1011,3966,2397,2.39667508417508,0.0753367003367003,710,0.0376683501683502,0.0376683501683502,3.09097270818754,39.7777777777778,19.8888888888889,8.52064380685794,79.5555555555556,39.7777777777778,93.0281690140845,0.623989103600919,0.360772963309781,0.62788655720432,0.975579809004093,0.0152379330892994,1,0.602592087312415,0.9756690050921,0.612609452578243,0.5,0.62788655720432,0.981763061314682,1,0.212752525252525,0.504419191919192,0.563192825112108,0.426651222130992,2.03919083208769,0.435141205493575,0.976749574318447,3.62076848489996,"X2clsQ",3600,"timeout" "sortnetsort8.v.stepl.008-shuffled",1,1420,9,1429,4752,11747,2,1,3,0,3741,1011,3966,2397,2.39667508417508,0.0753367003367003,710,0.0376683501683502,0.0376683501683502,3.09097270818754,39.7777777777778,19.8888888888889,8.52064380685794,79.5555555555556,39.7777777777778,93.0281690140845,0.623989103600919,0.360772963309781,0.62788655720432,0.975579809004093,0.0152379330892994,1,0.602592087312415,0.9756690050921,0.612609452578243,0.5,0.62788655720432,0.981763061314682,1,0.212752525252525,0.504419191919192,0.563192825112108,0.426651222130992,2.03919083208769,0.435141205493575,0.976749574318447,3.62076848489996,"quantor",3.83,"ok" "sortnetsort8.v.stepl.008-shuffled",1,1420,9,1429,4752,11747,2,1,3,0,3741,1011,3966,2397,2.39667508417508,0.0753367003367003,710,0.0376683501683502,0.0376683501683502,3.09097270818754,39.7777777777778,19.8888888888889,8.52064380685794,79.5555555555556,39.7777777777778,93.0281690140845,0.623989103600919,0.360772963309781,0.62788655720432,0.975579809004093,0.0152379330892994,1,0.602592087312415,0.9756690050921,0.612609452578243,0.5,0.62788655720432,0.981763061314682,1,0.212752525252525,0.504419191919192,0.563192825112108,0.426651222130992,2.03919083208769,0.435141205493575,0.976749574318447,3.62076848489996,"QuBE",3600,"timeout" "sortnetsort8.v.stepl.008-shuffled",1,1420,9,1429,4752,11747,2,1,3,0,3741,1011,3966,2397,2.39667508417508,0.0753367003367003,710,0.0376683501683502,0.0376683501683502,3.09097270818754,39.7777777777778,19.8888888888889,8.52064380685794,79.5555555555556,39.7777777777778,93.0281690140845,0.623989103600919,0.360772963309781,0.62788655720432,0.975579809004093,0.0152379330892994,1,0.602592087312415,0.9756690050921,0.612609452578243,0.5,0.62788655720432,0.981763061314682,1,0.212752525252525,0.504419191919192,0.563192825112108,0.426651222130992,2.03919083208769,0.435141205493575,0.976749574318447,3.62076848489996,"sKizzo",21.25,"ok" "sortnetsort8.v.stepl.008-shuffled",1,1420,9,1429,4752,11747,2,1,3,0,3741,1011,3966,2397,2.39667508417508,0.0753367003367003,710,0.0376683501683502,0.0376683501683502,3.09097270818754,39.7777777777778,19.8888888888889,8.52064380685794,79.5555555555556,39.7777777777778,93.0281690140845,0.623989103600919,0.360772963309781,0.62788655720432,0.975579809004093,0.0152379330892994,1,0.602592087312415,0.9756690050921,0.612609452578243,0.5,0.62788655720432,0.981763061314682,1,0.212752525252525,0.504419191919192,0.563192825112108,0.426651222130992,2.03919083208769,0.435141205493575,0.976749574318447,3.62076848489996,"sSolve",3600,"timeout" "sortnetsort9.AE.stepl.004",1,2639,592,3231,5398,14322,1,1,2,144,4304,950,4896,3262,1.93886624675806,0.714338643942201,2639,0.468321600592812,0.246017043349389,1.96750232126277,6.51351351351351,4.27027027027027,3.15258433921387,6.51351351351351,4.27027027027027,20.9837059492232,0.556137410976121,0.267350928641251,0.634148671889929,0.833270558694287,0.092724479821254,1.90361445783133,0.798116760828625,0.894694214096725,0.567369147617042,0.655601659751037,0.634148671889929,1.02019597390725,1.90361445783133,0.17599110781771,0.604297888106706,0.589075359044699,0.378243472819269,0.292396277187305,0.365851328110071,0.920469193426667,0.496364807486572,"X2clsQ",3600,"timeout" "sortnetsort9.AE.stepl.004",1,2639,592,3231,5398,14322,1,1,2,144,4304,950,4896,3262,1.93886624675806,0.714338643942201,2639,0.468321600592812,0.246017043349389,1.96750232126277,6.51351351351351,4.27027027027027,3.15258433921387,6.51351351351351,4.27027027027027,20.9837059492232,0.556137410976121,0.267350928641251,0.634148671889929,0.833270558694287,0.092724479821254,1.90361445783133,0.798116760828625,0.894694214096725,0.567369147617042,0.655601659751037,0.634148671889929,1.02019597390725,1.90361445783133,0.17599110781771,0.604297888106706,0.589075359044699,0.378243472819269,0.292396277187305,0.365851328110071,0.920469193426667,0.496364807486572,"quantor",3600,"memout" "sortnetsort9.AE.stepl.004",1,2639,592,3231,5398,14322,1,1,2,144,4304,950,4896,3262,1.93886624675806,0.714338643942201,2639,0.468321600592812,0.246017043349389,1.96750232126277,6.51351351351351,4.27027027027027,3.15258433921387,6.51351351351351,4.27027027027027,20.9837059492232,0.556137410976121,0.267350928641251,0.634148671889929,0.833270558694287,0.092724479821254,1.90361445783133,0.798116760828625,0.894694214096725,0.567369147617042,0.655601659751037,0.634148671889929,1.02019597390725,1.90361445783133,0.17599110781771,0.604297888106706,0.589075359044699,0.378243472819269,0.292396277187305,0.365851328110071,0.920469193426667,0.496364807486572,"QuBE",10.36,"ok" "sortnetsort9.AE.stepl.004",1,2639,592,3231,5398,14322,1,1,2,144,4304,950,4896,3262,1.93886624675806,0.714338643942201,2639,0.468321600592812,0.246017043349389,1.96750232126277,6.51351351351351,4.27027027027027,3.15258433921387,6.51351351351351,4.27027027027027,20.9837059492232,0.556137410976121,0.267350928641251,0.634148671889929,0.833270558694287,0.092724479821254,1.90361445783133,0.798116760828625,0.894694214096725,0.567369147617042,0.655601659751037,0.634148671889929,1.02019597390725,1.90361445783133,0.17599110781771,0.604297888106706,0.589075359044699,0.378243472819269,0.292396277187305,0.365851328110071,0.920469193426667,0.496364807486572,"sKizzo",3600,"memout" "sortnetsort9.AE.stepl.004",1,2639,592,3231,5398,14322,1,1,2,144,4304,950,4896,3262,1.93886624675806,0.714338643942201,2639,0.468321600592812,0.246017043349389,1.96750232126277,6.51351351351351,4.27027027027027,3.15258433921387,6.51351351351351,4.27027027027027,20.9837059492232,0.556137410976121,0.267350928641251,0.634148671889929,0.833270558694287,0.092724479821254,1.90361445783133,0.798116760828625,0.894694214096725,0.567369147617042,0.655601659751037,0.634148671889929,1.02019597390725,1.90361445783133,0.17599110781771,0.604297888106706,0.589075359044699,0.378243472819269,0.292396277187305,0.365851328110071,0.920469193426667,0.496364807486572,"sSolve",3600,"timeout" "sortnetsort9.AE.stepl.005",1,3294,740,4034,6743,17892,1,1,2,180,5376,1187,6116,4075,1.93860299569924,0.714815364081269,3294,0.468634139107222,0.246181224974047,1.96876549330689,6.51351351351351,4.27027027027027,3.15418939018344,6.51351351351351,4.27027027027027,21.2659380692168,0.556114464565169,0.267270288397049,0.634179926560588,0.833165829145729,0.092778895595797,1.90361445783133,0.798190954773869,0.894737106440844,0.567424312453687,0.655601659751037,0.634179926560588,1.020337266173,1.90361445783133,0.176034406050719,0.604330416728459,0.589071179434182,0.37826382315064,0.292250459993646,0.365820073439412,0.9205093539796,0.496120791844476,"X2clsQ",3600,"timeout" "sortnetsort9.AE.stepl.005",1,3294,740,4034,6743,17892,1,1,2,180,5376,1187,6116,4075,1.93860299569924,0.714815364081269,3294,0.468634139107222,0.246181224974047,1.96876549330689,6.51351351351351,4.27027027027027,3.15418939018344,6.51351351351351,4.27027027027027,21.2659380692168,0.556114464565169,0.267270288397049,0.634179926560588,0.833165829145729,0.092778895595797,1.90361445783133,0.798190954773869,0.894737106440844,0.567424312453687,0.655601659751037,0.634179926560588,1.020337266173,1.90361445783133,0.176034406050719,0.604330416728459,0.589071179434182,0.37826382315064,0.292250459993646,0.365820073439412,0.9205093539796,0.496120791844476,"quantor",3600,"memout" "sortnetsort9.AE.stepl.005",1,3294,740,4034,6743,17892,1,1,2,180,5376,1187,6116,4075,1.93860299569924,0.714815364081269,3294,0.468634139107222,0.246181224974047,1.96876549330689,6.51351351351351,4.27027027027027,3.15418939018344,6.51351351351351,4.27027027027027,21.2659380692168,0.556114464565169,0.267270288397049,0.634179926560588,0.833165829145729,0.092778895595797,1.90361445783133,0.798190954773869,0.894737106440844,0.567424312453687,0.655601659751037,0.634179926560588,1.020337266173,1.90361445783133,0.176034406050719,0.604330416728459,0.589071179434182,0.37826382315064,0.292250459993646,0.365820073439412,0.9205093539796,0.496120791844476,"QuBE",3600,"timeout" "sortnetsort9.AE.stepl.005",1,3294,740,4034,6743,17892,1,1,2,180,5376,1187,6116,4075,1.93860299569924,0.714815364081269,3294,0.468634139107222,0.246181224974047,1.96876549330689,6.51351351351351,4.27027027027027,3.15418939018344,6.51351351351351,4.27027027027027,21.2659380692168,0.556114464565169,0.267270288397049,0.634179926560588,0.833165829145729,0.092778895595797,1.90361445783133,0.798190954773869,0.894737106440844,0.567424312453687,0.655601659751037,0.634179926560588,1.020337266173,1.90361445783133,0.176034406050719,0.604330416728459,0.589071179434182,0.37826382315064,0.292250459993646,0.365820073439412,0.9205093539796,0.496120791844476,"sKizzo",3600,"memout" "sortnetsort9.AE.stepl.005",1,3294,740,4034,6743,17892,1,1,2,180,5376,1187,6116,4075,1.93860299569924,0.714815364081269,3294,0.468634139107222,0.246181224974047,1.96876549330689,6.51351351351351,4.27027027027027,3.15418939018344,6.51351351351351,4.27027027027027,21.2659380692168,0.556114464565169,0.267270288397049,0.634179926560588,0.833165829145729,0.092778895595797,1.90361445783133,0.798190954773869,0.894737106440844,0.567424312453687,0.655601659751037,0.634179926560588,1.020337266173,1.90361445783133,0.176034406050719,0.604330416728459,0.589071179434182,0.37826382315064,0.292250459993646,0.365820073439412,0.9205093539796,0.496120791844476,"sSolve",3600,"timeout" "sortnetsort9.AE.stepl.012",1,7879,1776,9655,16158,42882,1,1,2,432,12880,2846,14656,9766,1.93798737467508,0.715930189379874,7879,0.46936502042332,0.246565168956554,1.97172449508027,6.51351351351351,4.27027027027027,3.15794924909373,6.51351351351351,4.27027027027027,21.9276557938825,0.556060818058859,0.267081759246304,0.634253049754104,0.832920947787796,0.0929061144536169,1.90361445783133,0.798364436988886,0.894838564390364,0.567554088502172,0.655601659751037,0.634253049754104,1.02066908882995,1.90361445783133,0.176135660354004,0.604406485951232,0.589061404927489,0.378311846866069,0.291909449478591,0.365746950245896,0.920604322403243,0.495550119285991,"X2clsQ",3600,"timeout" "sortnetsort9.AE.stepl.012",1,7879,1776,9655,16158,42882,1,1,2,432,12880,2846,14656,9766,1.93798737467508,0.715930189379874,7879,0.46936502042332,0.246565168956554,1.97172449508027,6.51351351351351,4.27027027027027,3.15794924909373,6.51351351351351,4.27027027027027,21.9276557938825,0.556060818058859,0.267081759246304,0.634253049754104,0.832920947787796,0.0929061144536169,1.90361445783133,0.798364436988886,0.894838564390364,0.567554088502172,0.655601659751037,0.634253049754104,1.02066908882995,1.90361445783133,0.176135660354004,0.604406485951232,0.589061404927489,0.378311846866069,0.291909449478591,0.365746950245896,0.920604322403243,0.495550119285991,"quantor",3600,"memout" "sortnetsort9.AE.stepl.012",1,7879,1776,9655,16158,42882,1,1,2,432,12880,2846,14656,9766,1.93798737467508,0.715930189379874,7879,0.46936502042332,0.246565168956554,1.97172449508027,6.51351351351351,4.27027027027027,3.15794924909373,6.51351351351351,4.27027027027027,21.9276557938825,0.556060818058859,0.267081759246304,0.634253049754104,0.832920947787796,0.0929061144536169,1.90361445783133,0.798364436988886,0.894838564390364,0.567554088502172,0.655601659751037,0.634253049754104,1.02066908882995,1.90361445783133,0.176135660354004,0.604406485951232,0.589061404927489,0.378311846866069,0.291909449478591,0.365746950245896,0.920604322403243,0.495550119285991,"QuBE",3600,"timeout" "sortnetsort9.AE.stepl.012",1,7879,1776,9655,16158,42882,1,1,2,432,12880,2846,14656,9766,1.93798737467508,0.715930189379874,7879,0.46936502042332,0.246565168956554,1.97172449508027,6.51351351351351,4.27027027027027,3.15794924909373,6.51351351351351,4.27027027027027,21.9276557938825,0.556060818058859,0.267081759246304,0.634253049754104,0.832920947787796,0.0929061144536169,1.90361445783133,0.798364436988886,0.894838564390364,0.567554088502172,0.655601659751037,0.634253049754104,1.02066908882995,1.90361445783133,0.176135660354004,0.604406485951232,0.589061404927489,0.378311846866069,0.291909449478591,0.365746950245896,0.920604322403243,0.495550119285991,"sKizzo",3600,"memout" "sortnetsort9.AE.stepl.012",1,7879,1776,9655,16158,42882,1,1,2,432,12880,2846,14656,9766,1.93798737467508,0.715930189379874,7879,0.46936502042332,0.246565168956554,1.97172449508027,6.51351351351351,4.27027027027027,3.15794924909373,6.51351351351351,4.27027027027027,21.9276557938825,0.556060818058859,0.267081759246304,0.634253049754104,0.832920947787796,0.0929061144536169,1.90361445783133,0.798364436988886,0.894838564390364,0.567554088502172,0.655601659751037,0.634253049754104,1.02066908882995,1.90361445783133,0.176135660354004,0.604406485951232,0.589061404927489,0.378311846866069,0.291909449478591,0.365746950245896,0.920604322403243,0.495550119285991,"sSolve",3600,"timeout" "sortnetsort9.v.stepl.006",1,1384,10,1394,4649,11461,2,1,3,0,3686,963,3903,2342,2.36717573671757,0.098085609808561,692,0.0490428049042805,0.0490428049042805,3.07747489239598,45.6,22.8,8.44906743185079,91.2,45.6,97.9118497109827,0.625687112817381,0.35441933513655,0.630895047705588,0.968205271231348,0.0198935520460693,1,0.598242922883838,0.967150850358063,0.61017068187515,0.5,0.630895047705588,0.975200974058165,1,0.207141320714132,0.503764250376425,0.565948037589829,0.420261889013507,2.34259812050857,0.431758380048049,0.968229441193879,4.13924594647392,"X2clsQ",3600,"timeout" "sortnetsort9.v.stepl.006",1,1384,10,1394,4649,11461,2,1,3,0,3686,963,3903,2342,2.36717573671757,0.098085609808561,692,0.0490428049042805,0.0490428049042805,3.07747489239598,45.6,22.8,8.44906743185079,91.2,45.6,97.9118497109827,0.625687112817381,0.35441933513655,0.630895047705588,0.968205271231348,0.0198935520460693,1,0.598242922883838,0.967150850358063,0.61017068187515,0.5,0.630895047705588,0.975200974058165,1,0.207141320714132,0.503764250376425,0.565948037589829,0.420261889013507,2.34259812050857,0.431758380048049,0.968229441193879,4.13924594647392,"quantor",3600,"timeout" "sortnetsort9.v.stepl.006",1,1384,10,1394,4649,11461,2,1,3,0,3686,963,3903,2342,2.36717573671757,0.098085609808561,692,0.0490428049042805,0.0490428049042805,3.07747489239598,45.6,22.8,8.44906743185079,91.2,45.6,97.9118497109827,0.625687112817381,0.35441933513655,0.630895047705588,0.968205271231348,0.0198935520460693,1,0.598242922883838,0.967150850358063,0.61017068187515,0.5,0.630895047705588,0.975200974058165,1,0.207141320714132,0.503764250376425,0.565948037589829,0.420261889013507,2.34259812050857,0.431758380048049,0.968229441193879,4.13924594647392,"QuBE",3600,"timeout" "sortnetsort9.v.stepl.006",1,1384,10,1394,4649,11461,2,1,3,0,3686,963,3903,2342,2.36717573671757,0.098085609808561,692,0.0490428049042805,0.0490428049042805,3.07747489239598,45.6,22.8,8.44906743185079,91.2,45.6,97.9118497109827,0.625687112817381,0.35441933513655,0.630895047705588,0.968205271231348,0.0198935520460693,1,0.598242922883838,0.967150850358063,0.61017068187515,0.5,0.630895047705588,0.975200974058165,1,0.207141320714132,0.503764250376425,0.565948037589829,0.420261889013507,2.34259812050857,0.431758380048049,0.968229441193879,4.13924594647392,"sKizzo",3600,"memout" "sortnetsort9.v.stepl.006",1,1384,10,1394,4649,11461,2,1,3,0,3686,963,3903,2342,2.36717573671757,0.098085609808561,692,0.0490428049042805,0.0490428049042805,3.07747489239598,45.6,22.8,8.44906743185079,91.2,45.6,97.9118497109827,0.625687112817381,0.35441933513655,0.630895047705588,0.968205271231348,0.0198935520460693,1,0.598242922883838,0.967150850358063,0.61017068187515,0.5,0.630895047705588,0.975200974058165,1,0.207141320714132,0.503764250376425,0.565948037589829,0.420261889013507,2.34259812050857,0.431758380048049,0.968229441193879,4.13924594647392,"sSolve",3600,"timeout" "sortnetsort9.v.stepl.012-shuffled",1,2764,10,2774,9281,22885,2,1,3,0,7358,1923,7791,4670,2.41665768774917,0.0491326365693352,1382,0.0245663182846676,0.0245663182846676,3.08651766402307,45.6,22.8,8.55443403028118,91.2,45.6,105.979015918958,0.625868472798777,0.364168669434127,0.628427482277409,0.984081547161907,0.00996285776709635,1,0.59777979473574,0.983620139330011,0.618133927676512,0.5,0.628427482277409,0.987641900082175,1,0.207197500269368,0.503178536795604,0.565503982422411,0.427688438196437,2.31611095852788,0.433383609854198,0.984332239869297,4.09565808644973,"X2clsQ",3600,"timeout" "sortnetsort9.v.stepl.012-shuffled",1,2764,10,2774,9281,22885,2,1,3,0,7358,1923,7791,4670,2.41665768774917,0.0491326365693352,1382,0.0245663182846676,0.0245663182846676,3.08651766402307,45.6,22.8,8.55443403028118,91.2,45.6,105.979015918958,0.625868472798777,0.364168669434127,0.628427482277409,0.984081547161907,0.00996285776709635,1,0.59777979473574,0.983620139330011,0.618133927676512,0.5,0.628427482277409,0.987641900082175,1,0.207197500269368,0.503178536795604,0.565503982422411,0.427688438196437,2.31611095852788,0.433383609854198,0.984332239869297,4.09565808644973,"quantor",19.28,"ok" "sortnetsort9.v.stepl.012-shuffled",1,2764,10,2774,9281,22885,2,1,3,0,7358,1923,7791,4670,2.41665768774917,0.0491326365693352,1382,0.0245663182846676,0.0245663182846676,3.08651766402307,45.6,22.8,8.55443403028118,91.2,45.6,105.979015918958,0.625868472798777,0.364168669434127,0.628427482277409,0.984081547161907,0.00996285776709635,1,0.59777979473574,0.983620139330011,0.618133927676512,0.5,0.628427482277409,0.987641900082175,1,0.207197500269368,0.503178536795604,0.565503982422411,0.427688438196437,2.31611095852788,0.433383609854198,0.984332239869297,4.09565808644973,"QuBE",3600,"timeout" "sortnetsort9.v.stepl.012-shuffled",1,2764,10,2774,9281,22885,2,1,3,0,7358,1923,7791,4670,2.41665768774917,0.0491326365693352,1382,0.0245663182846676,0.0245663182846676,3.08651766402307,45.6,22.8,8.55443403028118,91.2,45.6,105.979015918958,0.625868472798777,0.364168669434127,0.628427482277409,0.984081547161907,0.00996285776709635,1,0.59777979473574,0.983620139330011,0.618133927676512,0.5,0.628427482277409,0.987641900082175,1,0.207197500269368,0.503178536795604,0.565503982422411,0.427688438196437,2.31611095852788,0.433383609854198,0.984332239869297,4.09565808644973,"sKizzo",22.78,"ok" "sortnetsort9.v.stepl.012-shuffled",1,2764,10,2774,9281,22885,2,1,3,0,7358,1923,7791,4670,2.41665768774917,0.0491326365693352,1382,0.0245663182846676,0.0245663182846676,3.08651766402307,45.6,22.8,8.55443403028118,91.2,45.6,105.979015918958,0.625868472798777,0.364168669434127,0.628427482277409,0.984081547161907,0.00996285776709635,1,0.59777979473574,0.983620139330011,0.618133927676512,0.5,0.628427482277409,0.987641900082175,1,0.207197500269368,0.503178536795604,0.565503982422411,0.427688438196437,2.31611095852788,0.433383609854198,0.984332239869297,4.09565808644973,"sSolve",3600,"timeout" "ssa7552-159-00-shuffled",1,7115,1363,8478,13936,28630,2,1,3,4,13251,681,13340,8519,1.46742250287026,0.586969001148106,3557.5,0.237586107921929,0.349382893226177,1.12585515451758,6.00146735143067,2.42920029347029,1.51639537626799,12.0029347028613,4.85840058694057,6.04174279690794,0.666608452672022,0.217743625567586,0.695158924205379,0.744878176578465,0.170066363953895,0.680016430478538,0.500130992926382,0.85111936552555,0.591663222509106,0.404767726161369,0.695158924205379,0.887572337460608,0.680016430478538,0.0488662456946039,0.611294489092997,0.769993201416968,0.132898511013003,1.08368268928286,0.157687054181211,0.577802533802177,1.40739254228301,"X2clsQ",4.77,"ok" "ssa7552-159-00-shuffled",1,7115,1363,8478,13936,28630,2,1,3,4,13251,681,13340,8519,1.46742250287026,0.586969001148106,3557.5,0.237586107921929,0.349382893226177,1.12585515451758,6.00146735143067,2.42920029347029,1.51639537626799,12.0029347028613,4.85840058694057,6.04174279690794,0.666608452672022,0.217743625567586,0.695158924205379,0.744878176578465,0.170066363953895,0.680016430478538,0.500130992926382,0.85111936552555,0.591663222509106,0.404767726161369,0.695158924205379,0.887572337460608,0.680016430478538,0.0488662456946039,0.611294489092997,0.769993201416968,0.132898511013003,1.08368268928286,0.157687054181211,0.577802533802177,1.40739254228301,"quantor",0.05,"ok" "ssa7552-159-00-shuffled",1,7115,1363,8478,13936,28630,2,1,3,4,13251,681,13340,8519,1.46742250287026,0.586969001148106,3557.5,0.237586107921929,0.349382893226177,1.12585515451758,6.00146735143067,2.42920029347029,1.51639537626799,12.0029347028613,4.85840058694057,6.04174279690794,0.666608452672022,0.217743625567586,0.695158924205379,0.744878176578465,0.170066363953895,0.680016430478538,0.500130992926382,0.85111936552555,0.591663222509106,0.404767726161369,0.695158924205379,0.887572337460608,0.680016430478538,0.0488662456946039,0.611294489092997,0.769993201416968,0.132898511013003,1.08368268928286,0.157687054181211,0.577802533802177,1.40739254228301,"QuBE",0.13,"ok" "ssa7552-159-00-shuffled",1,7115,1363,8478,13936,28630,2,1,3,4,13251,681,13340,8519,1.46742250287026,0.586969001148106,3557.5,0.237586107921929,0.349382893226177,1.12585515451758,6.00146735143067,2.42920029347029,1.51639537626799,12.0029347028613,4.85840058694057,6.04174279690794,0.666608452672022,0.217743625567586,0.695158924205379,0.744878176578465,0.170066363953895,0.680016430478538,0.500130992926382,0.85111936552555,0.591663222509106,0.404767726161369,0.695158924205379,0.887572337460608,0.680016430478538,0.0488662456946039,0.611294489092997,0.769993201416968,0.132898511013003,1.08368268928286,0.157687054181211,0.577802533802177,1.40739254228301,"sKizzo",0.13,"ok" "ssa7552-159-00-shuffled",1,7115,1363,8478,13936,28630,2,1,3,4,13251,681,13340,8519,1.46742250287026,0.586969001148106,3557.5,0.237586107921929,0.349382893226177,1.12585515451758,6.00146735143067,2.42920029347029,1.51639537626799,12.0029347028613,4.85840058694057,6.04174279690794,0.666608452672022,0.217743625567586,0.695158924205379,0.744878176578465,0.170066363953895,0.680016430478538,0.500130992926382,0.85111936552555,0.591663222509106,0.404767726161369,0.695158924205379,0.887572337460608,0.680016430478538,0.0488662456946039,0.611294489092997,0.769993201416968,0.132898511013003,1.08368268928286,0.157687054181211,0.577802533802177,1.40739254228301,"sSolve",0.95,"ok" "ssa7552-159-50-shuffled",1,5761,1363,7124,11906,28286,2,1,3,4,11220,682,11309,7165,1.74517050226776,0.630606416932639,2880.5,0.278263060641693,0.352343356290946,1.67125210555867,5.50843727072634,2.43066764490095,3.17869174620999,11.0168745414527,4.86133528980191,11.3407394549557,0.579085059746871,0.303789860708478,0.58643757820772,0.743894993894994,0.14830658276179,0.789749702026222,0.726862026862027,0.908360499518992,0.532696731477472,0.441262653169952,0.58643757820772,0.919893757421964,0.789749702026222,0.0572820426675626,0.601797413069041,0.620024833881469,0.332387226336905,0.735822230162429,0.35933153880664,0.874760394823324,1.18676251329491,"X2clsQ",8.1,"ok" "ssa7552-159-50-shuffled",1,5761,1363,7124,11906,28286,2,1,3,4,11220,682,11309,7165,1.74517050226776,0.630606416932639,2880.5,0.278263060641693,0.352343356290946,1.67125210555867,5.50843727072634,2.43066764490095,3.17869174620999,11.0168745414527,4.86133528980191,11.3407394549557,0.579085059746871,0.303789860708478,0.58643757820772,0.743894993894994,0.14830658276179,0.789749702026222,0.726862026862027,0.908360499518992,0.532696731477472,0.441262653169952,0.58643757820772,0.919893757421964,0.789749702026222,0.0572820426675626,0.601797413069041,0.620024833881469,0.332387226336905,0.735822230162429,0.35933153880664,0.874760394823324,1.18676251329491,"quantor",3600,"timeout" "ssa7552-159-50-shuffled",1,5761,1363,7124,11906,28286,2,1,3,4,11220,682,11309,7165,1.74517050226776,0.630606416932639,2880.5,0.278263060641693,0.352343356290946,1.67125210555867,5.50843727072634,2.43066764490095,3.17869174620999,11.0168745414527,4.86133528980191,11.3407394549557,0.579085059746871,0.303789860708478,0.58643757820772,0.743894993894994,0.14830658276179,0.789749702026222,0.726862026862027,0.908360499518992,0.532696731477472,0.441262653169952,0.58643757820772,0.919893757421964,0.789749702026222,0.0572820426675626,0.601797413069041,0.620024833881469,0.332387226336905,0.735822230162429,0.35933153880664,0.874760394823324,1.18676251329491,"QuBE",1.08,"ok" "ssa7552-159-50-shuffled",1,5761,1363,7124,11906,28286,2,1,3,4,11220,682,11309,7165,1.74517050226776,0.630606416932639,2880.5,0.278263060641693,0.352343356290946,1.67125210555867,5.50843727072634,2.43066764490095,3.17869174620999,11.0168745414527,4.86133528980191,11.3407394549557,0.579085059746871,0.303789860708478,0.58643757820772,0.743894993894994,0.14830658276179,0.789749702026222,0.726862026862027,0.908360499518992,0.532696731477472,0.441262653169952,0.58643757820772,0.919893757421964,0.789749702026222,0.0572820426675626,0.601797413069041,0.620024833881469,0.332387226336905,0.735822230162429,0.35933153880664,0.874760394823324,1.18676251329491,"sKizzo",3600,"memout" "ssa7552-159-50-shuffled",1,5761,1363,7124,11906,28286,2,1,3,4,11220,682,11309,7165,1.74517050226776,0.630606416932639,2880.5,0.278263060641693,0.352343356290946,1.67125210555867,5.50843727072634,2.43066764490095,3.17869174620999,11.0168745414527,4.86133528980191,11.3407394549557,0.579085059746871,0.303789860708478,0.58643757820772,0.743894993894994,0.14830658276179,0.789749702026222,0.726862026862027,0.908360499518992,0.532696731477472,0.441262653169952,0.58643757820772,0.919893757421964,0.789749702026222,0.0572820426675626,0.601797413069041,0.620024833881469,0.332387226336905,0.735822230162429,0.35933153880664,0.874760394823324,1.18676251329491,"sSolve",3600,"timeout" "ssa7552-159-90-shuffled",1,4663,1363,6026,10259,24443,2,1,3,4,9573,682,9662,6067,1.70425967443221,0.678331221366605,2331.5,0.322935958670436,0.355395262696169,1.70245602389645,5.10564930300807,2.43066764490095,3.30235645536011,10.2112986060161,4.86133528980191,12.8717563800129,0.580288835249356,0.28417133739721,0.602722489132921,0.742949802594473,0.14916335965307,0.908667032364235,0.723279751833051,0.924378853763414,0.557143923642122,0.476074148584567,0.602722489132922,0.960114842469288,0.908667032364235,0.0664782142509016,0.591383175748124,0.616644191870545,0.330456650317717,0.621053341234964,0.34938934512529,0.862010287336317,1.00715023253693,"X2clsQ",95.17,"ok" "ssa7552-159-90-shuffled",1,4663,1363,6026,10259,24443,2,1,3,4,9573,682,9662,6067,1.70425967443221,0.678331221366605,2331.5,0.322935958670436,0.355395262696169,1.70245602389645,5.10564930300807,2.43066764490095,3.30235645536011,10.2112986060161,4.86133528980191,12.8717563800129,0.580288835249356,0.28417133739721,0.602722489132921,0.742949802594473,0.14916335965307,0.908667032364235,0.723279751833051,0.924378853763414,0.557143923642122,0.476074148584567,0.602722489132922,0.960114842469288,0.908667032364235,0.0664782142509016,0.591383175748124,0.616644191870545,0.330456650317717,0.621053341234964,0.34938934512529,0.862010287336317,1.00715023253693,"quantor",3600,"timeout" "ssa7552-159-90-shuffled",1,4663,1363,6026,10259,24443,2,1,3,4,9573,682,9662,6067,1.70425967443221,0.678331221366605,2331.5,0.322935958670436,0.355395262696169,1.70245602389645,5.10564930300807,2.43066764490095,3.30235645536011,10.2112986060161,4.86133528980191,12.8717563800129,0.580288835249356,0.28417133739721,0.602722489132921,0.742949802594473,0.14916335965307,0.908667032364235,0.723279751833051,0.924378853763414,0.557143923642122,0.476074148584567,0.602722489132922,0.960114842469288,0.908667032364235,0.0664782142509016,0.591383175748124,0.616644191870545,0.330456650317717,0.621053341234964,0.34938934512529,0.862010287336317,1.00715023253693,"QuBE",1.29,"ok" "ssa7552-159-90-shuffled",1,4663,1363,6026,10259,24443,2,1,3,4,9573,682,9662,6067,1.70425967443221,0.678331221366605,2331.5,0.322935958670436,0.355395262696169,1.70245602389645,5.10564930300807,2.43066764490095,3.30235645536011,10.2112986060161,4.86133528980191,12.8717563800129,0.580288835249356,0.28417133739721,0.602722489132921,0.742949802594473,0.14916335965307,0.908667032364235,0.723279751833051,0.924378853763414,0.557143923642122,0.476074148584567,0.602722489132922,0.960114842469288,0.908667032364235,0.0664782142509016,0.591383175748124,0.616644191870545,0.330456650317717,0.621053341234964,0.34938934512529,0.862010287336317,1.00715023253693,"sKizzo",3600,"memout" "ssa7552-159-90-shuffled",1,4663,1363,6026,10259,24443,2,1,3,4,9573,682,9662,6067,1.70425967443221,0.678331221366605,2331.5,0.322935958670436,0.355395262696169,1.70245602389645,5.10564930300807,2.43066764490095,3.30235645536011,10.2112986060161,4.86133528980191,12.8717563800129,0.580288835249356,0.28417133739721,0.602722489132921,0.742949802594473,0.14916335965307,0.908667032364235,0.723279751833051,0.924378853763414,0.557143923642122,0.476074148584567,0.602722489132922,0.960114842469288,0.908667032364235,0.0664782142509016,0.591383175748124,0.616644191870545,0.330456650317717,0.621053341234964,0.34938934512529,0.862010287336317,1.00715023253693,"sSolve",3600,"timeout" "ssa7552-160-50-shuffled",1,5903,1391,7294,12233,29059,2,1,3,4,11545,684,11598,7353,1.74429820976048,0.631161612033025,2951.5,0.276056568298864,0.355105043734162,1.67713188922402,5.55068296189792,2.42774982027318,3.18672881820675,11.1013659237958,4.85549964054637,11.2361511096053,0.579028872294298,0.304759282838363,0.584965788733714,0.741828123142755,0.149488970714753,0.777394106813996,0.727029597052181,0.907331568887722,0.530757926837405,0.437378577904417,0.584965788733715,0.916634648518255,0.777394106813996,0.0559143300907382,0.601079048475435,0.620419361160102,0.332741382730612,0.743963496473015,0.360090841594969,0.876602620585603,1.19913004501004,"X2clsQ",15.78,"ok" "ssa7552-160-50-shuffled",1,5903,1391,7294,12233,29059,2,1,3,4,11545,684,11598,7353,1.74429820976048,0.631161612033025,2951.5,0.276056568298864,0.355105043734162,1.67713188922402,5.55068296189792,2.42774982027318,3.18672881820675,11.1013659237958,4.85549964054637,11.2361511096053,0.579028872294298,0.304759282838363,0.584965788733714,0.741828123142755,0.149488970714753,0.777394106813996,0.727029597052181,0.907331568887722,0.530757926837405,0.437378577904417,0.584965788733715,0.916634648518255,0.777394106813996,0.0559143300907382,0.601079048475435,0.620419361160102,0.332741382730612,0.743963496473015,0.360090841594969,0.876602620585603,1.19913004501004,"quantor",3600,"memout" "ssa7552-160-50-shuffled",1,5903,1391,7294,12233,29059,2,1,3,4,11545,684,11598,7353,1.74429820976048,0.631161612033025,2951.5,0.276056568298864,0.355105043734162,1.67713188922402,5.55068296189792,2.42774982027318,3.18672881820675,11.1013659237958,4.85549964054637,11.2361511096053,0.579028872294298,0.304759282838363,0.584965788733714,0.741828123142755,0.149488970714753,0.777394106813996,0.727029597052181,0.907331568887722,0.530757926837405,0.437378577904417,0.584965788733715,0.916634648518255,0.777394106813996,0.0559143300907382,0.601079048475435,0.620419361160102,0.332741382730612,0.743963496473015,0.360090841594969,0.876602620585603,1.19913004501004,"QuBE",1.62,"ok" "ssa7552-160-50-shuffled",1,5903,1391,7294,12233,29059,2,1,3,4,11545,684,11598,7353,1.74429820976048,0.631161612033025,2951.5,0.276056568298864,0.355105043734162,1.67713188922402,5.55068296189792,2.42774982027318,3.18672881820675,11.1013659237958,4.85549964054637,11.2361511096053,0.579028872294298,0.304759282838363,0.584965788733714,0.741828123142755,0.149488970714753,0.777394106813996,0.727029597052181,0.907331568887722,0.530757926837405,0.437378577904417,0.584965788733715,0.916634648518255,0.777394106813996,0.0559143300907382,0.601079048475435,0.620419361160102,0.332741382730612,0.743963496473015,0.360090841594969,0.876602620585603,1.19913004501004,"sKizzo",3600,"memout" "ssa7552-160-50-shuffled",1,5903,1391,7294,12233,29059,2,1,3,4,11545,684,11598,7353,1.74429820976048,0.631161612033025,2951.5,0.276056568298864,0.355105043734162,1.67713188922402,5.55068296189792,2.42774982027318,3.18672881820675,11.1013659237958,4.85549964054637,11.2361511096053,0.579028872294298,0.304759282838363,0.584965788733714,0.741828123142755,0.149488970714753,0.777394106813996,0.727029597052181,0.907331568887722,0.530757926837405,0.437378577904417,0.584965788733715,0.916634648518255,0.777394106813996,0.0559143300907382,0.601079048475435,0.620419361160102,0.332741382730612,0.743963496473015,0.360090841594969,0.876602620585603,1.19913004501004,"sSolve",3600,"timeout" "stmt1_145_146",1,90,12,102,248,591,1,1,2,1,174,73,191,192,2.11693548387097,0.266129032258065,90,0.133064516129032,0.133064516129032,2.84313725490196,5.5,2.75,5.36274509803922,5.5,2.75,17.5555555555556,0.509306260575296,0.434856175972927,0.510476190476191,0.890365448504983,0.0558375634517766,1,0.963455149501661,1.00676818950931,0.513931190073322,0.5,0.510476190476191,1.00908084163898,1,0.294354838709677,0.774193548387097,0.509856630824373,0.521983273596177,0.251344086021505,0.48952380952381,1.06496039000609,0.492970123022847,"X2clsQ",0,"ok" "stmt1_145_146",1,90,12,102,248,591,1,1,2,1,174,73,191,192,2.11693548387097,0.266129032258065,90,0.133064516129032,0.133064516129032,2.84313725490196,5.5,2.75,5.36274509803922,5.5,2.75,17.5555555555556,0.509306260575296,0.434856175972927,0.510476190476191,0.890365448504983,0.0558375634517766,1,0.963455149501661,1.00676818950931,0.513931190073322,0.5,0.510476190476191,1.00908084163898,1,0.294354838709677,0.774193548387097,0.509856630824373,0.521983273596177,0.251344086021505,0.48952380952381,1.06496039000609,0.492970123022847,"quantor",0,"ok" "stmt1_145_146",1,90,12,102,248,591,1,1,2,1,174,73,191,192,2.11693548387097,0.266129032258065,90,0.133064516129032,0.133064516129032,2.84313725490196,5.5,2.75,5.36274509803922,5.5,2.75,17.5555555555556,0.509306260575296,0.434856175972927,0.510476190476191,0.890365448504983,0.0558375634517766,1,0.963455149501661,1.00676818950931,0.513931190073322,0.5,0.510476190476191,1.00908084163898,1,0.294354838709677,0.774193548387097,0.509856630824373,0.521983273596177,0.251344086021505,0.48952380952381,1.06496039000609,0.492970123022847,"QuBE",0.07,"ok" "stmt1_145_146",1,90,12,102,248,591,1,1,2,1,174,73,191,192,2.11693548387097,0.266129032258065,90,0.133064516129032,0.133064516129032,2.84313725490196,5.5,2.75,5.36274509803922,5.5,2.75,17.5555555555556,0.509306260575296,0.434856175972927,0.510476190476191,0.890365448504983,0.0558375634517766,1,0.963455149501661,1.00676818950931,0.513931190073322,0.5,0.510476190476191,1.00908084163898,1,0.294354838709677,0.774193548387097,0.509856630824373,0.521983273596177,0.251344086021505,0.48952380952381,1.06496039000609,0.492970123022847,"sKizzo",0,"ok" "stmt1_145_146",1,90,12,102,248,591,1,1,2,1,174,73,191,192,2.11693548387097,0.266129032258065,90,0.133064516129032,0.133064516129032,2.84313725490196,5.5,2.75,5.36274509803922,5.5,2.75,17.5555555555556,0.509306260575296,0.434856175972927,0.510476190476191,0.890365448504983,0.0558375634517766,1,0.963455149501661,1.00676818950931,0.513931190073322,0.5,0.510476190476191,1.00908084163898,1,0.294354838709677,0.774193548387097,0.509856630824373,0.521983273596177,0.251344086021505,0.48952380952381,1.06496039000609,0.492970123022847,"sSolve",0.77,"ok" "stmt1_20_21",1,67,9,76,186,445,1,1,2,1,124,61,143,142,2.14516129032258,0.247311827956989,67,0.123655913978495,0.123655913978495,2.81578947368421,5.11111111111111,2.55555555555556,5.32894736842105,5.11111111111111,2.55555555555556,19.3432835820896,0.519101123595506,0.429213483146067,0.521303258145363,0.9004329004329,0.051685393258427,1,0.926406926406926,1.01707194365252,0.530202917994298,0.5,0.521303258145363,1.02138657362538,1,0.327956989247312,0.763440860215054,0.520142180094787,0.513404541274669,0.230121116377041,0.478696741854637,1.06990971070573,0.442419640597317,"X2clsQ",0,"ok" "stmt1_20_21",1,67,9,76,186,445,1,1,2,1,124,61,143,142,2.14516129032258,0.247311827956989,67,0.123655913978495,0.123655913978495,2.81578947368421,5.11111111111111,2.55555555555556,5.32894736842105,5.11111111111111,2.55555555555556,19.3432835820896,0.519101123595506,0.429213483146067,0.521303258145363,0.9004329004329,0.051685393258427,1,0.926406926406926,1.01707194365252,0.530202917994298,0.5,0.521303258145363,1.02138657362538,1,0.327956989247312,0.763440860215054,0.520142180094787,0.513404541274669,0.230121116377041,0.478696741854637,1.06990971070573,0.442419640597317,"quantor",0,"ok" "stmt1_20_21",1,67,9,76,186,445,1,1,2,1,124,61,143,142,2.14516129032258,0.247311827956989,67,0.123655913978495,0.123655913978495,2.81578947368421,5.11111111111111,2.55555555555556,5.32894736842105,5.11111111111111,2.55555555555556,19.3432835820896,0.519101123595506,0.429213483146067,0.521303258145363,0.9004329004329,0.051685393258427,1,0.926406926406926,1.01707194365252,0.530202917994298,0.5,0.521303258145363,1.02138657362538,1,0.327956989247312,0.763440860215054,0.520142180094787,0.513404541274669,0.230121116377041,0.478696741854637,1.06990971070573,0.442419640597317,"QuBE",0.06,"ok" "stmt1_20_21",1,67,9,76,186,445,1,1,2,1,124,61,143,142,2.14516129032258,0.247311827956989,67,0.123655913978495,0.123655913978495,2.81578947368421,5.11111111111111,2.55555555555556,5.32894736842105,5.11111111111111,2.55555555555556,19.3432835820896,0.519101123595506,0.429213483146067,0.521303258145363,0.9004329004329,0.051685393258427,1,0.926406926406926,1.01707194365252,0.530202917994298,0.5,0.521303258145363,1.02138657362538,1,0.327956989247312,0.763440860215054,0.520142180094787,0.513404541274669,0.230121116377041,0.478696741854637,1.06990971070573,0.442419640597317,"sKizzo",0,"ok" "stmt1_20_21",1,67,9,76,186,445,1,1,2,1,124,61,143,142,2.14516129032258,0.247311827956989,67,0.123655913978495,0.123655913978495,2.81578947368421,5.11111111111111,2.55555555555556,5.32894736842105,5.11111111111111,2.55555555555556,19.3432835820896,0.519101123595506,0.429213483146067,0.521303258145363,0.9004329004329,0.051685393258427,1,0.926406926406926,1.01707194365252,0.530202917994298,0.5,0.521303258145363,1.02138657362538,1,0.327956989247312,0.763440860215054,0.520142180094787,0.513404541274669,0.230121116377041,0.478696741854637,1.06990971070573,0.442419640597317,"sSolve",0.77,"ok" "stmt1_30_31",1,36,4,40,98,233,1,1,2,1,62,35,71,74,2.13265306122449,0.244897959183673,36,0.122448979591837,0.122448979591837,2.85,6,3,5.4,6,3,19.5,0.510729613733906,0.437768240343348,0.511961722488038,0.899159663865546,0.0515021459227468,1,0.957983193277311,0.996661897949451,0.510252742012399,0.5,0.511961722488038,0.99906629318394,1,0.357142857142857,0.755102040816326,0.51131221719457,0.512820512820513,0.271493212669683,0.488038277511962,1.04938271604938,0.530973451327434,"X2clsQ",0,"ok" "stmt1_30_31",1,36,4,40,98,233,1,1,2,1,62,35,71,74,2.13265306122449,0.244897959183673,36,0.122448979591837,0.122448979591837,2.85,6,3,5.4,6,3,19.5,0.510729613733906,0.437768240343348,0.511961722488038,0.899159663865546,0.0515021459227468,1,0.957983193277311,0.996661897949451,0.510252742012399,0.5,0.511961722488038,0.99906629318394,1,0.357142857142857,0.755102040816326,0.51131221719457,0.512820512820513,0.271493212669683,0.488038277511962,1.04938271604938,0.530973451327434,"quantor",0,"ok" "stmt1_30_31",1,36,4,40,98,233,1,1,2,1,62,35,71,74,2.13265306122449,0.244897959183673,36,0.122448979591837,0.122448979591837,2.85,6,3,5.4,6,3,19.5,0.510729613733906,0.437768240343348,0.511961722488038,0.899159663865546,0.0515021459227468,1,0.957983193277311,0.996661897949451,0.510252742012399,0.5,0.511961722488038,0.99906629318394,1,0.357142857142857,0.755102040816326,0.51131221719457,0.512820512820513,0.271493212669683,0.488038277511962,1.04938271604938,0.530973451327434,"QuBE",0.06,"ok" "stmt1_30_31",1,36,4,40,98,233,1,1,2,1,62,35,71,74,2.13265306122449,0.244897959183673,36,0.122448979591837,0.122448979591837,2.85,6,3,5.4,6,3,19.5,0.510729613733906,0.437768240343348,0.511961722488038,0.899159663865546,0.0515021459227468,1,0.957983193277311,0.996661897949451,0.510252742012399,0.5,0.511961722488038,0.99906629318394,1,0.357142857142857,0.755102040816326,0.51131221719457,0.512820512820513,0.271493212669683,0.488038277511962,1.04938271604938,0.530973451327434,"sKizzo",0,"ok" "stmt1_30_31",1,36,4,40,98,233,1,1,2,1,62,35,71,74,2.13265306122449,0.244897959183673,36,0.122448979591837,0.122448979591837,2.85,6,3,5.4,6,3,19.5,0.510729613733906,0.437768240343348,0.511961722488038,0.899159663865546,0.0515021459227468,1,0.957983193277311,0.996661897949451,0.510252742012399,0.5,0.511961722488038,0.99906629318394,1,0.357142857142857,0.755102040816326,0.51131221719457,0.512820512820513,0.271493212669683,0.488038277511962,1.04938271604938,0.530973451327434,"sSolve",0.76,"ok" "stmt1_392_393",1,464,46,510,1580,3907,1,1,2,1,1186,393,1269,1182,2.17151898734177,0.30126582278481,464,0.150632911392405,0.150632911392405,3.54117647058824,10.3478260869565,5.17391304347826,6.6156862745098,10.3478260869565,5.17391304347826,33.4396551724138,0.537752751471717,0.401330944458664,0.542990381812883,0.886720609233698,0.0609163040696186,1,0.859590671108996,0.965227090191787,0.524109026239376,0.5,0.542990381812883,0.974628255838763,1,0.24873417721519,0.748101265822785,0.540201689833742,0.469732427326811,0.359593302285897,0.457009618187117,1.02160537988267,0.665664897117536,"X2clsQ",3600,"timeout" "stmt1_392_393",1,464,46,510,1580,3907,1,1,2,1,1186,393,1269,1182,2.17151898734177,0.30126582278481,464,0.150632911392405,0.150632911392405,3.54117647058824,10.3478260869565,5.17391304347826,6.6156862745098,10.3478260869565,5.17391304347826,33.4396551724138,0.537752751471717,0.401330944458664,0.542990381812883,0.886720609233698,0.0609163040696186,1,0.859590671108996,0.965227090191787,0.524109026239376,0.5,0.542990381812883,0.974628255838763,1,0.24873417721519,0.748101265822785,0.540201689833742,0.469732427326811,0.359593302285897,0.457009618187117,1.02160537988267,0.665664897117536,"quantor",3600,"memout" "stmt1_392_393",1,464,46,510,1580,3907,1,1,2,1,1186,393,1269,1182,2.17151898734177,0.30126582278481,464,0.150632911392405,0.150632911392405,3.54117647058824,10.3478260869565,5.17391304347826,6.6156862745098,10.3478260869565,5.17391304347826,33.4396551724138,0.537752751471717,0.401330944458664,0.542990381812883,0.886720609233698,0.0609163040696186,1,0.859590671108996,0.965227090191787,0.524109026239376,0.5,0.542990381812883,0.974628255838763,1,0.24873417721519,0.748101265822785,0.540201689833742,0.469732427326811,0.359593302285897,0.457009618187117,1.02160537988267,0.665664897117536,"QuBE",3600,"timeout" "stmt1_392_393",1,464,46,510,1580,3907,1,1,2,1,1186,393,1269,1182,2.17151898734177,0.30126582278481,464,0.150632911392405,0.150632911392405,3.54117647058824,10.3478260869565,5.17391304347826,6.6156862745098,10.3478260869565,5.17391304347826,33.4396551724138,0.537752751471717,0.401330944458664,0.542990381812883,0.886720609233698,0.0609163040696186,1,0.859590671108996,0.965227090191787,0.524109026239376,0.5,0.542990381812883,0.974628255838763,1,0.24873417721519,0.748101265822785,0.540201689833742,0.469732427326811,0.359593302285897,0.457009618187117,1.02160537988267,0.665664897117536,"sKizzo",262.59,"ok" "stmt1_392_393",1,464,46,510,1580,3907,1,1,2,1,1186,393,1269,1182,2.17151898734177,0.30126582278481,464,0.150632911392405,0.150632911392405,3.54117647058824,10.3478260869565,5.17391304347826,6.6156862745098,10.3478260869565,5.17391304347826,33.4396551724138,0.537752751471717,0.401330944458664,0.542990381812883,0.886720609233698,0.0609163040696186,1,0.859590671108996,0.965227090191787,0.524109026239376,0.5,0.542990381812883,0.974628255838763,1,0.24873417721519,0.748101265822785,0.540201689833742,0.469732427326811,0.359593302285897,0.457009618187117,1.02160537988267,0.665664897117536,"sSolve",47.99,"ok" "stmt1_629_630",1,1317,137,1454,4786,12001,1,1,2,1,3662,1123,3905,3456,2.18992895946511,0.317592979523611,1317,0.158796489761805,0.158796489761805,3.74690508940853,11.0948905109489,5.54744525547445,6.97111416781293,11.0948905109489,5.54744525547445,39.0098709187547,0.546037830180818,0.390634113823848,0.552714435645454,0.884022585075538,0.0633280559953337,1,0.831374942774302,0.964192872563075,0.532923319412069,0.5,0.552714435645454,0.975982413591368,1,0.234642707898036,0.722106142916841,0.549150431456276,0.460427533792832,0.358775260273101,0.447285564354546,1.02124425954326,0.653327830994642,"X2clsQ",3600,"timeout" "stmt1_629_630",1,1317,137,1454,4786,12001,1,1,2,1,3662,1123,3905,3456,2.18992895946511,0.317592979523611,1317,0.158796489761805,0.158796489761805,3.74690508940853,11.0948905109489,5.54744525547445,6.97111416781293,11.0948905109489,5.54744525547445,39.0098709187547,0.546037830180818,0.390634113823848,0.552714435645454,0.884022585075538,0.0633280559953337,1,0.831374942774302,0.964192872563075,0.532923319412069,0.5,0.552714435645454,0.975982413591368,1,0.234642707898036,0.722106142916841,0.549150431456276,0.460427533792832,0.358775260273101,0.447285564354546,1.02124425954326,0.653327830994642,"quantor",3600,"memout" "stmt1_629_630",1,1317,137,1454,4786,12001,1,1,2,1,3662,1123,3905,3456,2.18992895946511,0.317592979523611,1317,0.158796489761805,0.158796489761805,3.74690508940853,11.0948905109489,5.54744525547445,6.97111416781293,11.0948905109489,5.54744525547445,39.0098709187547,0.546037830180818,0.390634113823848,0.552714435645454,0.884022585075538,0.0633280559953337,1,0.831374942774302,0.964192872563075,0.532923319412069,0.5,0.552714435645454,0.975982413591368,1,0.234642707898036,0.722106142916841,0.549150431456276,0.460427533792832,0.358775260273101,0.447285564354546,1.02124425954326,0.653327830994642,"QuBE",3600,"timeout" "stmt1_629_630",1,1317,137,1454,4786,12001,1,1,2,1,3662,1123,3905,3456,2.18992895946511,0.317592979523611,1317,0.158796489761805,0.158796489761805,3.74690508940853,11.0948905109489,5.54744525547445,6.97111416781293,11.0948905109489,5.54744525547445,39.0098709187547,0.546037830180818,0.390634113823848,0.552714435645454,0.884022585075538,0.0633280559953337,1,0.831374942774302,0.964192872563075,0.532923319412069,0.5,0.552714435645454,0.975982413591368,1,0.234642707898036,0.722106142916841,0.549150431456276,0.460427533792832,0.358775260273101,0.447285564354546,1.02124425954326,0.653327830994642,"sKizzo",3600,"memout" "stmt1_629_630",1,1317,137,1454,4786,12001,1,1,2,1,3662,1123,3905,3456,2.18992895946511,0.317592979523611,1317,0.158796489761805,0.158796489761805,3.74690508940853,11.0948905109489,5.54744525547445,6.97111416781293,11.0948905109489,5.54744525547445,39.0098709187547,0.546037830180818,0.390634113823848,0.552714435645454,0.884022585075538,0.0633280559953337,1,0.831374942774302,0.964192872563075,0.532923319412069,0.5,0.552714435645454,0.975982413591368,1,0.234642707898036,0.722106142916841,0.549150431456276,0.460427533792832,0.358775260273101,0.447285564354546,1.02124425954326,0.653327830994642,"sSolve",3600,"timeout" "stmt1_787_788",1,1678,156,1834,5836,14467,1,1,2,1,4370,1465,4727,4278,2.17940370116518,0.299520219328307,1678,0.149760109664154,0.149760109664154,3.60523446019629,11.2051282051282,5.6025641025641,6.73391494002181,11.2051282051282,5.6025641025641,36.2145411203814,0.542959839635032,0.396626805833967,0.548863904395,0.888733290897517,0.0604133545310016,1,0.841756842775302,0.960908114171803,0.527407779309172,0.5,0.548863904395,0.971356886475594,1,0.251028101439342,0.733036326250857,0.545722062826455,0.461373452840262,0.377955659681548,0.451136095605,1.01561932703768,0.692579035056792,"X2clsQ",3600,"memout" "stmt1_787_788",1,1678,156,1834,5836,14467,1,1,2,1,4370,1465,4727,4278,2.17940370116518,0.299520219328307,1678,0.149760109664154,0.149760109664154,3.60523446019629,11.2051282051282,5.6025641025641,6.73391494002181,11.2051282051282,5.6025641025641,36.2145411203814,0.542959839635032,0.396626805833967,0.548863904395,0.888733290897517,0.0604133545310016,1,0.841756842775302,0.960908114171803,0.527407779309172,0.5,0.548863904395,0.971356886475594,1,0.251028101439342,0.733036326250857,0.545722062826455,0.461373452840262,0.377955659681548,0.451136095605,1.01561932703768,0.692579035056792,"quantor",3600,"memout" "stmt1_787_788",1,1678,156,1834,5836,14467,1,1,2,1,4370,1465,4727,4278,2.17940370116518,0.299520219328307,1678,0.149760109664154,0.149760109664154,3.60523446019629,11.2051282051282,5.6025641025641,6.73391494002181,11.2051282051282,5.6025641025641,36.2145411203814,0.542959839635032,0.396626805833967,0.548863904395,0.888733290897517,0.0604133545310016,1,0.841756842775302,0.960908114171803,0.527407779309172,0.5,0.548863904395,0.971356886475594,1,0.251028101439342,0.733036326250857,0.545722062826455,0.461373452840262,0.377955659681548,0.451136095605,1.01561932703768,0.692579035056792,"QuBE",3600,"timeout" "stmt1_787_788",1,1678,156,1834,5836,14467,1,1,2,1,4370,1465,4727,4278,2.17940370116518,0.299520219328307,1678,0.149760109664154,0.149760109664154,3.60523446019629,11.2051282051282,5.6025641025641,6.73391494002181,11.2051282051282,5.6025641025641,36.2145411203814,0.542959839635032,0.396626805833967,0.548863904395,0.888733290897517,0.0604133545310016,1,0.841756842775302,0.960908114171803,0.527407779309172,0.5,0.548863904395,0.971356886475594,1,0.251028101439342,0.733036326250857,0.545722062826455,0.461373452840262,0.377955659681548,0.451136095605,1.01561932703768,0.692579035056792,"sKizzo",3600,"memout" "stmt1_787_788",1,1678,156,1834,5836,14467,1,1,2,1,4370,1465,4727,4278,2.17940370116518,0.299520219328307,1678,0.149760109664154,0.149760109664154,3.60523446019629,11.2051282051282,5.6025641025641,6.73391494002181,11.2051282051282,5.6025641025641,36.2145411203814,0.542959839635032,0.396626805833967,0.548863904395,0.888733290897517,0.0604133545310016,1,0.841756842775302,0.960908114171803,0.527407779309172,0.5,0.548863904395,0.971356886475594,1,0.251028101439342,0.733036326250857,0.545722062826455,0.461373452840262,0.377955659681548,0.451136095605,1.01561932703768,0.692579035056792,"sSolve",3600,"timeout" "stmt1_811_812",1,256,34,290,990,2529,1,1,2,1,782,207,813,716,2.20909090909091,0.345454545454545,256,0.172727272727273,0.172727272727273,3.9448275862069,10.0588235294118,5.02941176470588,7.3,10.0588235294118,5.02941176470588,44.7265625,0.547647291419533,0.384737050217477,0.555098308184728,0.876534296028881,0.0676156583629893,1,0.825992779783394,0.979620774021352,0.543785834321866,0.5,0.555098308184728,0.992949007220217,1,0.209090909090909,0.723232323232323,0.551102629346904,0.46744129028838,0.309272563987427,0.444901691815272,1.04130993150685,0.561188692483534,"X2clsQ",20.49,"ok" "stmt1_811_812",1,256,34,290,990,2529,1,1,2,1,782,207,813,716,2.20909090909091,0.345454545454545,256,0.172727272727273,0.172727272727273,3.9448275862069,10.0588235294118,5.02941176470588,7.3,10.0588235294118,5.02941176470588,44.7265625,0.547647291419533,0.384737050217477,0.555098308184728,0.876534296028881,0.0676156583629893,1,0.825992779783394,0.979620774021352,0.543785834321866,0.5,0.555098308184728,0.992949007220217,1,0.209090909090909,0.723232323232323,0.551102629346904,0.46744129028838,0.309272563987427,0.444901691815272,1.04130993150685,0.561188692483534,"quantor",388.53,"ok" "stmt1_811_812",1,256,34,290,990,2529,1,1,2,1,782,207,813,716,2.20909090909091,0.345454545454545,256,0.172727272727273,0.172727272727273,3.9448275862069,10.0588235294118,5.02941176470588,7.3,10.0588235294118,5.02941176470588,44.7265625,0.547647291419533,0.384737050217477,0.555098308184728,0.876534296028881,0.0676156583629893,1,0.825992779783394,0.979620774021352,0.543785834321866,0.5,0.555098308184728,0.992949007220217,1,0.209090909090909,0.723232323232323,0.551102629346904,0.46744129028838,0.309272563987427,0.444901691815272,1.04130993150685,0.561188692483534,"QuBE",69.23,"ok" "stmt1_811_812",1,256,34,290,990,2529,1,1,2,1,782,207,813,716,2.20909090909091,0.345454545454545,256,0.172727272727273,0.172727272727273,3.9448275862069,10.0588235294118,5.02941176470588,7.3,10.0588235294118,5.02941176470588,44.7265625,0.547647291419533,0.384737050217477,0.555098308184728,0.876534296028881,0.0676156583629893,1,0.825992779783394,0.979620774021352,0.543785834321866,0.5,0.555098308184728,0.992949007220217,1,0.209090909090909,0.723232323232323,0.551102629346904,0.46744129028838,0.309272563987427,0.444901691815272,1.04130993150685,0.561188692483534,"sKizzo",0.4,"ok" "stmt1_811_812",1,256,34,290,990,2529,1,1,2,1,782,207,813,716,2.20909090909091,0.345454545454545,256,0.172727272727273,0.172727272727273,3.9448275862069,10.0588235294118,5.02941176470588,7.3,10.0588235294118,5.02941176470588,44.7265625,0.547647291419533,0.384737050217477,0.555098308184728,0.876534296028881,0.0676156583629893,1,0.825992779783394,0.979620774021352,0.543785834321866,0.5,0.555098308184728,0.992949007220217,1,0.209090909090909,0.723232323232323,0.551102629346904,0.46744129028838,0.309272563987427,0.444901691815272,1.04130993150685,0.561188692483534,"sSolve",0.77,"ok" "stmt1_919_920",1,623,63,686,2263,5670,1,1,2,1,1725,537,1843,1597,2.18559434379143,0.319929297392841,623,0.159964648696421,0.159964648696421,3.74927113702624,11.4920634920635,5.74603174603175,6.97084548104956,11.4920634920635,5.74603174603175,38.8443017656501,0.546384479717813,0.389770723104056,0.553174282248281,0.883150419625565,0.0638447971781305,1,0.830213040671401,0.960521570259398,0.531335830212235,0.5,0.553174282248281,0.972457765430397,1,0.237295625276182,0.705700397702165,0.549547852298417,0.458455754722573,0.37130536716068,0.446825717751719,1.01776794063882,0.675656115491563,"X2clsQ",3600,"timeout" "stmt1_919_920",1,623,63,686,2263,5670,1,1,2,1,1725,537,1843,1597,2.18559434379143,0.319929297392841,623,0.159964648696421,0.159964648696421,3.74927113702624,11.4920634920635,5.74603174603175,6.97084548104956,11.4920634920635,5.74603174603175,38.8443017656501,0.546384479717813,0.389770723104056,0.553174282248281,0.883150419625565,0.0638447971781305,1,0.830213040671401,0.960521570259398,0.531335830212235,0.5,0.553174282248281,0.972457765430397,1,0.237295625276182,0.705700397702165,0.549547852298417,0.458455754722573,0.37130536716068,0.446825717751719,1.01776794063882,0.675656115491563,"quantor",3600,"memout" "stmt1_919_920",1,623,63,686,2263,5670,1,1,2,1,1725,537,1843,1597,2.18559434379143,0.319929297392841,623,0.159964648696421,0.159964648696421,3.74927113702624,11.4920634920635,5.74603174603175,6.97084548104956,11.4920634920635,5.74603174603175,38.8443017656501,0.546384479717813,0.389770723104056,0.553174282248281,0.883150419625565,0.0638447971781305,1,0.830213040671401,0.960521570259398,0.531335830212235,0.5,0.553174282248281,0.972457765430397,1,0.237295625276182,0.705700397702165,0.549547852298417,0.458455754722573,0.37130536716068,0.446825717751719,1.01776794063882,0.675656115491563,"QuBE",3600,"timeout" "stmt1_919_920",1,623,63,686,2263,5670,1,1,2,1,1725,537,1843,1597,2.18559434379143,0.319929297392841,623,0.159964648696421,0.159964648696421,3.74927113702624,11.4920634920635,5.74603174603175,6.97084548104956,11.4920634920635,5.74603174603175,38.8443017656501,0.546384479717813,0.389770723104056,0.553174282248281,0.883150419625565,0.0638447971781305,1,0.830213040671401,0.960521570259398,0.531335830212235,0.5,0.553174282248281,0.972457765430397,1,0.237295625276182,0.705700397702165,0.549547852298417,0.458455754722573,0.37130536716068,0.446825717751719,1.01776794063882,0.675656115491563,"sKizzo",3600,"memout" "stmt1_919_920",1,623,63,686,2263,5670,1,1,2,1,1725,537,1843,1597,2.18559434379143,0.319929297392841,623,0.159964648696421,0.159964648696421,3.74927113702624,11.4920634920635,5.74603174603175,6.97084548104956,11.4920634920635,5.74603174603175,38.8443017656501,0.546384479717813,0.389770723104056,0.553174282248281,0.883150419625565,0.0638447971781305,1,0.830213040671401,0.960521570259398,0.531335830212235,0.5,0.553174282248281,0.972457765430397,1,0.237295625276182,0.705700397702165,0.549547852298417,0.458455754722573,0.37130536716068,0.446825717751719,1.01776794063882,0.675656115491563,"sSolve",589.75,"ok" "stmt11_643_645",1,536,49,585,1892,4731,1,1,2,1,1441,450,1538,1360,2.25211416490486,0.248414376321353,536,0.124207188160677,0.124207188160677,3.69401709401709,9.59183673469388,4.79591836734694,6.98632478632479,9.59183673469388,4.79591836734694,39.5149253731343,0.543225533713803,0.40710209258085,0.547993428772589,0.908560311284047,0.0496723737053477,1,0.840856031128405,0.982991273814819,0.538672758591317,0.5,0.547993428772588,0.991618996457402,1,0.23784355179704,0.718816067653277,0.54548487544484,0.467542458968503,0.31201203791125,0.452006571227411,1.02866204821257,0.571990263995506,"X2clsQ",3600,"timeout" "stmt11_643_645",1,536,49,585,1892,4731,1,1,2,1,1441,450,1538,1360,2.25211416490486,0.248414376321353,536,0.124207188160677,0.124207188160677,3.69401709401709,9.59183673469388,4.79591836734694,6.98632478632479,9.59183673469388,4.79591836734694,39.5149253731343,0.543225533713803,0.40710209258085,0.547993428772589,0.908560311284047,0.0496723737053477,1,0.840856031128405,0.982991273814819,0.538672758591317,0.5,0.547993428772588,0.991618996457402,1,0.23784355179704,0.718816067653277,0.54548487544484,0.467542458968503,0.31201203791125,0.452006571227411,1.02866204821257,0.571990263995506,"quantor",3600,"memout" "stmt11_643_645",1,536,49,585,1892,4731,1,1,2,1,1441,450,1538,1360,2.25211416490486,0.248414376321353,536,0.124207188160677,0.124207188160677,3.69401709401709,9.59183673469388,4.79591836734694,6.98632478632479,9.59183673469388,4.79591836734694,39.5149253731343,0.543225533713803,0.40710209258085,0.547993428772589,0.908560311284047,0.0496723737053477,1,0.840856031128405,0.982991273814819,0.538672758591317,0.5,0.547993428772588,0.991618996457402,1,0.23784355179704,0.718816067653277,0.54548487544484,0.467542458968503,0.31201203791125,0.452006571227411,1.02866204821257,0.571990263995506,"QuBE",3600,"timeout" "stmt11_643_645",1,536,49,585,1892,4731,1,1,2,1,1441,450,1538,1360,2.25211416490486,0.248414376321353,536,0.124207188160677,0.124207188160677,3.69401709401709,9.59183673469388,4.79591836734694,6.98632478632479,9.59183673469388,4.79591836734694,39.5149253731343,0.543225533713803,0.40710209258085,0.547993428772589,0.908560311284047,0.0496723737053477,1,0.840856031128405,0.982991273814819,0.538672758591317,0.5,0.547993428772588,0.991618996457402,1,0.23784355179704,0.718816067653277,0.54548487544484,0.467542458968503,0.31201203791125,0.452006571227411,1.02866204821257,0.571990263995506,"sKizzo",3600,"memout" "stmt11_643_645",1,536,49,585,1892,4731,1,1,2,1,1441,450,1538,1360,2.25211416490486,0.248414376321353,536,0.124207188160677,0.124207188160677,3.69401709401709,9.59183673469388,4.79591836734694,6.98632478632479,9.59183673469388,4.79591836734694,39.5149253731343,0.543225533713803,0.40710209258085,0.547993428772589,0.908560311284047,0.0496723737053477,1,0.840856031128405,0.982991273814819,0.538672758591317,0.5,0.547993428772588,0.991618996457402,1,0.23784355179704,0.718816067653277,0.54548487544484,0.467542458968503,0.31201203791125,0.452006571227411,1.02866204821257,0.571990263995506,"sSolve",18.43,"ok" "stmt124_966_965",1,640,63,703,2310,5781,1,1,2,1,1758,551,1879,1634,2.18917748917749,0.313419913419913,640,0.156709956709957,0.156709956709957,3.73399715504979,11.4920634920635,5.74603174603175,6.95305832147937,11.4920634920635,5.74603174603175,38.44375,0.545926310326933,0.391454765611486,0.552501483092743,0.885297845373891,0.062618924061581,1,0.831749049429658,0.960871551202214,0.530882957100848,0.5,0.552501483092743,0.972444352027883,1,0.238528138528139,0.707359307359307,0.548994279387341,0.45871268914929,0.372712706907208,0.447498516907257,1.01708840527823,0.67890089369081,"X2clsQ",3600,"timeout" "stmt124_966_965",1,640,63,703,2310,5781,1,1,2,1,1758,551,1879,1634,2.18917748917749,0.313419913419913,640,0.156709956709957,0.156709956709957,3.73399715504979,11.4920634920635,5.74603174603175,6.95305832147937,11.4920634920635,5.74603174603175,38.44375,0.545926310326933,0.391454765611486,0.552501483092743,0.885297845373891,0.062618924061581,1,0.831749049429658,0.960871551202214,0.530882957100848,0.5,0.552501483092743,0.972444352027883,1,0.238528138528139,0.707359307359307,0.548994279387341,0.45871268914929,0.372712706907208,0.447498516907257,1.01708840527823,0.67890089369081,"quantor",3600,"memout" "stmt124_966_965",1,640,63,703,2310,5781,1,1,2,1,1758,551,1879,1634,2.18917748917749,0.313419913419913,640,0.156709956709957,0.156709956709957,3.73399715504979,11.4920634920635,5.74603174603175,6.95305832147937,11.4920634920635,5.74603174603175,38.44375,0.545926310326933,0.391454765611486,0.552501483092743,0.885297845373891,0.062618924061581,1,0.831749049429658,0.960871551202214,0.530882957100848,0.5,0.552501483092743,0.972444352027883,1,0.238528138528139,0.707359307359307,0.548994279387341,0.45871268914929,0.372712706907208,0.447498516907257,1.01708840527823,0.67890089369081,"QuBE",3600,"timeout" "stmt124_966_965",1,640,63,703,2310,5781,1,1,2,1,1758,551,1879,1634,2.18917748917749,0.313419913419913,640,0.156709956709957,0.156709956709957,3.73399715504979,11.4920634920635,5.74603174603175,6.95305832147937,11.4920634920635,5.74603174603175,38.44375,0.545926310326933,0.391454765611486,0.552501483092743,0.885297845373891,0.062618924061581,1,0.831749049429658,0.960871551202214,0.530882957100848,0.5,0.552501483092743,0.972444352027883,1,0.238528138528139,0.707359307359307,0.548994279387341,0.45871268914929,0.372712706907208,0.447498516907257,1.01708840527823,0.67890089369081,"sKizzo",3600,"memout" "stmt124_966_965",1,640,63,703,2310,5781,1,1,2,1,1758,551,1879,1634,2.18917748917749,0.313419913419913,640,0.156709956709957,0.156709956709957,3.73399715504979,11.4920634920635,5.74603174603175,6.95305832147937,11.4920634920635,5.74603174603175,38.44375,0.545926310326933,0.391454765611486,0.552501483092743,0.885297845373891,0.062618924061581,1,0.831749049429658,0.960871551202214,0.530882957100848,0.5,0.552501483092743,0.972444352027883,1,0.238528138528139,0.707359307359307,0.548994279387341,0.45871268914929,0.372712706907208,0.447498516907257,1.01708840527823,0.67890089369081,"sSolve",597.72,"ok" "stmt137_903_911",1,657,67,724,2362,5909,1,1,2,1,1796,565,1921,1670,2.18331922099915,0.318374259102456,657,0.159187129551228,0.159187129551228,3.70718232044199,11.2238805970149,5.61194029850746,6.89502762430939,11.2238805970149,5.61194029850746,37.8447488584475,0.545777627348113,0.390590624471146,0.552452976536746,0.883410852713178,0.0636317481807412,1,0.832248062015504,0.961737029884759,0.531314484805445,0.5,0.552452976536746,0.973499935105542,1,0.239204064352244,0.707027942421677,0.548888487258269,0.459672296922767,0.367164718608296,0.447547023463254,1.0189770908949,0.66892406587412,"X2clsQ",3600,"timeout" "stmt137_903_911",1,657,67,724,2362,5909,1,1,2,1,1796,565,1921,1670,2.18331922099915,0.318374259102456,657,0.159187129551228,0.159187129551228,3.70718232044199,11.2238805970149,5.61194029850746,6.89502762430939,11.2238805970149,5.61194029850746,37.8447488584475,0.545777627348113,0.390590624471146,0.552452976536746,0.883410852713178,0.0636317481807412,1,0.832248062015504,0.961737029884759,0.531314484805445,0.5,0.552452976536746,0.973499935105542,1,0.239204064352244,0.707027942421677,0.548888487258269,0.459672296922767,0.367164718608296,0.447547023463254,1.0189770908949,0.66892406587412,"quantor",3600,"memout" "stmt137_903_911",1,657,67,724,2362,5909,1,1,2,1,1796,565,1921,1670,2.18331922099915,0.318374259102456,657,0.159187129551228,0.159187129551228,3.70718232044199,11.2238805970149,5.61194029850746,6.89502762430939,11.2238805970149,5.61194029850746,37.8447488584475,0.545777627348113,0.390590624471146,0.552452976536746,0.883410852713178,0.0636317481807412,1,0.832248062015504,0.961737029884759,0.531314484805445,0.5,0.552452976536746,0.973499935105542,1,0.239204064352244,0.707027942421677,0.548888487258269,0.459672296922767,0.367164718608296,0.447547023463254,1.0189770908949,0.66892406587412,"QuBE",3600,"timeout" "stmt137_903_911",1,657,67,724,2362,5909,1,1,2,1,1796,565,1921,1670,2.18331922099915,0.318374259102456,657,0.159187129551228,0.159187129551228,3.70718232044199,11.2238805970149,5.61194029850746,6.89502762430939,11.2238805970149,5.61194029850746,37.8447488584475,0.545777627348113,0.390590624471146,0.552452976536746,0.883410852713178,0.0636317481807412,1,0.832248062015504,0.961737029884759,0.531314484805445,0.5,0.552452976536746,0.973499935105542,1,0.239204064352244,0.707027942421677,0.548888487258269,0.459672296922767,0.367164718608296,0.447547023463254,1.0189770908949,0.66892406587412,"sKizzo",3600,"memout" "stmt137_903_911",1,657,67,724,2362,5909,1,1,2,1,1796,565,1921,1670,2.18331922099915,0.318374259102456,657,0.159187129551228,0.159187129551228,3.70718232044199,11.2238805970149,5.61194029850746,6.89502762430939,11.2238805970149,5.61194029850746,37.8447488584475,0.545777627348113,0.390590624471146,0.552452976536746,0.883410852713178,0.0636317481807412,1,0.832248062015504,0.961737029884759,0.531314484805445,0.5,0.552452976536746,0.973499935105542,1,0.239204064352244,0.707027942421677,0.548888487258269,0.459672296922767,0.367164718608296,0.447547023463254,1.0189770908949,0.66892406587412,"sSolve",2275.06,"ok" "stmt16_0_1",1,123,29,152,512,1289,1,1,2,1,389,122,415,369,1.900390625,0.6171875,123,0.30859375,0.30859375,3.84868421052632,10.8965517241379,5.44827586206897,6.65789473684211,10.8965517241379,5.44827586206897,35.9024390243902,0.546159813809154,0.331264546159814,0.561151079136691,0.775568181818182,0.122575640031032,1,0.830965909090909,0.932821182362328,0.523453613124184,0.5,0.561151079136691,0.958425720620843,1,0.23828125,0.720703125,0.552608311229001,0.466555965294401,0.366108722826915,0.438848920863309,1.04283556669559,0.662510344827586,"X2clsQ",20.16,"ok" "stmt16_0_1",1,123,29,152,512,1289,1,1,2,1,389,122,415,369,1.900390625,0.6171875,123,0.30859375,0.30859375,3.84868421052632,10.8965517241379,5.44827586206897,6.65789473684211,10.8965517241379,5.44827586206897,35.9024390243902,0.546159813809154,0.331264546159814,0.561151079136691,0.775568181818182,0.122575640031032,1,0.830965909090909,0.932821182362328,0.523453613124184,0.5,0.561151079136691,0.958425720620843,1,0.23828125,0.720703125,0.552608311229001,0.466555965294401,0.366108722826915,0.438848920863309,1.04283556669559,0.662510344827586,"quantor",0.04,"ok" "stmt16_0_1",1,123,29,152,512,1289,1,1,2,1,389,122,415,369,1.900390625,0.6171875,123,0.30859375,0.30859375,3.84868421052632,10.8965517241379,5.44827586206897,6.65789473684211,10.8965517241379,5.44827586206897,35.9024390243902,0.546159813809154,0.331264546159814,0.561151079136691,0.775568181818182,0.122575640031032,1,0.830965909090909,0.932821182362328,0.523453613124184,0.5,0.561151079136691,0.958425720620843,1,0.23828125,0.720703125,0.552608311229001,0.466555965294401,0.366108722826915,0.438848920863309,1.04283556669559,0.662510344827586,"QuBE",169.24,"ok" "stmt16_0_1",1,123,29,152,512,1289,1,1,2,1,389,122,415,369,1.900390625,0.6171875,123,0.30859375,0.30859375,3.84868421052632,10.8965517241379,5.44827586206897,6.65789473684211,10.8965517241379,5.44827586206897,35.9024390243902,0.546159813809154,0.331264546159814,0.561151079136691,0.775568181818182,0.122575640031032,1,0.830965909090909,0.932821182362328,0.523453613124184,0.5,0.561151079136691,0.958425720620843,1,0.23828125,0.720703125,0.552608311229001,0.466555965294401,0.366108722826915,0.438848920863309,1.04283556669559,0.662510344827586,"sKizzo",0.31,"ok" "stmt16_0_1",1,123,29,152,512,1289,1,1,2,1,389,122,415,369,1.900390625,0.6171875,123,0.30859375,0.30859375,3.84868421052632,10.8965517241379,5.44827586206897,6.65789473684211,10.8965517241379,5.44827586206897,35.9024390243902,0.546159813809154,0.331264546159814,0.561151079136691,0.775568181818182,0.122575640031032,1,0.830965909090909,0.932821182362328,0.523453613124184,0.5,0.561151079136691,0.958425720620843,1,0.23828125,0.720703125,0.552608311229001,0.466555965294401,0.366108722826915,0.438848920863309,1.04283556669559,0.662510344827586,"sSolve",0.76,"ok" "stmt16_47_48",1,1873,253,2126,7179,18242,1,1,2,1,5586,1592,5945,5065,2.17829781306589,0.362724613455913,1873,0.181362306727957,0.181362306727957,3.8513640639699,10.2924901185771,5.14624505928854,7.09031044214487,10.2924901185771,5.14624505928854,41.8761345435131,0.551145707707488,0.377480539414538,0.559662360915718,0.870499303759698,0.0713737528779739,1,0.814402227968968,0.973047945949202,0.54457831071412,0.5,0.559662360915718,0.988084100263277,1,0.221757905000696,0.705530018108372,0.555076741440378,0.461401598934968,0.322931434357953,0.440337639084282,1.03703636539185,0.581777996173957,"X2clsQ",3600,"timeout" "stmt16_47_48",1,1873,253,2126,7179,18242,1,1,2,1,5586,1592,5945,5065,2.17829781306589,0.362724613455913,1873,0.181362306727957,0.181362306727957,3.8513640639699,10.2924901185771,5.14624505928854,7.09031044214487,10.2924901185771,5.14624505928854,41.8761345435131,0.551145707707488,0.377480539414538,0.559662360915718,0.870499303759698,0.0713737528779739,1,0.814402227968968,0.973047945949202,0.54457831071412,0.5,0.559662360915718,0.988084100263277,1,0.221757905000696,0.705530018108372,0.555076741440378,0.461401598934968,0.322931434357953,0.440337639084282,1.03703636539185,0.581777996173957,"quantor",3600,"memout" "stmt16_47_48",1,1873,253,2126,7179,18242,1,1,2,1,5586,1592,5945,5065,2.17829781306589,0.362724613455913,1873,0.181362306727957,0.181362306727957,3.8513640639699,10.2924901185771,5.14624505928854,7.09031044214487,10.2924901185771,5.14624505928854,41.8761345435131,0.551145707707488,0.377480539414538,0.559662360915718,0.870499303759698,0.0713737528779739,1,0.814402227968968,0.973047945949202,0.54457831071412,0.5,0.559662360915718,0.988084100263277,1,0.221757905000696,0.705530018108372,0.555076741440378,0.461401598934968,0.322931434357953,0.440337639084282,1.03703636539185,0.581777996173957,"QuBE",3600,"timeout" "stmt16_47_48",1,1873,253,2126,7179,18242,1,1,2,1,5586,1592,5945,5065,2.17829781306589,0.362724613455913,1873,0.181362306727957,0.181362306727957,3.8513640639699,10.2924901185771,5.14624505928854,7.09031044214487,10.2924901185771,5.14624505928854,41.8761345435131,0.551145707707488,0.377480539414538,0.559662360915718,0.870499303759698,0.0713737528779739,1,0.814402227968968,0.973047945949202,0.54457831071412,0.5,0.559662360915718,0.988084100263277,1,0.221757905000696,0.705530018108372,0.555076741440378,0.461401598934968,0.322931434357953,0.440337639084282,1.03703636539185,0.581777996173957,"sKizzo",3600,"memout" "stmt16_47_48",1,1873,253,2126,7179,18242,1,1,2,1,5586,1592,5945,5065,2.17829781306589,0.362724613455913,1873,0.181362306727957,0.181362306727957,3.8513640639699,10.2924901185771,5.14624505928854,7.09031044214487,10.2924901185771,5.14624505928854,41.8761345435131,0.551145707707488,0.377480539414538,0.559662360915718,0.870499303759698,0.0713737528779739,1,0.814402227968968,0.973047945949202,0.54457831071412,0.5,0.559662360915718,0.988084100263277,1,0.221757905000696,0.705530018108372,0.555076741440378,0.461401598934968,0.322931434357953,0.440337639084282,1.03703636539185,0.581777996173957,"sSolve",3600,"timeout" "stmt16_818_819",1,382,63,445,1517,3858,1,1,2,1,1183,333,1243,1094,2.1094264996704,0.433750823994726,382,0.216875411997363,0.216875411997363,3.91910112359551,10.4444444444444,5.22222222222222,7.09887640449438,10.4444444444444,5.22222222222222,42.1465968586387,0.547952306894764,0.366770347330223,0.5578125,0.844370860927152,0.085277345775013,1,0.824976348155156,0.96623864466031,0.538979993974579,0.5,0.5578125,0.983625741132416,1,0.219512195121951,0.721160184574819,0.552422782657977,0.467090925005823,0.329256005793268,0.4421875,1.04359852760085,0.596021771964321,"X2clsQ",3600,"timeout" "stmt16_818_819",1,382,63,445,1517,3858,1,1,2,1,1183,333,1243,1094,2.1094264996704,0.433750823994726,382,0.216875411997363,0.216875411997363,3.91910112359551,10.4444444444444,5.22222222222222,7.09887640449438,10.4444444444444,5.22222222222222,42.1465968586387,0.547952306894764,0.366770347330223,0.5578125,0.844370860927152,0.085277345775013,1,0.824976348155156,0.96623864466031,0.538979993974579,0.5,0.5578125,0.983625741132416,1,0.219512195121951,0.721160184574819,0.552422782657977,0.467090925005823,0.329256005793268,0.4421875,1.04359852760085,0.596021771964321,"quantor",3600,"memout" "stmt16_818_819",1,382,63,445,1517,3858,1,1,2,1,1183,333,1243,1094,2.1094264996704,0.433750823994726,382,0.216875411997363,0.216875411997363,3.91910112359551,10.4444444444444,5.22222222222222,7.09887640449438,10.4444444444444,5.22222222222222,42.1465968586387,0.547952306894764,0.366770347330223,0.5578125,0.844370860927152,0.085277345775013,1,0.824976348155156,0.96623864466031,0.538979993974579,0.5,0.5578125,0.983625741132416,1,0.219512195121951,0.721160184574819,0.552422782657977,0.467090925005823,0.329256005793268,0.4421875,1.04359852760085,0.596021771964321,"QuBE",3600,"timeout" "stmt16_818_819",1,382,63,445,1517,3858,1,1,2,1,1183,333,1243,1094,2.1094264996704,0.433750823994726,382,0.216875411997363,0.216875411997363,3.91910112359551,10.4444444444444,5.22222222222222,7.09887640449438,10.4444444444444,5.22222222222222,42.1465968586387,0.547952306894764,0.366770347330223,0.5578125,0.844370860927152,0.085277345775013,1,0.824976348155156,0.96623864466031,0.538979993974579,0.5,0.5578125,0.983625741132416,1,0.219512195121951,0.721160184574819,0.552422782657977,0.467090925005823,0.329256005793268,0.4421875,1.04359852760085,0.596021771964321,"sKizzo",3013.02,"ok" "stmt16_818_819",1,382,63,445,1517,3858,1,1,2,1,1183,333,1243,1094,2.1094264996704,0.433750823994726,382,0.216875411997363,0.216875411997363,3.91910112359551,10.4444444444444,5.22222222222222,7.09887640449438,10.4444444444444,5.22222222222222,42.1465968586387,0.547952306894764,0.366770347330223,0.5578125,0.844370860927152,0.085277345775013,1,0.824976348155156,0.96623864466031,0.538979993974579,0.5,0.5578125,0.983625741132416,1,0.219512195121951,0.721160184574819,0.552422782657977,0.467090925005823,0.329256005793268,0.4421875,1.04359852760085,0.596021771964321,"sSolve",16.14,"ok" "stmt17_143_144",1,184,36,220,683,1698,1,1,2,1,510,172,545,499,1.95900439238653,0.527086383601757,184,0.263543191800878,0.263543191800878,3.57272727272727,10,5,6.32727272727273,10,5,30.445652173913,0.537102473498233,0.356890459363958,0.547085201793722,0.802631578947368,0.106007067137809,1,0.861842105263158,0.942157013366108,0.515440159778768,0.5,0.547085201793722,0.95966819221968,1,0.251830161054173,0.730600292825769,0.541501976284585,0.477315689981096,0.36231884057971,0.452914798206278,1.04104197901049,0.669099756690998,"X2clsQ",729.51,"ok" "stmt17_143_144",1,184,36,220,683,1698,1,1,2,1,510,172,545,499,1.95900439238653,0.527086383601757,184,0.263543191800878,0.263543191800878,3.57272727272727,10,5,6.32727272727273,10,5,30.445652173913,0.537102473498233,0.356890459363958,0.547085201793722,0.802631578947368,0.106007067137809,1,0.861842105263158,0.942157013366108,0.515440159778768,0.5,0.547085201793722,0.95966819221968,1,0.251830161054173,0.730600292825769,0.541501976284585,0.477315689981096,0.36231884057971,0.452914798206278,1.04104197901049,0.669099756690998,"quantor",5.63,"ok" "stmt17_143_144",1,184,36,220,683,1698,1,1,2,1,510,172,545,499,1.95900439238653,0.527086383601757,184,0.263543191800878,0.263543191800878,3.57272727272727,10,5,6.32727272727273,10,5,30.445652173913,0.537102473498233,0.356890459363958,0.547085201793722,0.802631578947368,0.106007067137809,1,0.861842105263158,0.942157013366108,0.515440159778768,0.5,0.547085201793722,0.95966819221968,1,0.251830161054173,0.730600292825769,0.541501976284585,0.477315689981096,0.36231884057971,0.452914798206278,1.04104197901049,0.669099756690998,"QuBE",3600,"timeout" "stmt17_143_144",1,184,36,220,683,1698,1,1,2,1,510,172,545,499,1.95900439238653,0.527086383601757,184,0.263543191800878,0.263543191800878,3.57272727272727,10,5,6.32727272727273,10,5,30.445652173913,0.537102473498233,0.356890459363958,0.547085201793722,0.802631578947368,0.106007067137809,1,0.861842105263158,0.942157013366108,0.515440159778768,0.5,0.547085201793722,0.95966819221968,1,0.251830161054173,0.730600292825769,0.541501976284585,0.477315689981096,0.36231884057971,0.452914798206278,1.04104197901049,0.669099756690998,"sKizzo",0.43,"ok" "stmt17_143_144",1,184,36,220,683,1698,1,1,2,1,510,172,545,499,1.95900439238653,0.527086383601757,184,0.263543191800878,0.263543191800878,3.57272727272727,10,5,6.32727272727273,10,5,30.445652173913,0.537102473498233,0.356890459363958,0.547085201793722,0.802631578947368,0.106007067137809,1,0.861842105263158,0.942157013366108,0.515440159778768,0.5,0.547085201793722,0.95966819221968,1,0.251830161054173,0.730600292825769,0.541501976284585,0.477315689981096,0.36231884057971,0.452914798206278,1.04104197901049,0.669099756690998,"sSolve",0.87,"ok" "stmt17_18_19",1,161,33,194,623,1558,1,1,2,1,462,160,499,451,1.95505617977528,0.545746388443018,161,0.272873194221509,0.272873194221509,3.6701030927835,10.3030303030303,5.15151515151515,6.4639175257732,10.3030303030303,5.15151515151515,33.1925465838509,0.543003851091142,0.34788189987163,0.555008210180624,0.799054373522459,0.109114249037227,1,0.84160756501182,0.94201038120221,0.522823495642606,0.5,0.555008210180624,0.962835704741348,1,0.256821829855538,0.723916532905297,0.548270893371758,0.470528218805377,0.360012226006462,0.444991789819376,1.04161589745114,0.656632023254888,"X2clsQ",343.62,"ok" "stmt17_18_19",1,161,33,194,623,1558,1,1,2,1,462,160,499,451,1.95505617977528,0.545746388443018,161,0.272873194221509,0.272873194221509,3.6701030927835,10.3030303030303,5.15151515151515,6.4639175257732,10.3030303030303,5.15151515151515,33.1925465838509,0.543003851091142,0.34788189987163,0.555008210180624,0.799054373522459,0.109114249037227,1,0.84160756501182,0.94201038120221,0.522823495642606,0.5,0.555008210180624,0.962835704741348,1,0.256821829855538,0.723916532905297,0.548270893371758,0.470528218805377,0.360012226006462,0.444991789819376,1.04161589745114,0.656632023254888,"quantor",1.75,"ok" "stmt17_18_19",1,161,33,194,623,1558,1,1,2,1,462,160,499,451,1.95505617977528,0.545746388443018,161,0.272873194221509,0.272873194221509,3.6701030927835,10.3030303030303,5.15151515151515,6.4639175257732,10.3030303030303,5.15151515151515,33.1925465838509,0.543003851091142,0.34788189987163,0.555008210180624,0.799054373522459,0.109114249037227,1,0.84160756501182,0.94201038120221,0.522823495642606,0.5,0.555008210180624,0.962835704741348,1,0.256821829855538,0.723916532905297,0.548270893371758,0.470528218805377,0.360012226006462,0.444991789819376,1.04161589745114,0.656632023254888,"QuBE",3600,"timeout" "stmt17_18_19",1,161,33,194,623,1558,1,1,2,1,462,160,499,451,1.95505617977528,0.545746388443018,161,0.272873194221509,0.272873194221509,3.6701030927835,10.3030303030303,5.15151515151515,6.4639175257732,10.3030303030303,5.15151515151515,33.1925465838509,0.543003851091142,0.34788189987163,0.555008210180624,0.799054373522459,0.109114249037227,1,0.84160756501182,0.94201038120221,0.522823495642606,0.5,0.555008210180624,0.962835704741348,1,0.256821829855538,0.723916532905297,0.548270893371758,0.470528218805377,0.360012226006462,0.444991789819376,1.04161589745114,0.656632023254888,"sKizzo",0.45,"ok" "stmt17_18_19",1,161,33,194,623,1558,1,1,2,1,462,160,499,451,1.95505617977528,0.545746388443018,161,0.272873194221509,0.272873194221509,3.6701030927835,10.3030303030303,5.15151515151515,6.4639175257732,10.3030303030303,5.15151515151515,33.1925465838509,0.543003851091142,0.34788189987163,0.555008210180624,0.799054373522459,0.109114249037227,1,0.84160756501182,0.94201038120221,0.522823495642606,0.5,0.555008210180624,0.962835704741348,1,0.256821829855538,0.723916532905297,0.548270893371758,0.470528218805377,0.360012226006462,0.444991789819376,1.04161589745114,0.656632023254888,"sSolve",0.91,"ok" "stmt17_63_70",1,6450,334,6784,25910,65401,1,1,2,1,19768,6141,21651,18288,2.19177923581629,0.332381319953686,6450,0.166190659976843,0.166190659976843,4.29422169811321,25.7844311377245,12.8922155688623,7.95371462264151,25.7844311377245,12.8922155688623,81.5457364341085,0.55456338588095,0.379596642253177,0.562837873531846,0.881276020844247,0.0658399718658736,1,0.803220381041661,0.913284226623849,0.514030952043144,0.5,0.562837873531846,0.926911089210446,1,0.237012736395214,0.705827865688923,0.558409035109256,0.427392804826135,0.715776990090529,0.437162126468154,0.967847711585037,1.28181484375603,"X2clsQ",3600,"timeout" "stmt17_63_70",1,6450,334,6784,25910,65401,1,1,2,1,19768,6141,21651,18288,2.19177923581629,0.332381319953686,6450,0.166190659976843,0.166190659976843,4.29422169811321,25.7844311377245,12.8922155688623,7.95371462264151,25.7844311377245,12.8922155688623,81.5457364341085,0.55456338588095,0.379596642253177,0.562837873531846,0.881276020844247,0.0658399718658736,1,0.803220381041661,0.913284226623849,0.514030952043144,0.5,0.562837873531846,0.926911089210446,1,0.237012736395214,0.705827865688923,0.558409035109256,0.427392804826135,0.715776990090529,0.437162126468154,0.967847711585037,1.28181484375603,"quantor",3600,"memout" "stmt17_63_70",1,6450,334,6784,25910,65401,1,1,2,1,19768,6141,21651,18288,2.19177923581629,0.332381319953686,6450,0.166190659976843,0.166190659976843,4.29422169811321,25.7844311377245,12.8922155688623,7.95371462264151,25.7844311377245,12.8922155688623,81.5457364341085,0.55456338588095,0.379596642253177,0.562837873531846,0.881276020844247,0.0658399718658736,1,0.803220381041661,0.913284226623849,0.514030952043144,0.5,0.562837873531846,0.926911089210446,1,0.237012736395214,0.705827865688923,0.558409035109256,0.427392804826135,0.715776990090529,0.437162126468154,0.967847711585037,1.28181484375603,"QuBE",3600,"timeout" "stmt17_63_70",1,6450,334,6784,25910,65401,1,1,2,1,19768,6141,21651,18288,2.19177923581629,0.332381319953686,6450,0.166190659976843,0.166190659976843,4.29422169811321,25.7844311377245,12.8922155688623,7.95371462264151,25.7844311377245,12.8922155688623,81.5457364341085,0.55456338588095,0.379596642253177,0.562837873531846,0.881276020844247,0.0658399718658736,1,0.803220381041661,0.913284226623849,0.514030952043144,0.5,0.562837873531846,0.926911089210446,1,0.237012736395214,0.705827865688923,0.558409035109256,0.427392804826135,0.715776990090529,0.437162126468154,0.967847711585037,1.28181484375603,"sKizzo",3600,"memout" "stmt17_63_70",1,6450,334,6784,25910,65401,1,1,2,1,19768,6141,21651,18288,2.19177923581629,0.332381319953686,6450,0.166190659976843,0.166190659976843,4.29422169811321,25.7844311377245,12.8922155688623,7.95371462264151,25.7844311377245,12.8922155688623,81.5457364341085,0.55456338588095,0.379596642253177,0.562837873531846,0.881276020844247,0.0658399718658736,1,0.803220381041661,0.913284226623849,0.514030952043144,0.5,0.562837873531846,0.926911089210446,1,0.237012736395214,0.705827865688923,0.558409035109256,0.427392804826135,0.715776990090529,0.437162126468154,0.967847711585037,1.28181484375603,"sSolve",3600,"timeout" "stmt17_63_78",1,7262,392,7654,29444,74379,1,1,2,1,22490,6953,24575,20804,2.16760630349137,0.358511071865236,7262,0.179255535932618,0.179255535932618,4.32662660047034,26.9285714285714,13.4642857142857,7.9636791220277,26.9285714285714,13.4642857142857,80.1897548884605,0.554766802457683,0.374272308043937,0.56382495338671,0.872088796258149,0.0709608894983799,1,0.802559193466302,0.904396957251281,0.509921572265286,0.5,0.56382495338671,0.919163818033582,1,0.236143187066975,0.706561608477109,0.558949942837296,0.424605789494701,0.745688505644946,0.43617504661329,0.962715643267819,1.33408816871819,"X2clsQ",3600,"timeout" "stmt17_63_78",1,7262,392,7654,29444,74379,1,1,2,1,22490,6953,24575,20804,2.16760630349137,0.358511071865236,7262,0.179255535932618,0.179255535932618,4.32662660047034,26.9285714285714,13.4642857142857,7.9636791220277,26.9285714285714,13.4642857142857,80.1897548884605,0.554766802457683,0.374272308043937,0.56382495338671,0.872088796258149,0.0709608894983799,1,0.802559193466302,0.904396957251281,0.509921572265286,0.5,0.56382495338671,0.919163818033582,1,0.236143187066975,0.706561608477109,0.558949942837296,0.424605789494701,0.745688505644946,0.43617504661329,0.962715643267819,1.33408816871819,"quantor",3600,"memout" "stmt17_63_78",1,7262,392,7654,29444,74379,1,1,2,1,22490,6953,24575,20804,2.16760630349137,0.358511071865236,7262,0.179255535932618,0.179255535932618,4.32662660047034,26.9285714285714,13.4642857142857,7.9636791220277,26.9285714285714,13.4642857142857,80.1897548884605,0.554766802457683,0.374272308043937,0.56382495338671,0.872088796258149,0.0709608894983799,1,0.802559193466302,0.904396957251281,0.509921572265286,0.5,0.56382495338671,0.919163818033582,1,0.236143187066975,0.706561608477109,0.558949942837296,0.424605789494701,0.745688505644946,0.43617504661329,0.962715643267819,1.33408816871819,"QuBE",3600,"timeout" "stmt17_63_78",1,7262,392,7654,29444,74379,1,1,2,1,22490,6953,24575,20804,2.16760630349137,0.358511071865236,7262,0.179255535932618,0.179255535932618,4.32662660047034,26.9285714285714,13.4642857142857,7.9636791220277,26.9285714285714,13.4642857142857,80.1897548884605,0.554766802457683,0.374272308043937,0.56382495338671,0.872088796258149,0.0709608894983799,1,0.802559193466302,0.904396957251281,0.509921572265286,0.5,0.56382495338671,0.919163818033582,1,0.236143187066975,0.706561608477109,0.558949942837296,0.424605789494701,0.745688505644946,0.43617504661329,0.962715643267819,1.33408816871819,"sKizzo",3600,"memout" "stmt17_63_78",1,7262,392,7654,29444,74379,1,1,2,1,22490,6953,24575,20804,2.16760630349137,0.358511071865236,7262,0.179255535932618,0.179255535932618,4.32662660047034,26.9285714285714,13.4642857142857,7.9636791220277,26.9285714285714,13.4642857142857,80.1897548884605,0.554766802457683,0.374272308043937,0.56382495338671,0.872088796258149,0.0709608894983799,1,0.802559193466302,0.904396957251281,0.509921572265286,0.5,0.56382495338671,0.919163818033582,1,0.236143187066975,0.706561608477109,0.558949942837296,0.424605789494701,0.745688505644946,0.43617504661329,0.962715643267819,1.33408816871819,"sSolve",3600,"timeout" "stmt17_82_94",1,11061,508,11569,45347,114780,1,1,2,1,34739,10607,37733,32126,2.21262707566101,0.318521622158026,11061,0.159260811079013,0.159260811079013,4.41213588036995,28.4330708661417,14.2165354330709,8.20001728757888,28.4330708661417,14.2165354330709,87.9969261368773,0.555288377766161,0.381791252831504,0.563247488438846,0.886688841471068,0.0629203694023349,1,0.800866072549266,0.914306888415946,0.514981058562617,0.5,0.563247488438845,0.927411916370924,1,0.233907424967473,0.708448188413787,0.55900072519013,0.426138629860773,0.76456934130979,0.436752511561154,0.966302336992496,1.36774302224696,"X2clsQ",3600,"timeout" "stmt17_82_94",1,11061,508,11569,45347,114780,1,1,2,1,34739,10607,37733,32126,2.21262707566101,0.318521622158026,11061,0.159260811079013,0.159260811079013,4.41213588036995,28.4330708661417,14.2165354330709,8.20001728757888,28.4330708661417,14.2165354330709,87.9969261368773,0.555288377766161,0.381791252831504,0.563247488438846,0.886688841471068,0.0629203694023349,1,0.800866072549266,0.914306888415946,0.514981058562617,0.5,0.563247488438845,0.927411916370924,1,0.233907424967473,0.708448188413787,0.55900072519013,0.426138629860773,0.76456934130979,0.436752511561154,0.966302336992496,1.36774302224696,"quantor",3600,"memout" "stmt17_82_94",1,11061,508,11569,45347,114780,1,1,2,1,34739,10607,37733,32126,2.21262707566101,0.318521622158026,11061,0.159260811079013,0.159260811079013,4.41213588036995,28.4330708661417,14.2165354330709,8.20001728757888,28.4330708661417,14.2165354330709,87.9969261368773,0.555288377766161,0.381791252831504,0.563247488438846,0.886688841471068,0.0629203694023349,1,0.800866072549266,0.914306888415946,0.514981058562617,0.5,0.563247488438845,0.927411916370924,1,0.233907424967473,0.708448188413787,0.55900072519013,0.426138629860773,0.76456934130979,0.436752511561154,0.966302336992496,1.36774302224696,"QuBE",3600,"timeout" "stmt17_82_94",1,11061,508,11569,45347,114780,1,1,2,1,34739,10607,37733,32126,2.21262707566101,0.318521622158026,11061,0.159260811079013,0.159260811079013,4.41213588036995,28.4330708661417,14.2165354330709,8.20001728757888,28.4330708661417,14.2165354330709,87.9969261368773,0.555288377766161,0.381791252831504,0.563247488438846,0.886688841471068,0.0629203694023349,1,0.800866072549266,0.914306888415946,0.514981058562617,0.5,0.563247488438845,0.927411916370924,1,0.233907424967473,0.708448188413787,0.55900072519013,0.426138629860773,0.76456934130979,0.436752511561154,0.966302336992496,1.36774302224696,"sKizzo",3600,"memout" "stmt17_82_94",1,11061,508,11569,45347,114780,1,1,2,1,34739,10607,37733,32126,2.21262707566101,0.318521622158026,11061,0.159260811079013,0.159260811079013,4.41213588036995,28.4330708661417,14.2165354330709,8.20001728757888,28.4330708661417,14.2165354330709,87.9969261368773,0.555288377766161,0.381791252831504,0.563247488438846,0.886688841471068,0.0629203694023349,1,0.800866072549266,0.914306888415946,0.514981058562617,0.5,0.563247488438845,0.927411916370924,1,0.233907424967473,0.708448188413787,0.55900072519013,0.426138629860773,0.76456934130979,0.436752511561154,0.966302336992496,1.36774302224696,"sSolve",3600,"timeout" "stmt17_99_98",1,2084,270,2354,7815,19762,1,1,2,1,6034,1780,6451,5569,2.17811900191939,0.350607805502239,2084,0.17530390275112,0.17530390275112,3.77909940526763,10.1481481481481,5.07407407407407,6.97621070518267,10.1481481481481,5.07407407407407,40.2207293666027,0.549843133286105,0.380831899605303,0.557866290682646,0.873918645315664,0.0693249671085923,1,0.818700533775078,0.972945323825695,0.542773398839666,0.5,0.557866290682646,0.987142270188614,1,0.227767114523353,0.712603966730646,0.553555893866899,0.462214962025549,0.324716462874358,0.442133709317354,1.035325487952,0.586601039699949,"X2clsQ",3600,"timeout" "stmt17_99_98",1,2084,270,2354,7815,19762,1,1,2,1,6034,1780,6451,5569,2.17811900191939,0.350607805502239,2084,0.17530390275112,0.17530390275112,3.77909940526763,10.1481481481481,5.07407407407407,6.97621070518267,10.1481481481481,5.07407407407407,40.2207293666027,0.549843133286105,0.380831899605303,0.557866290682646,0.873918645315664,0.0693249671085923,1,0.818700533775078,0.972945323825695,0.542773398839666,0.5,0.557866290682646,0.987142270188614,1,0.227767114523353,0.712603966730646,0.553555893866899,0.462214962025549,0.324716462874358,0.442133709317354,1.035325487952,0.586601039699949,"quantor",3600,"memout" "stmt17_99_98",1,2084,270,2354,7815,19762,1,1,2,1,6034,1780,6451,5569,2.17811900191939,0.350607805502239,2084,0.17530390275112,0.17530390275112,3.77909940526763,10.1481481481481,5.07407407407407,6.97621070518267,10.1481481481481,5.07407407407407,40.2207293666027,0.549843133286105,0.380831899605303,0.557866290682646,0.873918645315664,0.0693249671085923,1,0.818700533775078,0.972945323825695,0.542773398839666,0.5,0.557866290682646,0.987142270188614,1,0.227767114523353,0.712603966730646,0.553555893866899,0.462214962025549,0.324716462874358,0.442133709317354,1.035325487952,0.586601039699949,"QuBE",3600,"timeout" "stmt17_99_98",1,2084,270,2354,7815,19762,1,1,2,1,6034,1780,6451,5569,2.17811900191939,0.350607805502239,2084,0.17530390275112,0.17530390275112,3.77909940526763,10.1481481481481,5.07407407407407,6.97621070518267,10.1481481481481,5.07407407407407,40.2207293666027,0.549843133286105,0.380831899605303,0.557866290682646,0.873918645315664,0.0693249671085923,1,0.818700533775078,0.972945323825695,0.542773398839666,0.5,0.557866290682646,0.987142270188614,1,0.227767114523353,0.712603966730646,0.553555893866899,0.462214962025549,0.324716462874358,0.442133709317354,1.035325487952,0.586601039699949,"sKizzo",3600,"memout" "stmt17_99_98",1,2084,270,2354,7815,19762,1,1,2,1,6034,1780,6451,5569,2.17811900191939,0.350607805502239,2084,0.17530390275112,0.17530390275112,3.77909940526763,10.1481481481481,5.07407407407407,6.97621070518267,10.1481481481481,5.07407407407407,40.2207293666027,0.549843133286105,0.380831899605303,0.557866290682646,0.873918645315664,0.0693249671085923,1,0.818700533775078,0.972945323825695,0.542773398839666,0.5,0.557866290682646,0.987142270188614,1,0.227767114523353,0.712603966730646,0.553555893866899,0.462214962025549,0.324716462874358,0.442133709317354,1.035325487952,0.586601039699949,"sSolve",3600,"timeout" "stmt18_258_260",1,460,38,498,1528,3743,1,1,2,1,1122,405,1221,1080,2.15641361256545,0.293193717277487,460,0.146596858638743,0.146596858638743,3.46586345381526,11.7894736842105,5.89473684210526,6.48192771084337,11.7894736842105,5.89473684210526,31.2478260869565,0.53887256211595,0.401282393801763,0.544157814871017,0.888943976202281,0.0598450440822869,1,0.85572632622707,0.953031165421831,0.518599356479922,0.5,0.544157814871017,0.962378478584208,1,0.265052356020942,0.706806282722513,0.541346973572038,0.462085325623633,0.417104141427738,0.455842185128983,1.00748343300469,0.770493162038956,"X2clsQ",3600,"timeout" "stmt18_258_260",1,460,38,498,1528,3743,1,1,2,1,1122,405,1221,1080,2.15641361256545,0.293193717277487,460,0.146596858638743,0.146596858638743,3.46586345381526,11.7894736842105,5.89473684210526,6.48192771084337,11.7894736842105,5.89473684210526,31.2478260869565,0.53887256211595,0.401282393801763,0.544157814871017,0.888943976202281,0.0598450440822869,1,0.85572632622707,0.953031165421831,0.518599356479922,0.5,0.544157814871017,0.962378478584208,1,0.265052356020942,0.706806282722513,0.541346973572038,0.462085325623633,0.417104141427738,0.455842185128983,1.00748343300469,0.770493162038956,"quantor",3600,"memout" "stmt18_258_260",1,460,38,498,1528,3743,1,1,2,1,1122,405,1221,1080,2.15641361256545,0.293193717277487,460,0.146596858638743,0.146596858638743,3.46586345381526,11.7894736842105,5.89473684210526,6.48192771084337,11.7894736842105,5.89473684210526,31.2478260869565,0.53887256211595,0.401282393801763,0.544157814871017,0.888943976202281,0.0598450440822869,1,0.85572632622707,0.953031165421831,0.518599356479922,0.5,0.544157814871017,0.962378478584208,1,0.265052356020942,0.706806282722513,0.541346973572038,0.462085325623633,0.417104141427738,0.455842185128983,1.00748343300469,0.770493162038956,"QuBE",3600,"timeout" "stmt18_258_260",1,460,38,498,1528,3743,1,1,2,1,1122,405,1221,1080,2.15641361256545,0.293193717277487,460,0.146596858638743,0.146596858638743,3.46586345381526,11.7894736842105,5.89473684210526,6.48192771084337,11.7894736842105,5.89473684210526,31.2478260869565,0.53887256211595,0.401282393801763,0.544157814871017,0.888943976202281,0.0598450440822869,1,0.85572632622707,0.953031165421831,0.518599356479922,0.5,0.544157814871017,0.962378478584208,1,0.265052356020942,0.706806282722513,0.541346973572038,0.462085325623633,0.417104141427738,0.455842185128983,1.00748343300469,0.770493162038956,"sKizzo",3600,"memout" "stmt18_258_260",1,460,38,498,1528,3743,1,1,2,1,1122,405,1221,1080,2.15641361256545,0.293193717277487,460,0.146596858638743,0.146596858638743,3.46586345381526,11.7894736842105,5.89473684210526,6.48192771084337,11.7894736842105,5.89473684210526,31.2478260869565,0.53887256211595,0.401282393801763,0.544157814871017,0.888943976202281,0.0598450440822869,1,0.85572632622707,0.953031165421831,0.518599356479922,0.5,0.544157814871017,0.962378478584208,1,0.265052356020942,0.706806282722513,0.541346973572038,0.462085325623633,0.417104141427738,0.455842185128983,1.00748343300469,0.770493162038956,"sSolve",2.53,"ok" "stmt19_125_302",1,3161,235,3396,11148,27451,1,1,2,1,8016,3131,8599,6591,2.10898815931109,0.353426623609616,3161,0.176713311804808,0.176713311804808,3.47290930506478,16.7659574468085,8.38297872340426,6.36572438162544,16.7659574468085,8.38297872340426,67.5583676051882,0.570361735455903,0.357874030089978,0.582153034749692,0.874177684102957,0.0717642344541183,1,0.7532732962892,0.920144675605071,0.535665015312263,0.5,0.582153034749692,0.939167167103335,1,0.280857552924291,0.591227125941873,0.575801577646089,0.41420474685836,0.55862398933874,0.417846965250308,0.976441035683031,0.970167521288197,"X2clsQ",3600,"timeout" "stmt19_125_302",1,3161,235,3396,11148,27451,1,1,2,1,8016,3131,8599,6591,2.10898815931109,0.353426623609616,3161,0.176713311804808,0.176713311804808,3.47290930506478,16.7659574468085,8.38297872340426,6.36572438162544,16.7659574468085,8.38297872340426,67.5583676051882,0.570361735455903,0.357874030089978,0.582153034749692,0.874177684102957,0.0717642344541183,1,0.7532732962892,0.920144675605071,0.535665015312263,0.5,0.582153034749692,0.939167167103335,1,0.280857552924291,0.591227125941873,0.575801577646089,0.41420474685836,0.55862398933874,0.417846965250308,0.976441035683031,0.970167521288197,"quantor",3600,"memout" "stmt19_125_302",1,3161,235,3396,11148,27451,1,1,2,1,8016,3131,8599,6591,2.10898815931109,0.353426623609616,3161,0.176713311804808,0.176713311804808,3.47290930506478,16.7659574468085,8.38297872340426,6.36572438162544,16.7659574468085,8.38297872340426,67.5583676051882,0.570361735455903,0.357874030089978,0.582153034749692,0.874177684102957,0.0717642344541183,1,0.7532732962892,0.920144675605071,0.535665015312263,0.5,0.582153034749692,0.939167167103335,1,0.280857552924291,0.591227125941873,0.575801577646089,0.41420474685836,0.55862398933874,0.417846965250308,0.976441035683031,0.970167521288197,"QuBE",3600,"timeout" "stmt19_125_302",1,3161,235,3396,11148,27451,1,1,2,1,8016,3131,8599,6591,2.10898815931109,0.353426623609616,3161,0.176713311804808,0.176713311804808,3.47290930506478,16.7659574468085,8.38297872340426,6.36572438162544,16.7659574468085,8.38297872340426,67.5583676051882,0.570361735455903,0.357874030089978,0.582153034749692,0.874177684102957,0.0717642344541183,1,0.7532732962892,0.920144675605071,0.535665015312263,0.5,0.582153034749692,0.939167167103335,1,0.280857552924291,0.591227125941873,0.575801577646089,0.41420474685836,0.55862398933874,0.417846965250308,0.976441035683031,0.970167521288197,"sKizzo",4.66,"ok" "stmt19_125_302",1,3161,235,3396,11148,27451,1,1,2,1,8016,3131,8599,6591,2.10898815931109,0.353426623609616,3161,0.176713311804808,0.176713311804808,3.47290930506478,16.7659574468085,8.38297872340426,6.36572438162544,16.7659574468085,8.38297872340426,67.5583676051882,0.570361735455903,0.357874030089978,0.582153034749692,0.874177684102957,0.0717642344541183,1,0.7532732962892,0.920144675605071,0.535665015312263,0.5,0.582153034749692,0.939167167103335,1,0.280857552924291,0.591227125941873,0.575801577646089,0.41420474685836,0.55862398933874,0.417846965250308,0.976441035683031,0.970167521288197,"sSolve",3600,"timeout" "stmt19_133_257",1,2795,210,3005,9666,23741,1,1,2,1,6898,2767,7433,5800,2.12880198634389,0.32733291951169,2795,0.163666459755845,0.163666459755845,3.41663893510815,15.0666666666667,7.53333333333333,6.30682196339434,15.0666666666667,7.53333333333333,64.112343470483,0.56754138410345,0.365822838128133,0.577926811488555,0.882588689327594,0.0666357777684175,1,0.761986047202019,0.931849365156283,0.538540732392405,0.5,0.577926811488555,0.948901256325374,1,0.286261121456652,0.600041382164287,0.572363373798457,0.421388160586995,0.510800728071363,0.422073188511445,0.985388375944199,0.892441325659019,"X2clsQ",3600,"timeout" "stmt19_133_257",1,2795,210,3005,9666,23741,1,1,2,1,6898,2767,7433,5800,2.12880198634389,0.32733291951169,2795,0.163666459755845,0.163666459755845,3.41663893510815,15.0666666666667,7.53333333333333,6.30682196339434,15.0666666666667,7.53333333333333,64.112343470483,0.56754138410345,0.365822838128133,0.577926811488555,0.882588689327594,0.0666357777684175,1,0.761986047202019,0.931849365156283,0.538540732392405,0.5,0.577926811488555,0.948901256325374,1,0.286261121456652,0.600041382164287,0.572363373798457,0.421388160586995,0.510800728071363,0.422073188511445,0.985388375944199,0.892441325659019,"quantor",3600,"memout" "stmt19_133_257",1,2795,210,3005,9666,23741,1,1,2,1,6898,2767,7433,5800,2.12880198634389,0.32733291951169,2795,0.163666459755845,0.163666459755845,3.41663893510815,15.0666666666667,7.53333333333333,6.30682196339434,15.0666666666667,7.53333333333333,64.112343470483,0.56754138410345,0.365822838128133,0.577926811488555,0.882588689327594,0.0666357777684175,1,0.761986047202019,0.931849365156283,0.538540732392405,0.5,0.577926811488555,0.948901256325374,1,0.286261121456652,0.600041382164287,0.572363373798457,0.421388160586995,0.510800728071363,0.422073188511445,0.985388375944199,0.892441325659019,"QuBE",3600,"timeout" "stmt19_133_257",1,2795,210,3005,9666,23741,1,1,2,1,6898,2767,7433,5800,2.12880198634389,0.32733291951169,2795,0.163666459755845,0.163666459755845,3.41663893510815,15.0666666666667,7.53333333333333,6.30682196339434,15.0666666666667,7.53333333333333,64.112343470483,0.56754138410345,0.365822838128133,0.577926811488555,0.882588689327594,0.0666357777684175,1,0.761986047202019,0.931849365156283,0.538540732392405,0.5,0.577926811488555,0.948901256325374,1,0.286261121456652,0.600041382164287,0.572363373798457,0.421388160586995,0.510800728071363,0.422073188511445,0.985388375944199,0.892441325659019,"sKizzo",2.65,"ok" "stmt19_133_257",1,2795,210,3005,9666,23741,1,1,2,1,6898,2767,7433,5800,2.12880198634389,0.32733291951169,2795,0.163666459755845,0.163666459755845,3.41663893510815,15.0666666666667,7.53333333333333,6.30682196339434,15.0666666666667,7.53333333333333,64.112343470483,0.56754138410345,0.365822838128133,0.577926811488555,0.882588689327594,0.0666357777684175,1,0.761986047202019,0.931849365156283,0.538540732392405,0.5,0.577926811488555,0.948901256325374,1,0.286261121456652,0.600041382164287,0.572363373798457,0.421388160586995,0.510800728071363,0.422073188511445,0.985388375944199,0.892441325659019,"sSolve",3600,"timeout" "stmt19_137_313",1,3174,235,3409,11203,27590,1,1,2,1,8058,3144,8642,6633,2.1092564491654,0.35347674729983,3174,0.176738373649915,0.176738373649915,3.47990613083016,16.8510638297872,8.42553191489362,6.37899677324729,16.8510638297872,8.42553191489362,67.6528040327662,0.570025371511417,0.358209496194273,0.581760473973762,0.874101863038087,0.0717651322943095,1,0.754307878171298,0.919881955897101,0.535150962662609,0.5,0.581760473973762,0.938819549809968,1,0.280639114522896,0.592073551727216,0.575439281530652,0.414475911883625,0.560769978482474,0.418239526026238,0.976246491615896,0.974507643953055,"X2clsQ",3600,"timeout" "stmt19_137_313",1,3174,235,3409,11203,27590,1,1,2,1,8058,3144,8642,6633,2.1092564491654,0.35347674729983,3174,0.176738373649915,0.176738373649915,3.47990613083016,16.8510638297872,8.42553191489362,6.37899677324729,16.8510638297872,8.42553191489362,67.6528040327662,0.570025371511417,0.358209496194273,0.581760473973762,0.874101863038087,0.0717651322943095,1,0.754307878171298,0.919881955897101,0.535150962662609,0.5,0.581760473973762,0.938819549809968,1,0.280639114522896,0.592073551727216,0.575439281530652,0.414475911883625,0.560769978482474,0.418239526026238,0.976246491615896,0.974507643953055,"quantor",3600,"memout" "stmt19_137_313",1,3174,235,3409,11203,27590,1,1,2,1,8058,3144,8642,6633,2.1092564491654,0.35347674729983,3174,0.176738373649915,0.176738373649915,3.47990613083016,16.8510638297872,8.42553191489362,6.37899677324729,16.8510638297872,8.42553191489362,67.6528040327662,0.570025371511417,0.358209496194273,0.581760473973762,0.874101863038087,0.0717651322943095,1,0.754307878171298,0.919881955897101,0.535150962662609,0.5,0.581760473973762,0.938819549809968,1,0.280639114522896,0.592073551727216,0.575439281530652,0.414475911883625,0.560769978482474,0.418239526026238,0.976246491615896,0.974507643953055,"QuBE",3600,"timeout" "stmt19_137_313",1,3174,235,3409,11203,27590,1,1,2,1,8058,3144,8642,6633,2.1092564491654,0.35347674729983,3174,0.176738373649915,0.176738373649915,3.47990613083016,16.8510638297872,8.42553191489362,6.37899677324729,16.8510638297872,8.42553191489362,67.6528040327662,0.570025371511417,0.358209496194273,0.581760473973762,0.874101863038087,0.0717651322943095,1,0.754307878171298,0.919881955897101,0.535150962662609,0.5,0.581760473973762,0.938819549809968,1,0.280639114522896,0.592073551727216,0.575439281530652,0.414475911883625,0.560769978482474,0.418239526026238,0.976246491615896,0.974507643953055,"sKizzo",5.55,"ok" "stmt19_137_313",1,3174,235,3409,11203,27590,1,1,2,1,8058,3144,8642,6633,2.1092564491654,0.35347674729983,3174,0.176738373649915,0.176738373649915,3.47990613083016,16.8510638297872,8.42553191489362,6.37899677324729,16.8510638297872,8.42553191489362,67.6528040327662,0.570025371511417,0.358209496194273,0.581760473973762,0.874101863038087,0.0717651322943095,1,0.754307878171298,0.919881955897101,0.535150962662609,0.5,0.581760473973762,0.938819549809968,1,0.280639114522896,0.592073551727216,0.575439281530652,0.414475911883625,0.560769978482474,0.418239526026238,0.976246491615896,0.974507643953055,"sSolve",3600,"timeout" "stmt19_142_261",1,2818,210,3028,9750,23919,1,1,2,1,6997,2752,7536,5840,2.12789743589744,0.325333333333333,2818,0.162666666666667,0.162666666666667,3.41017173051519,15.1047619047619,7.55238095238095,6.29656538969617,15.1047619047619,7.55238095238095,64.3002129169624,0.568292988837326,0.365399891299803,0.578734274834916,0.883322298241742,0.0663071198628705,1,0.759655705142353,0.932024159726918,0.53939432620818,0.5,0.578734274834916,0.949148303433639,1,0.28225641025641,0.598974358974359,0.573142882729593,0.420512828009435,0.511991436972407,0.421265725165084,0.985137206329037,0.893305059523809,"X2clsQ",3600,"timeout" "stmt19_142_261",1,2818,210,3028,9750,23919,1,1,2,1,6997,2752,7536,5840,2.12789743589744,0.325333333333333,2818,0.162666666666667,0.162666666666667,3.41017173051519,15.1047619047619,7.55238095238095,6.29656538969617,15.1047619047619,7.55238095238095,64.3002129169624,0.568292988837326,0.365399891299803,0.578734274834916,0.883322298241742,0.0663071198628705,1,0.759655705142353,0.932024159726918,0.53939432620818,0.5,0.578734274834916,0.949148303433639,1,0.28225641025641,0.598974358974359,0.573142882729593,0.420512828009435,0.511991436972407,0.421265725165084,0.985137206329037,0.893305059523809,"quantor",3600,"memout" "stmt19_142_261",1,2818,210,3028,9750,23919,1,1,2,1,6997,2752,7536,5840,2.12789743589744,0.325333333333333,2818,0.162666666666667,0.162666666666667,3.41017173051519,15.1047619047619,7.55238095238095,6.29656538969617,15.1047619047619,7.55238095238095,64.3002129169624,0.568292988837326,0.365399891299803,0.578734274834916,0.883322298241742,0.0663071198628705,1,0.759655705142353,0.932024159726918,0.53939432620818,0.5,0.578734274834916,0.949148303433639,1,0.28225641025641,0.598974358974359,0.573142882729593,0.420512828009435,0.511991436972407,0.421265725165084,0.985137206329037,0.893305059523809,"QuBE",3600,"timeout" "stmt19_142_261",1,2818,210,3028,9750,23919,1,1,2,1,6997,2752,7536,5840,2.12789743589744,0.325333333333333,2818,0.162666666666667,0.162666666666667,3.41017173051519,15.1047619047619,7.55238095238095,6.29656538969617,15.1047619047619,7.55238095238095,64.3002129169624,0.568292988837326,0.365399891299803,0.578734274834916,0.883322298241742,0.0663071198628705,1,0.759655705142353,0.932024159726918,0.53939432620818,0.5,0.578734274834916,0.949148303433639,1,0.28225641025641,0.598974358974359,0.573142882729593,0.420512828009435,0.511991436972407,0.421265725165084,0.985137206329037,0.893305059523809,"sKizzo",2.54,"ok" "stmt19_142_261",1,2818,210,3028,9750,23919,1,1,2,1,6997,2752,7536,5840,2.12789743589744,0.325333333333333,2818,0.162666666666667,0.162666666666667,3.41017173051519,15.1047619047619,7.55238095238095,6.29656538969617,15.1047619047619,7.55238095238095,64.3002129169624,0.568292988837326,0.365399891299803,0.578734274834916,0.883322298241742,0.0663071198628705,1,0.759655705142353,0.932024159726918,0.53939432620818,0.5,0.578734274834916,0.949148303433639,1,0.28225641025641,0.598974358974359,0.573142882729593,0.420512828009435,0.511991436972407,0.421265725165084,0.985137206329037,0.893305059523809,"sSolve",3600,"timeout" "stmt19_177_302",1,3440,235,3675,12064,29691,1,1,2,1,8678,3385,9309,7144,2.1345324933687,0.32659151193634,3440,0.16329575596817,0.16329575596817,3.47292517006803,16.7659574468085,8.38297872340426,6.40979591836735,16.7659574468085,8.38297872340426,70.6691860465116,0.570139099390388,0.363510828197097,0.580870645800163,0.883624763705104,0.0663500724125156,1,0.753957939508507,0.926548537141864,0.538204847134791,0.5,0.580870645800163,0.943988664714028,1,0.280586870026525,0.592175066312997,0.575123552541395,0.415941434291692,0.555669831689164,0.419129354199837,0.978970326031584,0.966174710170941,"X2clsQ",3600,"timeout" "stmt19_177_302",1,3440,235,3675,12064,29691,1,1,2,1,8678,3385,9309,7144,2.1345324933687,0.32659151193634,3440,0.16329575596817,0.16329575596817,3.47292517006803,16.7659574468085,8.38297872340426,6.40979591836735,16.7659574468085,8.38297872340426,70.6691860465116,0.570139099390388,0.363510828197097,0.580870645800163,0.883624763705104,0.0663500724125156,1,0.753957939508507,0.926548537141864,0.538204847134791,0.5,0.580870645800163,0.943988664714028,1,0.280586870026525,0.592175066312997,0.575123552541395,0.415941434291692,0.555669831689164,0.419129354199837,0.978970326031584,0.966174710170941,"quantor",3600,"memout" "stmt19_177_302",1,3440,235,3675,12064,29691,1,1,2,1,8678,3385,9309,7144,2.1345324933687,0.32659151193634,3440,0.16329575596817,0.16329575596817,3.47292517006803,16.7659574468085,8.38297872340426,6.40979591836735,16.7659574468085,8.38297872340426,70.6691860465116,0.570139099390388,0.363510828197097,0.580870645800163,0.883624763705104,0.0663500724125156,1,0.753957939508507,0.926548537141864,0.538204847134791,0.5,0.580870645800163,0.943988664714028,1,0.280586870026525,0.592175066312997,0.575123552541395,0.415941434291692,0.555669831689164,0.419129354199837,0.978970326031584,0.966174710170941,"QuBE",3600,"timeout" "stmt19_177_302",1,3440,235,3675,12064,29691,1,1,2,1,8678,3385,9309,7144,2.1345324933687,0.32659151193634,3440,0.16329575596817,0.16329575596817,3.47292517006803,16.7659574468085,8.38297872340426,6.40979591836735,16.7659574468085,8.38297872340426,70.6691860465116,0.570139099390388,0.363510828197097,0.580870645800163,0.883624763705104,0.0663500724125156,1,0.753957939508507,0.926548537141864,0.538204847134791,0.5,0.580870645800163,0.943988664714028,1,0.280586870026525,0.592175066312997,0.575123552541395,0.415941434291692,0.555669831689164,0.419129354199837,0.978970326031584,0.966174710170941,"sKizzo",4.83,"ok" "stmt19_177_302",1,3440,235,3675,12064,29691,1,1,2,1,8678,3385,9309,7144,2.1345324933687,0.32659151193634,3440,0.16329575596817,0.16329575596817,3.47292517006803,16.7659574468085,8.38297872340426,6.40979591836735,16.7659574468085,8.38297872340426,70.6691860465116,0.570139099390388,0.363510828197097,0.580870645800163,0.883624763705104,0.0663500724125156,1,0.753957939508507,0.926548537141864,0.538204847134791,0.5,0.580870645800163,0.943988664714028,1,0.280586870026525,0.592175066312997,0.575123552541395,0.415941434291692,0.555669831689164,0.419129354199837,0.978970326031584,0.966174710170941,"sSolve",3600,"timeout" "stmt19_2_180",1,1415,157,1572,5013,12414,1,1,2,1,3569,1443,3829,2929,2.05665270297227,0.419708757231199,1415,0.209854378615599,0.209854378615599,3.4001272264631,13.4012738853503,6.70063694267516,6.13104325699746,13.4012738853503,6.70063694267516,49.6862190812721,0.569437731593362,0.345819236346061,0.58360814742968,0.851181213750177,0.0847430320605768,1,0.756118262837742,0.922662831944556,0.538473546053384,0.5,0.58360814742968,0.945623228279349,1,0.287851585876721,0.584280869738679,0.575866924837176,0.419761115565306,0.463536405293318,0.41639185257032,0.98969200976406,0.804936670784453,"X2clsQ",3600,"timeout" "stmt19_2_180",1,1415,157,1572,5013,12414,1,1,2,1,3569,1443,3829,2929,2.05665270297227,0.419708757231199,1415,0.209854378615599,0.209854378615599,3.4001272264631,13.4012738853503,6.70063694267516,6.13104325699746,13.4012738853503,6.70063694267516,49.6862190812721,0.569437731593362,0.345819236346061,0.58360814742968,0.851181213750177,0.0847430320605768,1,0.756118262837742,0.922662831944556,0.538473546053384,0.5,0.58360814742968,0.945623228279349,1,0.287851585876721,0.584280869738679,0.575866924837176,0.419761115565306,0.463536405293318,0.41639185257032,0.98969200976406,0.804936670784453,"quantor",3600,"memout" "stmt19_2_180",1,1415,157,1572,5013,12414,1,1,2,1,3569,1443,3829,2929,2.05665270297227,0.419708757231199,1415,0.209854378615599,0.209854378615599,3.4001272264631,13.4012738853503,6.70063694267516,6.13104325699746,13.4012738853503,6.70063694267516,49.6862190812721,0.569437731593362,0.345819236346061,0.58360814742968,0.851181213750177,0.0847430320605768,1,0.756118262837742,0.922662831944556,0.538473546053384,0.5,0.58360814742968,0.945623228279349,1,0.287851585876721,0.584280869738679,0.575866924837176,0.419761115565306,0.463536405293318,0.41639185257032,0.98969200976406,0.804936670784453,"QuBE",3600,"timeout" "stmt19_2_180",1,1415,157,1572,5013,12414,1,1,2,1,3569,1443,3829,2929,2.05665270297227,0.419708757231199,1415,0.209854378615599,0.209854378615599,3.4001272264631,13.4012738853503,6.70063694267516,6.13104325699746,13.4012738853503,6.70063694267516,49.6862190812721,0.569437731593362,0.345819236346061,0.58360814742968,0.851181213750177,0.0847430320605768,1,0.756118262837742,0.922662831944556,0.538473546053384,0.5,0.58360814742968,0.945623228279349,1,0.287851585876721,0.584280869738679,0.575866924837176,0.419761115565306,0.463536405293318,0.41639185257032,0.98969200976406,0.804936670784453,"sKizzo",3600,"memout" "stmt19_2_180",1,1415,157,1572,5013,12414,1,1,2,1,3569,1443,3829,2929,2.05665270297227,0.419708757231199,1415,0.209854378615599,0.209854378615599,3.4001272264631,13.4012738853503,6.70063694267516,6.13104325699746,13.4012738853503,6.70063694267516,49.6862190812721,0.569437731593362,0.345819236346061,0.58360814742968,0.851181213750177,0.0847430320605768,1,0.756118262837742,0.922662831944556,0.538473546053384,0.5,0.58360814742968,0.945623228279349,1,0.287851585876721,0.584280869738679,0.575866924837176,0.419761115565306,0.463536405293318,0.41639185257032,0.98969200976406,0.804936670784453,"sSolve",3600,"timeout" "stmt19_2_83",1,913,107,1020,3222,8043,1,1,2,1,2283,938,2445,1843,2.03072625698324,0.465549348230912,913,0.232774674115456,0.232774674115456,3.3843137254902,14.018691588785,7.00934579439252,6.03333333333333,14.018691588785,7.00934579439252,41.3713033953998,0.570806912843466,0.335944299390775,0.587039584288553,0.836636898279242,0.093248787765759,1,0.751905902853409,0.908841700939596,0.533526054303682,0.5,0.587039584288553,0.934687443860709,1,0.291123525760397,0.572004965859714,0.578088578088578,0.41391248401106,0.490164041565911,0.412960415711447,0.98104119138533,0.847904733192644,"X2clsQ",3600,"memout" "stmt19_2_83",1,913,107,1020,3222,8043,1,1,2,1,2283,938,2445,1843,2.03072625698324,0.465549348230912,913,0.232774674115456,0.232774674115456,3.3843137254902,14.018691588785,7.00934579439252,6.03333333333333,14.018691588785,7.00934579439252,41.3713033953998,0.570806912843466,0.335944299390775,0.587039584288553,0.836636898279242,0.093248787765759,1,0.751905902853409,0.908841700939596,0.533526054303682,0.5,0.587039584288553,0.934687443860709,1,0.291123525760397,0.572004965859714,0.578088578088578,0.41391248401106,0.490164041565911,0.412960415711447,0.98104119138533,0.847904733192644,"quantor",104.17,"ok" "stmt19_2_83",1,913,107,1020,3222,8043,1,1,2,1,2283,938,2445,1843,2.03072625698324,0.465549348230912,913,0.232774674115456,0.232774674115456,3.3843137254902,14.018691588785,7.00934579439252,6.03333333333333,14.018691588785,7.00934579439252,41.3713033953998,0.570806912843466,0.335944299390775,0.587039584288553,0.836636898279242,0.093248787765759,1,0.751905902853409,0.908841700939596,0.533526054303682,0.5,0.587039584288553,0.934687443860709,1,0.291123525760397,0.572004965859714,0.578088578088578,0.41391248401106,0.490164041565911,0.412960415711447,0.98104119138533,0.847904733192644,"QuBE",3600,"timeout" "stmt19_2_83",1,913,107,1020,3222,8043,1,1,2,1,2283,938,2445,1843,2.03072625698324,0.465549348230912,913,0.232774674115456,0.232774674115456,3.3843137254902,14.018691588785,7.00934579439252,6.03333333333333,14.018691588785,7.00934579439252,41.3713033953998,0.570806912843466,0.335944299390775,0.587039584288553,0.836636898279242,0.093248787765759,1,0.751905902853409,0.908841700939596,0.533526054303682,0.5,0.587039584288553,0.934687443860709,1,0.291123525760397,0.572004965859714,0.578088578088578,0.41391248401106,0.490164041565911,0.412960415711447,0.98104119138533,0.847904733192644,"sKizzo",3600,"memout" "stmt19_2_83",1,913,107,1020,3222,8043,1,1,2,1,2283,938,2445,1843,2.03072625698324,0.465549348230912,913,0.232774674115456,0.232774674115456,3.3843137254902,14.018691588785,7.00934579439252,6.03333333333333,14.018691588785,7.00934579439252,41.3713033953998,0.570806912843466,0.335944299390775,0.587039584288553,0.836636898279242,0.093248787765759,1,0.751905902853409,0.908841700939596,0.533526054303682,0.5,0.587039584288553,0.934687443860709,1,0.291123525760397,0.572004965859714,0.578088578088578,0.41391248401106,0.490164041565911,0.412960415711447,0.98104119138533,0.847904733192644,"sSolve",3600,"timeout" "stmt19_214_309",1,3608,235,3843,12628,31085,1,1,2,1,9093,3534,9748,7463,2.14879632562559,0.312796959138423,3608,0.156398479569211,0.156398479569211,3.4743689825657,16.8085106382979,8.40425531914894,6.43481654957065,16.8085106382979,8.40425531914894,73.6358093126386,0.570468071417082,0.365996461315747,0.580725999631472,0.888625726047482,0.0635354672671707,1,0.752946483956465,0.929785587190833,0.539950664564332,0.5,0.580725999631472,0.946504618958003,1,0.279854292049414,0.59098828001267,0.575249055307455,0.416283702158873,0.55475014069889,0.419274000368528,0.980065394463568,0.964365148591841,"X2clsQ",3600,"timeout" "stmt19_214_309",1,3608,235,3843,12628,31085,1,1,2,1,9093,3534,9748,7463,2.14879632562559,0.312796959138423,3608,0.156398479569211,0.156398479569211,3.4743689825657,16.8085106382979,8.40425531914894,6.43481654957065,16.8085106382979,8.40425531914894,73.6358093126386,0.570468071417082,0.365996461315747,0.580725999631472,0.888625726047482,0.0635354672671707,1,0.752946483956465,0.929785587190833,0.539950664564332,0.5,0.580725999631472,0.946504618958003,1,0.279854292049414,0.59098828001267,0.575249055307455,0.416283702158873,0.55475014069889,0.419274000368528,0.980065394463568,0.964365148591841,"quantor",3600,"memout" "stmt19_214_309",1,3608,235,3843,12628,31085,1,1,2,1,9093,3534,9748,7463,2.14879632562559,0.312796959138423,3608,0.156398479569211,0.156398479569211,3.4743689825657,16.8085106382979,8.40425531914894,6.43481654957065,16.8085106382979,8.40425531914894,73.6358093126386,0.570468071417082,0.365996461315747,0.580725999631472,0.888625726047482,0.0635354672671707,1,0.752946483956465,0.929785587190833,0.539950664564332,0.5,0.580725999631472,0.946504618958003,1,0.279854292049414,0.59098828001267,0.575249055307455,0.416283702158873,0.55475014069889,0.419274000368528,0.980065394463568,0.964365148591841,"QuBE",3600,"timeout" "stmt19_214_309",1,3608,235,3843,12628,31085,1,1,2,1,9093,3534,9748,7463,2.14879632562559,0.312796959138423,3608,0.156398479569211,0.156398479569211,3.4743689825657,16.8085106382979,8.40425531914894,6.43481654957065,16.8085106382979,8.40425531914894,73.6358093126386,0.570468071417082,0.365996461315747,0.580725999631472,0.888625726047482,0.0635354672671707,1,0.752946483956465,0.929785587190833,0.539950664564332,0.5,0.580725999631472,0.946504618958003,1,0.279854292049414,0.59098828001267,0.575249055307455,0.416283702158873,0.55475014069889,0.419274000368528,0.980065394463568,0.964365148591841,"sKizzo",5.19,"ok" "stmt19_214_309",1,3608,235,3843,12628,31085,1,1,2,1,9093,3534,9748,7463,2.14879632562559,0.312796959138423,3608,0.156398479569211,0.156398479569211,3.4743689825657,16.8085106382979,8.40425531914894,6.43481654957065,16.8085106382979,8.40425531914894,73.6358093126386,0.570468071417082,0.365996461315747,0.580725999631472,0.888625726047482,0.0635354672671707,1,0.752946483956465,0.929785587190833,0.539950664564332,0.5,0.580725999631472,0.946504618958003,1,0.279854292049414,0.59098828001267,0.575249055307455,0.416283702158873,0.55475014069889,0.419274000368528,0.980065394463568,0.964365148591841,"sSolve",3600,"timeout" "stmt19_217_250",1,3235,210,3445,11116,27299,1,1,2,1,7952,3163,8562,6649,2.17209427851745,0.283735156531126,3235,0.141867578265563,0.141867578265563,3.42206095791001,15.0190476190476,7.50952380952381,6.38635703918723,15.0190476190476,7.50952380952381,71.3854714064915,0.568152679585333,0.374079636616726,0.577055290950507,0.898323662153449,0.0577676837979413,1,0.760090264345583,0.94187964718151,0.543516633844687,0.5,0.577055290950507,0.956638335739917,1,0.284544800287873,0.598146815401223,0.572331078454241,0.422786404676227,0.502882931416871,0.422944709049493,0.988583419033855,0.878657389661703,"X2clsQ",3600,"timeout" "stmt19_217_250",1,3235,210,3445,11116,27299,1,1,2,1,7952,3163,8562,6649,2.17209427851745,0.283735156531126,3235,0.141867578265563,0.141867578265563,3.42206095791001,15.0190476190476,7.50952380952381,6.38635703918723,15.0190476190476,7.50952380952381,71.3854714064915,0.568152679585333,0.374079636616726,0.577055290950507,0.898323662153449,0.0577676837979413,1,0.760090264345583,0.94187964718151,0.543516633844687,0.5,0.577055290950507,0.956638335739917,1,0.284544800287873,0.598146815401223,0.572331078454241,0.422786404676227,0.502882931416871,0.422944709049493,0.988583419033855,0.878657389661703,"quantor",3600,"memout" "stmt19_217_250",1,3235,210,3445,11116,27299,1,1,2,1,7952,3163,8562,6649,2.17209427851745,0.283735156531126,3235,0.141867578265563,0.141867578265563,3.42206095791001,15.0190476190476,7.50952380952381,6.38635703918723,15.0190476190476,7.50952380952381,71.3854714064915,0.568152679585333,0.374079636616726,0.577055290950507,0.898323662153449,0.0577676837979413,1,0.760090264345583,0.94187964718151,0.543516633844687,0.5,0.577055290950507,0.956638335739917,1,0.284544800287873,0.598146815401223,0.572331078454241,0.422786404676227,0.502882931416871,0.422944709049493,0.988583419033855,0.878657389661703,"QuBE",3600,"timeout" "stmt19_217_250",1,3235,210,3445,11116,27299,1,1,2,1,7952,3163,8562,6649,2.17209427851745,0.283735156531126,3235,0.141867578265563,0.141867578265563,3.42206095791001,15.0190476190476,7.50952380952381,6.38635703918723,15.0190476190476,7.50952380952381,71.3854714064915,0.568152679585333,0.374079636616726,0.577055290950507,0.898323662153449,0.0577676837979413,1,0.760090264345583,0.94187964718151,0.543516633844687,0.5,0.577055290950507,0.956638335739917,1,0.284544800287873,0.598146815401223,0.572331078454241,0.422786404676227,0.502882931416871,0.422944709049493,0.988583419033855,0.878657389661703,"sKizzo",2.48,"ok" "stmt19_217_250",1,3235,210,3445,11116,27299,1,1,2,1,7952,3163,8562,6649,2.17209427851745,0.283735156531126,3235,0.141867578265563,0.141867578265563,3.42206095791001,15.0190476190476,7.50952380952381,6.38635703918723,15.0190476190476,7.50952380952381,71.3854714064915,0.568152679585333,0.374079636616726,0.577055290950507,0.898323662153449,0.0577676837979413,1,0.760090264345583,0.94187964718151,0.543516633844687,0.5,0.577055290950507,0.956638335739917,1,0.284544800287873,0.598146815401223,0.572331078454241,0.422786404676227,0.502882931416871,0.422944709049493,0.988583419033855,0.878657389661703,"sSolve",3600,"timeout" "stmt19_261_266",1,3878,210,4088,13237,32440,1,1,2,1,9466,3770,10222,8000,2.20080078567651,0.249905567726826,3878,0.124952783863413,0.124952783863413,3.43493150684932,15.752380952381,7.87619047619048,6.46526418786693,15.752380952381,7.87619047619048,79.913357400722,0.567139334155364,0.381874229346486,0.574763147054785,0.910098923796065,0.0509864364981504,1,0.763235134253723,0.946656754819784,0.544103415580889,0.5,0.574763147054785,0.959382259019678,1,0.284807735891818,0.604366548311551,0.570746443188462,0.424180807019741,0.52293033630005,0.425236852945215,0.988182393107056,0.916221804867869,"X2clsQ",3600,"timeout" "stmt19_261_266",1,3878,210,4088,13237,32440,1,1,2,1,9466,3770,10222,8000,2.20080078567651,0.249905567726826,3878,0.124952783863413,0.124952783863413,3.43493150684932,15.752380952381,7.87619047619048,6.46526418786693,15.752380952381,7.87619047619048,79.913357400722,0.567139334155364,0.381874229346486,0.574763147054785,0.910098923796065,0.0509864364981504,1,0.763235134253723,0.946656754819784,0.544103415580889,0.5,0.574763147054785,0.959382259019678,1,0.284807735891818,0.604366548311551,0.570746443188462,0.424180807019741,0.52293033630005,0.425236852945215,0.988182393107056,0.916221804867869,"quantor",3600,"memout" "stmt19_261_266",1,3878,210,4088,13237,32440,1,1,2,1,9466,3770,10222,8000,2.20080078567651,0.249905567726826,3878,0.124952783863413,0.124952783863413,3.43493150684932,15.752380952381,7.87619047619048,6.46526418786693,15.752380952381,7.87619047619048,79.913357400722,0.567139334155364,0.381874229346486,0.574763147054785,0.910098923796065,0.0509864364981504,1,0.763235134253723,0.946656754819784,0.544103415580889,0.5,0.574763147054785,0.959382259019678,1,0.284807735891818,0.604366548311551,0.570746443188462,0.424180807019741,0.52293033630005,0.425236852945215,0.988182393107056,0.916221804867869,"QuBE",3600,"timeout" "stmt19_261_266",1,3878,210,4088,13237,32440,1,1,2,1,9466,3770,10222,8000,2.20080078567651,0.249905567726826,3878,0.124952783863413,0.124952783863413,3.43493150684932,15.752380952381,7.87619047619048,6.46526418786693,15.752380952381,7.87619047619048,79.913357400722,0.567139334155364,0.381874229346486,0.574763147054785,0.910098923796065,0.0509864364981504,1,0.763235134253723,0.946656754819784,0.544103415580889,0.5,0.574763147054785,0.959382259019678,1,0.284807735891818,0.604366548311551,0.570746443188462,0.424180807019741,0.52293033630005,0.425236852945215,0.988182393107056,0.916221804867869,"sKizzo",2.85,"ok" "stmt19_261_266",1,3878,210,4088,13237,32440,1,1,2,1,9466,3770,10222,8000,2.20080078567651,0.249905567726826,3878,0.124952783863413,0.124952783863413,3.43493150684932,15.752380952381,7.87619047619048,6.46526418786693,15.752380952381,7.87619047619048,79.913357400722,0.567139334155364,0.381874229346486,0.574763147054785,0.910098923796065,0.0509864364981504,1,0.763235134253723,0.946656754819784,0.544103415580889,0.5,0.574763147054785,0.959382259019678,1,0.284807735891818,0.604366548311551,0.570746443188462,0.424180807019741,0.52293033630005,0.425236852945215,0.988182393107056,0.916221804867869,"sSolve",3600,"timeout" "stmt19_3_177",1,1563,157,1720,5507,13632,1,1,2,1,3931,1575,4216,3195,2.09369892863628,0.381696023243145,1563,0.190848011621573,0.190848011621573,3.40116279069767,13.3885350318471,6.69426751592357,6.19127906976744,13.3885350318471,6.69426751592357,54.2252079334613,0.570862676056338,0.352039319248826,0.583781439722463,0.864944744281676,0.0770980046948357,1,0.751734772552043,0.930763188643484,0.543362274306964,0.5,0.583781439722463,0.95182658999647,1,0.285999636825858,0.580170691846741,0.576782449725777,0.419763871253115,0.45760035479646,0.416218560277537,0.991839471168268,0.79336733462334,"X2clsQ",3600,"timeout" "stmt19_3_177",1,1563,157,1720,5507,13632,1,1,2,1,3931,1575,4216,3195,2.09369892863628,0.381696023243145,1563,0.190848011621573,0.190848011621573,3.40116279069767,13.3885350318471,6.69426751592357,6.19127906976744,13.3885350318471,6.69426751592357,54.2252079334613,0.570862676056338,0.352039319248826,0.583781439722463,0.864944744281676,0.0770980046948357,1,0.751734772552043,0.930763188643484,0.543362274306964,0.5,0.583781439722463,0.95182658999647,1,0.285999636825858,0.580170691846741,0.576782449725777,0.419763871253115,0.45760035479646,0.416218560277537,0.991839471168268,0.79336733462334,"quantor",3600,"memout" "stmt19_3_177",1,1563,157,1720,5507,13632,1,1,2,1,3931,1575,4216,3195,2.09369892863628,0.381696023243145,1563,0.190848011621573,0.190848011621573,3.40116279069767,13.3885350318471,6.69426751592357,6.19127906976744,13.3885350318471,6.69426751592357,54.2252079334613,0.570862676056338,0.352039319248826,0.583781439722463,0.864944744281676,0.0770980046948357,1,0.751734772552043,0.930763188643484,0.543362274306964,0.5,0.583781439722463,0.95182658999647,1,0.285999636825858,0.580170691846741,0.576782449725777,0.419763871253115,0.45760035479646,0.416218560277537,0.991839471168268,0.79336733462334,"QuBE",3600,"timeout" "stmt19_3_177",1,1563,157,1720,5507,13632,1,1,2,1,3931,1575,4216,3195,2.09369892863628,0.381696023243145,1563,0.190848011621573,0.190848011621573,3.40116279069767,13.3885350318471,6.69426751592357,6.19127906976744,13.3885350318471,6.69426751592357,54.2252079334613,0.570862676056338,0.352039319248826,0.583781439722463,0.864944744281676,0.0770980046948357,1,0.751734772552043,0.930763188643484,0.543362274306964,0.5,0.583781439722463,0.95182658999647,1,0.285999636825858,0.580170691846741,0.576782449725777,0.419763871253115,0.45760035479646,0.416218560277537,0.991839471168268,0.79336733462334,"sKizzo",3600,"memout" "stmt19_3_177",1,1563,157,1720,5507,13632,1,1,2,1,3931,1575,4216,3195,2.09369892863628,0.381696023243145,1563,0.190848011621573,0.190848011621573,3.40116279069767,13.3885350318471,6.69426751592357,6.19127906976744,13.3885350318471,6.69426751592357,54.2252079334613,0.570862676056338,0.352039319248826,0.583781439722463,0.864944744281676,0.0770980046948357,1,0.751734772552043,0.930763188643484,0.543362274306964,0.5,0.583781439722463,0.95182658999647,1,0.285999636825858,0.580170691846741,0.576782449725777,0.419763871253115,0.45760035479646,0.416218560277537,0.991839471168268,0.79336733462334,"sSolve",3600,"timeout" "stmt19_3_309",1,2634,235,2869,9441,23288,1,1,2,1,6796,2644,7285,5565,2.04829996822371,0.418387882639551,2634,0.209193941319775,0.209193941319775,3.4841408156152,16.8085106382979,8.40425531914894,6.27988846287905,16.8085106382979,8.40425531914894,63.1936218678815,0.570766059773274,0.34442631398145,0.585220808770297,0.851414384592236,0.0848076262452765,1,0.752031297020764,0.904469947078437,0.529314633937671,0.5,0.585220808770297,0.927375804629888,1,0.280055078911132,0.589450270098506,0.577323699150753,0.409919623348293,0.565659656328023,0.414779191229703,0.969819274287858,0.979796355424369,"X2clsQ",3600,"timeout" "stmt19_3_309",1,2634,235,2869,9441,23288,1,1,2,1,6796,2644,7285,5565,2.04829996822371,0.418387882639551,2634,0.209193941319775,0.209193941319775,3.4841408156152,16.8085106382979,8.40425531914894,6.27988846287905,16.8085106382979,8.40425531914894,63.1936218678815,0.570766059773274,0.34442631398145,0.585220808770297,0.851414384592236,0.0848076262452765,1,0.752031297020764,0.904469947078437,0.529314633937671,0.5,0.585220808770297,0.927375804629888,1,0.280055078911132,0.589450270098506,0.577323699150753,0.409919623348293,0.565659656328023,0.414779191229703,0.969819274287858,0.979796355424369,"quantor",3600,"memout" "stmt19_3_309",1,2634,235,2869,9441,23288,1,1,2,1,6796,2644,7285,5565,2.04829996822371,0.418387882639551,2634,0.209193941319775,0.209193941319775,3.4841408156152,16.8085106382979,8.40425531914894,6.27988846287905,16.8085106382979,8.40425531914894,63.1936218678815,0.570766059773274,0.34442631398145,0.585220808770297,0.851414384592236,0.0848076262452765,1,0.752031297020764,0.904469947078437,0.529314633937671,0.5,0.585220808770297,0.927375804629888,1,0.280055078911132,0.589450270098506,0.577323699150753,0.409919623348293,0.565659656328023,0.414779191229703,0.969819274287858,0.979796355424369,"QuBE",3600,"timeout" "stmt19_3_309",1,2634,235,2869,9441,23288,1,1,2,1,6796,2644,7285,5565,2.04829996822371,0.418387882639551,2634,0.209193941319775,0.209193941319775,3.4841408156152,16.8085106382979,8.40425531914894,6.27988846287905,16.8085106382979,8.40425531914894,63.1936218678815,0.570766059773274,0.34442631398145,0.585220808770297,0.851414384592236,0.0848076262452765,1,0.752031297020764,0.904469947078437,0.529314633937671,0.5,0.585220808770297,0.927375804629888,1,0.280055078911132,0.589450270098506,0.577323699150753,0.409919623348293,0.565659656328023,0.414779191229703,0.969819274287858,0.979796355424369,"sKizzo",3600,"memout" "stmt19_3_309",1,2634,235,2869,9441,23288,1,1,2,1,6796,2644,7285,5565,2.04829996822371,0.418387882639551,2634,0.209193941319775,0.209193941319775,3.4841408156152,16.8085106382979,8.40425531914894,6.27988846287905,16.8085106382979,8.40425531914894,63.1936218678815,0.570766059773274,0.34442631398145,0.585220808770297,0.851414384592236,0.0848076262452765,1,0.752031297020764,0.904469947078437,0.529314633937671,0.5,0.585220808770297,0.927375804629888,1,0.280055078911132,0.589450270098506,0.577323699150753,0.409919623348293,0.565659656328023,0.414779191229703,0.969819274287858,0.979796355424369,"sSolve",3600,"timeout" "stmt19_3_78",1,1061,107,1168,3716,9261,1,1,2,1,2645,1070,2832,2109,2.08907427341227,0.403121636167923,1061,0.201560818083961,0.201560818083961,3.38784246575342,14,7,6.13441780821918,14,7,49.2309142318567,0.572724327826369,0.346398877011122,0.586757696766714,0.858785822021116,0.0808767951625095,1,0.7460407239819,0.922782098492345,0.541449498728923,0.5,0.586757696766714,0.945392874760286,1,0.28794402583423,0.567545748116254,0.579123590225564,0.414887359775499,0.480263157894737,0.413242303233286,0.985770050637557,0.829293031747642,"X2clsQ",3600,"timeout" "stmt19_3_78",1,1061,107,1168,3716,9261,1,1,2,1,2645,1070,2832,2109,2.08907427341227,0.403121636167923,1061,0.201560818083961,0.201560818083961,3.38784246575342,14,7,6.13441780821918,14,7,49.2309142318567,0.572724327826369,0.346398877011122,0.586757696766714,0.858785822021116,0.0808767951625095,1,0.7460407239819,0.922782098492345,0.541449498728923,0.5,0.586757696766714,0.945392874760286,1,0.28794402583423,0.567545748116254,0.579123590225564,0.414887359775499,0.480263157894737,0.413242303233286,0.985770050637557,0.829293031747642,"quantor",125.7,"ok" "stmt19_3_78",1,1061,107,1168,3716,9261,1,1,2,1,2645,1070,2832,2109,2.08907427341227,0.403121636167923,1061,0.201560818083961,0.201560818083961,3.38784246575342,14,7,6.13441780821918,14,7,49.2309142318567,0.572724327826369,0.346398877011122,0.586757696766714,0.858785822021116,0.0808767951625095,1,0.7460407239819,0.922782098492345,0.541449498728923,0.5,0.586757696766714,0.945392874760286,1,0.28794402583423,0.567545748116254,0.579123590225564,0.414887359775499,0.480263157894737,0.413242303233286,0.985770050637557,0.829293031747642,"QuBE",3600,"timeout" "stmt19_3_78",1,1061,107,1168,3716,9261,1,1,2,1,2645,1070,2832,2109,2.08907427341227,0.403121636167923,1061,0.201560818083961,0.201560818083961,3.38784246575342,14,7,6.13441780821918,14,7,49.2309142318567,0.572724327826369,0.346398877011122,0.586757696766714,0.858785822021116,0.0808767951625095,1,0.7460407239819,0.922782098492345,0.541449498728923,0.5,0.586757696766714,0.945392874760286,1,0.28794402583423,0.567545748116254,0.579123590225564,0.414887359775499,0.480263157894737,0.413242303233286,0.985770050637557,0.829293031747642,"sKizzo",3600,"memout" "stmt19_3_78",1,1061,107,1168,3716,9261,1,1,2,1,2645,1070,2832,2109,2.08907427341227,0.403121636167923,1061,0.201560818083961,0.201560818083961,3.38784246575342,14,7,6.13441780821918,14,7,49.2309142318567,0.572724327826369,0.346398877011122,0.586757696766714,0.858785822021116,0.0808767951625095,1,0.7460407239819,0.922782098492345,0.541449498728923,0.5,0.586757696766714,0.945392874760286,1,0.28794402583423,0.567545748116254,0.579123590225564,0.414887359775499,0.480263157894737,0.413242303233286,0.985770050637557,0.829293031747642,"sSolve",3600,"timeout" "stmt19_3_83",1,1063,107,1170,3725,9284,1,1,2,1,2652,1072,2839,2116,2.08912751677852,0.403221476510067,1063,0.201610738255034,0.201610738255034,3.39230769230769,14.0373831775701,7.01869158878505,6.14273504273504,14.0373831775701,7.01869158878505,49.2850423330198,0.572490305902628,0.346617837139164,0.586481624261115,0.858701787394167,0.0808918569582077,1,0.746754468485419,0.922589891550135,0.54108201812321,0.5,0.586481624261115,0.945137432973825,1,0.287785234899329,0.568053691275168,0.578870268369858,0.415084858419733,0.48118300473916,0.413518375738885,0.985646054513866,0.831244980147637,"X2clsQ",3600,"timeout" "stmt19_3_83",1,1063,107,1170,3725,9284,1,1,2,1,2652,1072,2839,2116,2.08912751677852,0.403221476510067,1063,0.201610738255034,0.201610738255034,3.39230769230769,14.0373831775701,7.01869158878505,6.14273504273504,14.0373831775701,7.01869158878505,49.2850423330198,0.572490305902628,0.346617837139164,0.586481624261115,0.858701787394167,0.0808918569582077,1,0.746754468485419,0.922589891550135,0.54108201812321,0.5,0.586481624261115,0.945137432973825,1,0.287785234899329,0.568053691275168,0.578870268369858,0.415084858419733,0.48118300473916,0.413518375738885,0.985646054513866,0.831244980147637,"quantor",242.13,"ok" "stmt19_3_83",1,1063,107,1170,3725,9284,1,1,2,1,2652,1072,2839,2116,2.08912751677852,0.403221476510067,1063,0.201610738255034,0.201610738255034,3.39230769230769,14.0373831775701,7.01869158878505,6.14273504273504,14.0373831775701,7.01869158878505,49.2850423330198,0.572490305902628,0.346617837139164,0.586481624261115,0.858701787394167,0.0808918569582077,1,0.746754468485419,0.922589891550135,0.54108201812321,0.5,0.586481624261115,0.945137432973825,1,0.287785234899329,0.568053691275168,0.578870268369858,0.415084858419733,0.48118300473916,0.413518375738885,0.985646054513866,0.831244980147637,"QuBE",3600,"timeout" "stmt19_3_83",1,1063,107,1170,3725,9284,1,1,2,1,2652,1072,2839,2116,2.08912751677852,0.403221476510067,1063,0.201610738255034,0.201610738255034,3.39230769230769,14.0373831775701,7.01869158878505,6.14273504273504,14.0373831775701,7.01869158878505,49.2850423330198,0.572490305902628,0.346617837139164,0.586481624261115,0.858701787394167,0.0808918569582077,1,0.746754468485419,0.922589891550135,0.54108201812321,0.5,0.586481624261115,0.945137432973825,1,0.287785234899329,0.568053691275168,0.578870268369858,0.415084858419733,0.48118300473916,0.413518375738885,0.985646054513866,0.831244980147637,"sKizzo",3600,"memout" "stmt19_3_83",1,1063,107,1170,3725,9284,1,1,2,1,2652,1072,2839,2116,2.08912751677852,0.403221476510067,1063,0.201610738255034,0.201610738255034,3.39230769230769,14.0373831775701,7.01869158878505,6.14273504273504,14.0373831775701,7.01869158878505,49.2850423330198,0.572490305902628,0.346617837139164,0.586481624261115,0.858701787394167,0.0808918569582077,1,0.746754468485419,0.922589891550135,0.54108201812321,0.5,0.586481624261115,0.945137432973825,1,0.287785234899329,0.568053691275168,0.578870268369858,0.415084858419733,0.48118300473916,0.413518375738885,0.985646054513866,0.831244980147637,"sSolve",3600,"timeout" "stmt19_313_318",1,4640,235,4875,16232,39943,1,1,2,1,11728,4503,12574,9606,2.20767619517003,0.253080335140463,4640,0.126540167570232,0.126540167570232,3.51528205128205,17.4808510638298,8.74042553191489,6.60923076923077,17.4808510638298,8.74042553191489,90.1556034482759,0.570963623163007,0.377613098665599,0.579098646574578,0.909935981759186,0.0514232781713942,1,0.75142506357976,0.942591171945885,0.545853271947007,0.5,0.579098646574578,0.956021101525007,1,0.277414982750123,0.591793987185806,0.574810631053868,0.418245452232975,0.562294788303797,0.420901353425422,0.983668649264753,0.978226146014168,"X2clsQ",3600,"timeout" "stmt19_313_318",1,4640,235,4875,16232,39943,1,1,2,1,11728,4503,12574,9606,2.20767619517003,0.253080335140463,4640,0.126540167570232,0.126540167570232,3.51528205128205,17.4808510638298,8.74042553191489,6.60923076923077,17.4808510638298,8.74042553191489,90.1556034482759,0.570963623163007,0.377613098665599,0.579098646574578,0.909935981759186,0.0514232781713942,1,0.75142506357976,0.942591171945885,0.545853271947007,0.5,0.579098646574578,0.956021101525007,1,0.277414982750123,0.591793987185806,0.574810631053868,0.418245452232975,0.562294788303797,0.420901353425422,0.983668649264753,0.978226146014168,"quantor",3600,"memout" "stmt19_313_318",1,4640,235,4875,16232,39943,1,1,2,1,11728,4503,12574,9606,2.20767619517003,0.253080335140463,4640,0.126540167570232,0.126540167570232,3.51528205128205,17.4808510638298,8.74042553191489,6.60923076923077,17.4808510638298,8.74042553191489,90.1556034482759,0.570963623163007,0.377613098665599,0.579098646574578,0.909935981759186,0.0514232781713942,1,0.75142506357976,0.942591171945885,0.545853271947007,0.5,0.579098646574578,0.956021101525007,1,0.277414982750123,0.591793987185806,0.574810631053868,0.418245452232975,0.562294788303797,0.420901353425422,0.983668649264753,0.978226146014168,"QuBE",3600,"timeout" "stmt19_313_318",1,4640,235,4875,16232,39943,1,1,2,1,11728,4503,12574,9606,2.20767619517003,0.253080335140463,4640,0.126540167570232,0.126540167570232,3.51528205128205,17.4808510638298,8.74042553191489,6.60923076923077,17.4808510638298,8.74042553191489,90.1556034482759,0.570963623163007,0.377613098665599,0.579098646574578,0.909935981759186,0.0514232781713942,1,0.75142506357976,0.942591171945885,0.545853271947007,0.5,0.579098646574578,0.956021101525007,1,0.277414982750123,0.591793987185806,0.574810631053868,0.418245452232975,0.562294788303797,0.420901353425422,0.983668649264753,0.978226146014168,"sKizzo",3600,"memout" "stmt19_313_318",1,4640,235,4875,16232,39943,1,1,2,1,11728,4503,12574,9606,2.20767619517003,0.253080335140463,4640,0.126540167570232,0.126540167570232,3.51528205128205,17.4808510638298,8.74042553191489,6.60923076923077,17.4808510638298,8.74042553191489,90.1556034482759,0.570963623163007,0.377613098665599,0.579098646574578,0.909935981759186,0.0514232781713942,1,0.75142506357976,0.942591171945885,0.545853271947007,0.5,0.579098646574578,0.956021101525007,1,0.277414982750123,0.591793987185806,0.574810631053868,0.418245452232975,0.562294788303797,0.420901353425422,0.983668649264753,0.978226146014168,"sSolve",3600,"timeout" "stmt19_313_412",1,5207,280,5487,18545,45768,1,1,2,1,13444,5100,14356,10860,2.18021029927204,0.287732542464276,5207,0.143866271232138,0.143866271232138,3.5657007472207,19.0571428571429,9.52857142857143,6.64516129032258,19.0571428571429,9.52857142857143,93.3923564432495,0.572517916448173,0.369188079007167,0.582088444796201,0.898179597755982,0.05829400454466,1,0.746670228599779,0.930916380665816,0.541875668257073,0.5,0.582088444796201,0.946478097347239,1,0.275006740361283,0.585602588298733,0.577006960556845,0.413123325418175,0.606534471329135,0.417911555203799,0.976666958780283,1.05117357812078,"X2clsQ",3600,"timeout" "stmt19_313_412",1,5207,280,5487,18545,45768,1,1,2,1,13444,5100,14356,10860,2.18021029927204,0.287732542464276,5207,0.143866271232138,0.143866271232138,3.5657007472207,19.0571428571429,9.52857142857143,6.64516129032258,19.0571428571429,9.52857142857143,93.3923564432495,0.572517916448173,0.369188079007167,0.582088444796201,0.898179597755982,0.05829400454466,1,0.746670228599779,0.930916380665816,0.541875668257073,0.5,0.582088444796201,0.946478097347239,1,0.275006740361283,0.585602588298733,0.577006960556845,0.413123325418175,0.606534471329135,0.417911555203799,0.976666958780283,1.05117357812078,"quantor",3600,"memout" "stmt19_313_412",1,5207,280,5487,18545,45768,1,1,2,1,13444,5100,14356,10860,2.18021029927204,0.287732542464276,5207,0.143866271232138,0.143866271232138,3.5657007472207,19.0571428571429,9.52857142857143,6.64516129032258,19.0571428571429,9.52857142857143,93.3923564432495,0.572517916448173,0.369188079007167,0.582088444796201,0.898179597755982,0.05829400454466,1,0.746670228599779,0.930916380665816,0.541875668257073,0.5,0.582088444796201,0.946478097347239,1,0.275006740361283,0.585602588298733,0.577006960556845,0.413123325418175,0.606534471329135,0.417911555203799,0.976666958780283,1.05117357812078,"QuBE",3600,"timeout" "stmt19_313_412",1,5207,280,5487,18545,45768,1,1,2,1,13444,5100,14356,10860,2.18021029927204,0.287732542464276,5207,0.143866271232138,0.143866271232138,3.5657007472207,19.0571428571429,9.52857142857143,6.64516129032258,19.0571428571429,9.52857142857143,93.3923564432495,0.572517916448173,0.369188079007167,0.582088444796201,0.898179597755982,0.05829400454466,1,0.746670228599779,0.930916380665816,0.541875668257073,0.5,0.582088444796201,0.946478097347239,1,0.275006740361283,0.585602588298733,0.577006960556845,0.413123325418175,0.606534471329135,0.417911555203799,0.976666958780283,1.05117357812078,"sKizzo",3600,"memout" "stmt19_313_412",1,5207,280,5487,18545,45768,1,1,2,1,13444,5100,14356,10860,2.18021029927204,0.287732542464276,5207,0.143866271232138,0.143866271232138,3.5657007472207,19.0571428571429,9.52857142857143,6.64516129032258,19.0571428571429,9.52857142857143,93.3923564432495,0.572517916448173,0.369188079007167,0.582088444796201,0.898179597755982,0.05829400454466,1,0.746670228599779,0.930916380665816,0.541875668257073,0.5,0.582088444796201,0.946478097347239,1,0.275006740361283,0.585602588298733,0.577006960556845,0.413123325418175,0.606534471329135,0.417911555203799,0.976666958780283,1.05117357812078,"sSolve",3600,"timeout" "stmt19_66_318",1,2921,235,3156,10444,25743,1,1,2,1,7562,2881,8094,6109,2.07343929528916,0.39142091152815,2921,0.195710455764075,0.195710455764075,3.48764258555133,17.3957446808511,8.69787234042553,6.3276299112801,17.3957446808511,8.69787234042553,66.4382060938035,0.572427456007458,0.348172318688576,0.586100207804202,0.86129207383279,0.0794002253039661,1,0.746946254071661,0.908875651448602,0.532692208182205,0.5,0.586100207804202,0.930584657657065,1,0.275852163921869,0.584929145921103,0.578674205662686,0.408628650154304,0.579148595012089,0.413899792195798,0.969863833751312,1.00081978658243,"X2clsQ",3600,"timeout" "stmt19_66_318",1,2921,235,3156,10444,25743,1,1,2,1,7562,2881,8094,6109,2.07343929528916,0.39142091152815,2921,0.195710455764075,0.195710455764075,3.48764258555133,17.3957446808511,8.69787234042553,6.3276299112801,17.3957446808511,8.69787234042553,66.4382060938035,0.572427456007458,0.348172318688576,0.586100207804202,0.86129207383279,0.0794002253039661,1,0.746946254071661,0.908875651448602,0.532692208182205,0.5,0.586100207804202,0.930584657657065,1,0.275852163921869,0.584929145921103,0.578674205662686,0.408628650154304,0.579148595012089,0.413899792195798,0.969863833751312,1.00081978658243,"quantor",3600,"memout" "stmt19_66_318",1,2921,235,3156,10444,25743,1,1,2,1,7562,2881,8094,6109,2.07343929528916,0.39142091152815,2921,0.195710455764075,0.195710455764075,3.48764258555133,17.3957446808511,8.69787234042553,6.3276299112801,17.3957446808511,8.69787234042553,66.4382060938035,0.572427456007458,0.348172318688576,0.586100207804202,0.86129207383279,0.0794002253039661,1,0.746946254071661,0.908875651448602,0.532692208182205,0.5,0.586100207804202,0.930584657657065,1,0.275852163921869,0.584929145921103,0.578674205662686,0.408628650154304,0.579148595012089,0.413899792195798,0.969863833751312,1.00081978658243,"QuBE",3600,"timeout" "stmt19_66_318",1,2921,235,3156,10444,25743,1,1,2,1,7562,2881,8094,6109,2.07343929528916,0.39142091152815,2921,0.195710455764075,0.195710455764075,3.48764258555133,17.3957446808511,8.69787234042553,6.3276299112801,17.3957446808511,8.69787234042553,66.4382060938035,0.572427456007458,0.348172318688576,0.586100207804202,0.86129207383279,0.0794002253039661,1,0.746946254071661,0.908875651448602,0.532692208182205,0.5,0.586100207804202,0.930584657657065,1,0.275852163921869,0.584929145921103,0.578674205662686,0.408628650154304,0.579148595012089,0.413899792195798,0.969863833751312,1.00081978658243,"sKizzo",4.76,"ok" "stmt19_66_318",1,2921,235,3156,10444,25743,1,1,2,1,7562,2881,8094,6109,2.07343929528916,0.39142091152815,2921,0.195710455764075,0.195710455764075,3.48764258555133,17.3957446808511,8.69787234042553,6.3276299112801,17.3957446808511,8.69787234042553,66.4382060938035,0.572427456007458,0.348172318688576,0.586100207804202,0.86129207383279,0.0794002253039661,1,0.746946254071661,0.908875651448602,0.532692208182205,0.5,0.586100207804202,0.930584657657065,1,0.275852163921869,0.584929145921103,0.578674205662686,0.408628650154304,0.579148595012089,0.413899792195798,0.969863833751312,1.00081978658243,"sSolve",3600,"timeout" "stmt19_66_90",1,1339,105,1444,4623,11446,1,1,2,1,3328,1294,3561,2638,2.15011897036556,0.325762491888384,1339,0.162881245944192,0.162881245944192,3.37950138504155,14.3428571428571,7.17142857142857,6.23753462603878,14.3428571428571,7.17142857142857,56.5735623599701,0.573650183470208,0.360562641970994,0.584808853118712,0.885318306427048,0.0657871745587978,1,0.743222662199208,0.936524749719337,0.547687964800654,0.5,0.584808853118712,0.954742072054263,1,0.279904823707549,0.570625135193597,0.578836622089217,0.41621863276716,0.4842206516947,0.415191146881288,0.988259318347782,0.836541146873161,"X2clsQ",3600,"memout" "stmt19_66_90",1,1339,105,1444,4623,11446,1,1,2,1,3328,1294,3561,2638,2.15011897036556,0.325762491888384,1339,0.162881245944192,0.162881245944192,3.37950138504155,14.3428571428571,7.17142857142857,6.23753462603878,14.3428571428571,7.17142857142857,56.5735623599701,0.573650183470208,0.360562641970994,0.584808853118712,0.885318306427048,0.0657871745587978,1,0.743222662199208,0.936524749719337,0.547687964800654,0.5,0.584808853118712,0.954742072054263,1,0.279904823707549,0.570625135193597,0.578836622089217,0.41621863276716,0.4842206516947,0.415191146881288,0.988259318347782,0.836541146873161,"quantor",3600,"memout" "stmt19_66_90",1,1339,105,1444,4623,11446,1,1,2,1,3328,1294,3561,2638,2.15011897036556,0.325762491888384,1339,0.162881245944192,0.162881245944192,3.37950138504155,14.3428571428571,7.17142857142857,6.23753462603878,14.3428571428571,7.17142857142857,56.5735623599701,0.573650183470208,0.360562641970994,0.584808853118712,0.885318306427048,0.0657871745587978,1,0.743222662199208,0.936524749719337,0.547687964800654,0.5,0.584808853118712,0.954742072054263,1,0.279904823707549,0.570625135193597,0.578836622089217,0.41621863276716,0.4842206516947,0.415191146881288,0.988259318347782,0.836541146873161,"QuBE",3600,"timeout" "stmt19_66_90",1,1339,105,1444,4623,11446,1,1,2,1,3328,1294,3561,2638,2.15011897036556,0.325762491888384,1339,0.162881245944192,0.162881245944192,3.37950138504155,14.3428571428571,7.17142857142857,6.23753462603878,14.3428571428571,7.17142857142857,56.5735623599701,0.573650183470208,0.360562641970994,0.584808853118712,0.885318306427048,0.0657871745587978,1,0.743222662199208,0.936524749719337,0.547687964800654,0.5,0.584808853118712,0.954742072054263,1,0.279904823707549,0.570625135193597,0.578836622089217,0.41621863276716,0.4842206516947,0.415191146881288,0.988259318347782,0.836541146873161,"sKizzo",3600,"memout" "stmt19_66_90",1,1339,105,1444,4623,11446,1,1,2,1,3328,1294,3561,2638,2.15011897036556,0.325762491888384,1339,0.162881245944192,0.162881245944192,3.37950138504155,14.3428571428571,7.17142857142857,6.23753462603878,14.3428571428571,7.17142857142857,56.5735623599701,0.573650183470208,0.360562641970994,0.584808853118712,0.885318306427048,0.0657871745587978,1,0.743222662199208,0.936524749719337,0.547687964800654,0.5,0.584808853118712,0.954742072054263,1,0.279904823707549,0.570625135193597,0.578836622089217,0.41621863276716,0.4842206516947,0.415191146881288,0.988259318347782,0.836541146873161,"sSolve",3600,"timeout" "stmt19_67_83",1,1094,107,1201,3835,9524,1,1,2,1,2769,1065,2955,2177,2.09230769230769,0.391134289439374,1094,0.195567144719687,0.195567144719687,3.37801831806828,14.018691588785,7.00934579439252,6.1315570358035,14.018691588785,7.00934579439252,50.4113345521024,0.574023519529609,0.347228055438891,0.587861415752742,0.862813243094933,0.0787484250314994,1,0.742088897018475,0.924905194766306,0.543716077232386,0.5,0.587861415752742,0.947201741276979,1,0.277705345501956,0.567666232073012,0.580351037155231,0.413773097263854,0.479725569812253,0.412138584247258,0.985998141062752,0.826612755210666,"X2clsQ",3600,"memout" "stmt19_67_83",1,1094,107,1201,3835,9524,1,1,2,1,2769,1065,2955,2177,2.09230769230769,0.391134289439374,1094,0.195567144719687,0.195567144719687,3.37801831806828,14.018691588785,7.00934579439252,6.1315570358035,14.018691588785,7.00934579439252,50.4113345521024,0.574023519529609,0.347228055438891,0.587861415752742,0.862813243094933,0.0787484250314994,1,0.742088897018475,0.924905194766306,0.543716077232386,0.5,0.587861415752742,0.947201741276979,1,0.277705345501956,0.567666232073012,0.580351037155231,0.413773097263854,0.479725569812253,0.412138584247258,0.985998141062752,0.826612755210666,"quantor",3600,"memout" "stmt19_67_83",1,1094,107,1201,3835,9524,1,1,2,1,2769,1065,2955,2177,2.09230769230769,0.391134289439374,1094,0.195567144719687,0.195567144719687,3.37801831806828,14.018691588785,7.00934579439252,6.1315570358035,14.018691588785,7.00934579439252,50.4113345521024,0.574023519529609,0.347228055438891,0.587861415752742,0.862813243094933,0.0787484250314994,1,0.742088897018475,0.924905194766306,0.543716077232386,0.5,0.587861415752742,0.947201741276979,1,0.277705345501956,0.567666232073012,0.580351037155231,0.413773097263854,0.479725569812253,0.412138584247258,0.985998141062752,0.826612755210666,"QuBE",3600,"timeout" "stmt19_67_83",1,1094,107,1201,3835,9524,1,1,2,1,2769,1065,2955,2177,2.09230769230769,0.391134289439374,1094,0.195567144719687,0.195567144719687,3.37801831806828,14.018691588785,7.00934579439252,6.1315570358035,14.018691588785,7.00934579439252,50.4113345521024,0.574023519529609,0.347228055438891,0.587861415752742,0.862813243094933,0.0787484250314994,1,0.742088897018475,0.924905194766306,0.543716077232386,0.5,0.587861415752742,0.947201741276979,1,0.277705345501956,0.567666232073012,0.580351037155231,0.413773097263854,0.479725569812253,0.412138584247258,0.985998141062752,0.826612755210666,"sKizzo",3600,"memout" "stmt19_67_83",1,1094,107,1201,3835,9524,1,1,2,1,2769,1065,2955,2177,2.09230769230769,0.391134289439374,1094,0.195567144719687,0.195567144719687,3.37801831806828,14.018691588785,7.00934579439252,6.1315570358035,14.018691588785,7.00934579439252,50.4113345521024,0.574023519529609,0.347228055438891,0.587861415752742,0.862813243094933,0.0787484250314994,1,0.742088897018475,0.924905194766306,0.543716077232386,0.5,0.587861415752742,0.947201741276979,1,0.277705345501956,0.567666232073012,0.580351037155231,0.413773097263854,0.479725569812253,0.412138584247258,0.985998141062752,0.826612755210666,"sSolve",3600,"timeout" "stmt19_79_87",1,9814,450,10264,40046,101313,1,1,2,1,30656,9389,33347,28352,2.21777455925685,0.312141037806523,9814,0.156070518903261,0.156070518903261,4.3908807482463,27.7777777777778,13.8888888888889,8.1728371005456,27.7777777777778,13.8888888888889,87.7625840635826,0.55516073949049,0.383149250342996,0.562924346660962,0.888879011467686,0.0616900101665137,1,0.801280113787892,0.916815515722622,0.516097775196789,0.5,0.562924346660962,0.929636659232151,1,0.234455376317235,0.707985816311242,0.558787330507137,0.427063278519441,0.749795165077662,0.437075653339038,0.967930678442019,1.34182563587684,"X2clsQ",3600,"timeout" "stmt19_79_87",1,9814,450,10264,40046,101313,1,1,2,1,30656,9389,33347,28352,2.21777455925685,0.312141037806523,9814,0.156070518903261,0.156070518903261,4.3908807482463,27.7777777777778,13.8888888888889,8.1728371005456,27.7777777777778,13.8888888888889,87.7625840635826,0.55516073949049,0.383149250342996,0.562924346660962,0.888879011467686,0.0616900101665137,1,0.801280113787892,0.916815515722622,0.516097775196789,0.5,0.562924346660962,0.929636659232151,1,0.234455376317235,0.707985816311242,0.558787330507137,0.427063278519441,0.749795165077662,0.437075653339038,0.967930678442019,1.34182563587684,"quantor",3600,"memout" "stmt19_79_87",1,9814,450,10264,40046,101313,1,1,2,1,30656,9389,33347,28352,2.21777455925685,0.312141037806523,9814,0.156070518903261,0.156070518903261,4.3908807482463,27.7777777777778,13.8888888888889,8.1728371005456,27.7777777777778,13.8888888888889,87.7625840635826,0.55516073949049,0.383149250342996,0.562924346660962,0.888879011467686,0.0616900101665137,1,0.801280113787892,0.916815515722622,0.516097775196789,0.5,0.562924346660962,0.929636659232151,1,0.234455376317235,0.707985816311242,0.558787330507137,0.427063278519441,0.749795165077662,0.437075653339038,0.967930678442019,1.34182563587684,"QuBE",3600,"timeout" "stmt19_79_87",1,9814,450,10264,40046,101313,1,1,2,1,30656,9389,33347,28352,2.21777455925685,0.312141037806523,9814,0.156070518903261,0.156070518903261,4.3908807482463,27.7777777777778,13.8888888888889,8.1728371005456,27.7777777777778,13.8888888888889,87.7625840635826,0.55516073949049,0.383149250342996,0.562924346660962,0.888879011467686,0.0616900101665137,1,0.801280113787892,0.916815515722622,0.516097775196789,0.5,0.562924346660962,0.929636659232151,1,0.234455376317235,0.707985816311242,0.558787330507137,0.427063278519441,0.749795165077662,0.437075653339038,0.967930678442019,1.34182563587684,"sKizzo",3600,"memout" "stmt19_79_87",1,9814,450,10264,40046,101313,1,1,2,1,30656,9389,33347,28352,2.21777455925685,0.312141037806523,9814,0.156070518903261,0.156070518903261,4.3908807482463,27.7777777777778,13.8888888888889,8.1728371005456,27.7777777777778,13.8888888888889,87.7625840635826,0.55516073949049,0.383149250342996,0.562924346660962,0.888879011467686,0.0616900101665137,1,0.801280113787892,0.916815515722622,0.516097775196789,0.5,0.562924346660962,0.929636659232151,1,0.234455376317235,0.707985816311242,0.558787330507137,0.427063278519441,0.749795165077662,0.437075653339038,0.967930678442019,1.34182563587684,"sSolve",3600,"timeout" "stmt19_83_302",1,2890,235,3125,10282,25343,1,1,2,1,7399,2882,7932,6065,2.08159891071776,0.383193931141801,2890,0.191596965570901,0.191596965570901,3.48224,16.7659574468085,8.38297872340426,6.33408,16.7659574468085,8.38297872340426,65.2712802768166,0.570611214141972,0.351655289429034,0.583609774330701,0.863771523407786,0.0777334964289942,1,0.752506742272319,0.91320610633868,0.532956009637736,0.5,0.583609774330701,0.934009000224683,1,0.280295662322505,0.589865784866757,0.576562700551919,0.412299585020838,0.560407489638435,0.416390225669299,0.973696898119839,0.971980131739325,"X2clsQ",3600,"timeout" "stmt19_83_302",1,2890,235,3125,10282,25343,1,1,2,1,7399,2882,7932,6065,2.08159891071776,0.383193931141801,2890,0.191596965570901,0.191596965570901,3.48224,16.7659574468085,8.38297872340426,6.33408,16.7659574468085,8.38297872340426,65.2712802768166,0.570611214141972,0.351655289429034,0.583609774330701,0.863771523407786,0.0777334964289942,1,0.752506742272319,0.91320610633868,0.532956009637736,0.5,0.583609774330701,0.934009000224683,1,0.280295662322505,0.589865784866757,0.576562700551919,0.412299585020838,0.560407489638435,0.416390225669299,0.973696898119839,0.971980131739325,"quantor",3600,"memout" "stmt19_83_302",1,2890,235,3125,10282,25343,1,1,2,1,7399,2882,7932,6065,2.08159891071776,0.383193931141801,2890,0.191596965570901,0.191596965570901,3.48224,16.7659574468085,8.38297872340426,6.33408,16.7659574468085,8.38297872340426,65.2712802768166,0.570611214141972,0.351655289429034,0.583609774330701,0.863771523407786,0.0777334964289942,1,0.752506742272319,0.91320610633868,0.532956009637736,0.5,0.583609774330701,0.934009000224683,1,0.280295662322505,0.589865784866757,0.576562700551919,0.412299585020838,0.560407489638435,0.416390225669299,0.973696898119839,0.971980131739325,"QuBE",3600,"timeout" "stmt19_83_302",1,2890,235,3125,10282,25343,1,1,2,1,7399,2882,7932,6065,2.08159891071776,0.383193931141801,2890,0.191596965570901,0.191596965570901,3.48224,16.7659574468085,8.38297872340426,6.33408,16.7659574468085,8.38297872340426,65.2712802768166,0.570611214141972,0.351655289429034,0.583609774330701,0.863771523407786,0.0777334964289942,1,0.752506742272319,0.91320610633868,0.532956009637736,0.5,0.583609774330701,0.934009000224683,1,0.280295662322505,0.589865784866757,0.576562700551919,0.412299585020838,0.560407489638435,0.416390225669299,0.973696898119839,0.971980131739325,"sKizzo",5.11,"ok" "stmt19_83_302",1,2890,235,3125,10282,25343,1,1,2,1,7399,2882,7932,6065,2.08159891071776,0.383193931141801,2890,0.191596965570901,0.191596965570901,3.48224,16.7659574468085,8.38297872340426,6.33408,16.7659574468085,8.38297872340426,65.2712802768166,0.570611214141972,0.351655289429034,0.583609774330701,0.863771523407786,0.0777334964289942,1,0.752506742272319,0.91320610633868,0.532956009637736,0.5,0.583609774330701,0.934009000224683,1,0.280295662322505,0.589865784866757,0.576562700551919,0.412299585020838,0.560407489638435,0.416390225669299,0.973696898119839,0.971980131739325,"sSolve",3600,"timeout" "stmt19_83_90",1,1344,105,1449,4645,11502,1,1,2,1,3345,1299,3577,2655,2.15199138858988,0.324219590958019,1344,0.16210979547901,0.16210979547901,3.3871635610766,14.3428571428571,7.17142857142857,6.25465838509317,14.3428571428571,7.17142857142857,56.7410714285714,0.573291601460616,0.361241523213354,0.584333733493397,0.885805277525023,0.0654668753260303,1,0.744313011828935,0.936962050078247,0.54749853286385,0.5,0.584333733493397,0.955008814831665,1,0.279655543595264,0.571582346609257,0.578425900083729,0.416746615964276,0.483365894501814,0.415666266506603,0.988548907646475,0.835657418576598,"X2clsQ",3600,"memout" "stmt19_83_90",1,1344,105,1449,4645,11502,1,1,2,1,3345,1299,3577,2655,2.15199138858988,0.324219590958019,1344,0.16210979547901,0.16210979547901,3.3871635610766,14.3428571428571,7.17142857142857,6.25465838509317,14.3428571428571,7.17142857142857,56.7410714285714,0.573291601460616,0.361241523213354,0.584333733493397,0.885805277525023,0.0654668753260303,1,0.744313011828935,0.936962050078247,0.54749853286385,0.5,0.584333733493397,0.955008814831665,1,0.279655543595264,0.571582346609257,0.578425900083729,0.416746615964276,0.483365894501814,0.415666266506603,0.988548907646475,0.835657418576598,"quantor",3600,"memout" "stmt19_83_90",1,1344,105,1449,4645,11502,1,1,2,1,3345,1299,3577,2655,2.15199138858988,0.324219590958019,1344,0.16210979547901,0.16210979547901,3.3871635610766,14.3428571428571,7.17142857142857,6.25465838509317,14.3428571428571,7.17142857142857,56.7410714285714,0.573291601460616,0.361241523213354,0.584333733493397,0.885805277525023,0.0654668753260303,1,0.744313011828935,0.936962050078247,0.54749853286385,0.5,0.584333733493397,0.955008814831665,1,0.279655543595264,0.571582346609257,0.578425900083729,0.416746615964276,0.483365894501814,0.415666266506603,0.988548907646475,0.835657418576598,"QuBE",3600,"timeout" "stmt19_83_90",1,1344,105,1449,4645,11502,1,1,2,1,3345,1299,3577,2655,2.15199138858988,0.324219590958019,1344,0.16210979547901,0.16210979547901,3.3871635610766,14.3428571428571,7.17142857142857,6.25465838509317,14.3428571428571,7.17142857142857,56.7410714285714,0.573291601460616,0.361241523213354,0.584333733493397,0.885805277525023,0.0654668753260303,1,0.744313011828935,0.936962050078247,0.54749853286385,0.5,0.584333733493397,0.955008814831665,1,0.279655543595264,0.571582346609257,0.578425900083729,0.416746615964276,0.483365894501814,0.415666266506603,0.988548907646475,0.835657418576598,"sKizzo",0.27,"ok" "stmt19_83_90",1,1344,105,1449,4645,11502,1,1,2,1,3345,1299,3577,2655,2.15199138858988,0.324219590958019,1344,0.16210979547901,0.16210979547901,3.3871635610766,14.3428571428571,7.17142857142857,6.25465838509317,14.3428571428571,7.17142857142857,56.7410714285714,0.573291601460616,0.361241523213354,0.584333733493397,0.885805277525023,0.0654668753260303,1,0.744313011828935,0.936962050078247,0.54749853286385,0.5,0.584333733493397,0.955008814831665,1,0.279655543595264,0.571582346609257,0.578425900083729,0.416746615964276,0.483365894501814,0.415666266506603,0.988548907646475,0.835657418576598,"sSolve",3600,"timeout" "stmt19_90_133",1,1590,132,1722,5521,13634,1,1,2,1,3973,1547,4253,3190,2.14345227313892,0.326027893497555,1590,0.163013946748777,0.163013946748777,3.38617886178862,13.6363636363636,6.81818181818182,6.24970963995354,13.6363636363636,6.81818181818182,57.1496855345912,0.572319201995013,0.36166935602171,0.58331924961974,0.884659746251442,0.0660114419832771,1,0.747276688453159,0.940035593590939,0.548340857069313,0.5,0.58331924961974,0.958103196883637,1,0.280202861800398,0.577793877920666,0.577430501020889,0.419378517177309,0.461006325228094,0.41668075038026,0.992448622511773,0.798375431178369,"X2clsQ",3600,"memout" "stmt19_90_133",1,1590,132,1722,5521,13634,1,1,2,1,3973,1547,4253,3190,2.14345227313892,0.326027893497555,1590,0.163013946748777,0.163013946748777,3.38617886178862,13.6363636363636,6.81818181818182,6.24970963995354,13.6363636363636,6.81818181818182,57.1496855345912,0.572319201995013,0.36166935602171,0.58331924961974,0.884659746251442,0.0660114419832771,1,0.747276688453159,0.940035593590939,0.548340857069313,0.5,0.58331924961974,0.958103196883637,1,0.280202861800398,0.577793877920666,0.577430501020889,0.419378517177309,0.461006325228094,0.41668075038026,0.992448622511773,0.798375431178369,"quantor",3600,"memout" "stmt19_90_133",1,1590,132,1722,5521,13634,1,1,2,1,3973,1547,4253,3190,2.14345227313892,0.326027893497555,1590,0.163013946748777,0.163013946748777,3.38617886178862,13.6363636363636,6.81818181818182,6.24970963995354,13.6363636363636,6.81818181818182,57.1496855345912,0.572319201995013,0.36166935602171,0.58331924961974,0.884659746251442,0.0660114419832771,1,0.747276688453159,0.940035593590939,0.548340857069313,0.5,0.58331924961974,0.958103196883637,1,0.280202861800398,0.577793877920666,0.577430501020889,0.419378517177309,0.461006325228094,0.41668075038026,0.992448622511773,0.798375431178369,"QuBE",3600,"timeout" "stmt19_90_133",1,1590,132,1722,5521,13634,1,1,2,1,3973,1547,4253,3190,2.14345227313892,0.326027893497555,1590,0.163013946748777,0.163013946748777,3.38617886178862,13.6363636363636,6.81818181818182,6.24970963995354,13.6363636363636,6.81818181818182,57.1496855345912,0.572319201995013,0.36166935602171,0.58331924961974,0.884659746251442,0.0660114419832771,1,0.747276688453159,0.940035593590939,0.548340857069313,0.5,0.58331924961974,0.958103196883637,1,0.280202861800398,0.577793877920666,0.577430501020889,0.419378517177309,0.461006325228094,0.41668075038026,0.992448622511773,0.798375431178369,"sKizzo",3600,"memout" "stmt19_90_133",1,1590,132,1722,5521,13634,1,1,2,1,3973,1547,4253,3190,2.14345227313892,0.326027893497555,1590,0.163013946748777,0.163013946748777,3.38617886178862,13.6363636363636,6.81818181818182,6.24970963995354,13.6363636363636,6.81818181818182,57.1496855345912,0.572319201995013,0.36166935602171,0.58331924961974,0.884659746251442,0.0660114419832771,1,0.747276688453159,0.940035593590939,0.548340857069313,0.5,0.58331924961974,0.958103196883637,1,0.280202861800398,0.577793877920666,0.577430501020889,0.419378517177309,0.461006325228094,0.41668075038026,0.992448622511773,0.798375431178369,"sSolve",3600,"timeout" "stmt2_649_723",1,512,67,579,1868,4667,1,1,2,1,1421,446,1515,1345,2.0958244111349,0.402569593147752,512,0.201284796573876,0.201284796573876,3.67875647668394,11.2238805970149,5.61194029850746,6.70811744386874,11.2238805970149,5.61194029850746,35.12109375,0.543604028283694,0.375830297835869,0.551979565772669,0.851793456838786,0.0805656738804371,1,0.83957430035475,0.94864247977823,0.523631264061496,0.5,0.551979565772669,0.963258616229799,1,0.238758029978587,0.720021413276231,0.547424842694011,0.46225293492193,0.378619602987162,0.448020434227331,1.02138380213697,0.691637597453346,"X2clsQ",3600,"timeout" "stmt2_649_723",1,512,67,579,1868,4667,1,1,2,1,1421,446,1515,1345,2.0958244111349,0.402569593147752,512,0.201284796573876,0.201284796573876,3.67875647668394,11.2238805970149,5.61194029850746,6.70811744386874,11.2238805970149,5.61194029850746,35.12109375,0.543604028283694,0.375830297835869,0.551979565772669,0.851793456838786,0.0805656738804371,1,0.83957430035475,0.94864247977823,0.523631264061496,0.5,0.551979565772669,0.963258616229799,1,0.238758029978587,0.720021413276231,0.547424842694011,0.46225293492193,0.378619602987162,0.448020434227331,1.02138380213697,0.691637597453346,"quantor",3600,"memout" "stmt2_649_723",1,512,67,579,1868,4667,1,1,2,1,1421,446,1515,1345,2.0958244111349,0.402569593147752,512,0.201284796573876,0.201284796573876,3.67875647668394,11.2238805970149,5.61194029850746,6.70811744386874,11.2238805970149,5.61194029850746,35.12109375,0.543604028283694,0.375830297835869,0.551979565772669,0.851793456838786,0.0805656738804371,1,0.83957430035475,0.94864247977823,0.523631264061496,0.5,0.551979565772669,0.963258616229799,1,0.238758029978587,0.720021413276231,0.547424842694011,0.46225293492193,0.378619602987162,0.448020434227331,1.02138380213697,0.691637597453346,"QuBE",3600,"timeout" "stmt2_649_723",1,512,67,579,1868,4667,1,1,2,1,1421,446,1515,1345,2.0958244111349,0.402569593147752,512,0.201284796573876,0.201284796573876,3.67875647668394,11.2238805970149,5.61194029850746,6.70811744386874,11.2238805970149,5.61194029850746,35.12109375,0.543604028283694,0.375830297835869,0.551979565772669,0.851793456838786,0.0805656738804371,1,0.83957430035475,0.94864247977823,0.523631264061496,0.5,0.551979565772669,0.963258616229799,1,0.238758029978587,0.720021413276231,0.547424842694011,0.46225293492193,0.378619602987162,0.448020434227331,1.02138380213697,0.691637597453346,"sKizzo",3600,"memout" "stmt2_649_723",1,512,67,579,1868,4667,1,1,2,1,1421,446,1515,1345,2.0958244111349,0.402569593147752,512,0.201284796573876,0.201284796573876,3.67875647668394,11.2238805970149,5.61194029850746,6.70811744386874,11.2238805970149,5.61194029850746,35.12109375,0.543604028283694,0.375830297835869,0.551979565772669,0.851793456838786,0.0805656738804371,1,0.83957430035475,0.94864247977823,0.523631264061496,0.5,0.551979565772669,0.963258616229799,1,0.238758029978587,0.720021413276231,0.547424842694011,0.46225293492193,0.378619602987162,0.448020434227331,1.02138380213697,0.691637597453346,"sSolve",2280.56,"ok" "stmt2_649_776",1,599,92,691,2284,5741,1,1,2,1,1756,527,1863,1644,2.05647985989492,0.457092819614711,599,0.228546409807356,0.228546409807356,3.77424023154848,11.3478260869565,5.67391304347826,6.79305354558611,11.3478260869565,5.67391304347826,36.5642737896494,0.545723741508448,0.363351332520467,0.555886736214605,0.833386530481966,0.0909249259710852,1,0.832428981806575,0.943809269295426,0.524651054317726,0.5,0.555886736214605,0.961385797267176,1,0.230735551663748,0.719789842381786,0.550296991760874,0.461082067864912,0.375615435240801,0.444113263785395,1.02530349901448,0.682568578176093,"X2clsQ",3600,"timeout" "stmt2_649_776",1,599,92,691,2284,5741,1,1,2,1,1756,527,1863,1644,2.05647985989492,0.457092819614711,599,0.228546409807356,0.228546409807356,3.77424023154848,11.3478260869565,5.67391304347826,6.79305354558611,11.3478260869565,5.67391304347826,36.5642737896494,0.545723741508448,0.363351332520467,0.555886736214605,0.833386530481966,0.0909249259710852,1,0.832428981806575,0.943809269295426,0.524651054317726,0.5,0.555886736214605,0.961385797267176,1,0.230735551663748,0.719789842381786,0.550296991760874,0.461082067864912,0.375615435240801,0.444113263785395,1.02530349901448,0.682568578176093,"quantor",3600,"memout" "stmt2_649_776",1,599,92,691,2284,5741,1,1,2,1,1756,527,1863,1644,2.05647985989492,0.457092819614711,599,0.228546409807356,0.228546409807356,3.77424023154848,11.3478260869565,5.67391304347826,6.79305354558611,11.3478260869565,5.67391304347826,36.5642737896494,0.545723741508448,0.363351332520467,0.555886736214605,0.833386530481966,0.0909249259710852,1,0.832428981806575,0.943809269295426,0.524651054317726,0.5,0.555886736214605,0.961385797267176,1,0.230735551663748,0.719789842381786,0.550296991760874,0.461082067864912,0.375615435240801,0.444113263785395,1.02530349901448,0.682568578176093,"QuBE",3600,"timeout" "stmt2_649_776",1,599,92,691,2284,5741,1,1,2,1,1756,527,1863,1644,2.05647985989492,0.457092819614711,599,0.228546409807356,0.228546409807356,3.77424023154848,11.3478260869565,5.67391304347826,6.79305354558611,11.3478260869565,5.67391304347826,36.5642737896494,0.545723741508448,0.363351332520467,0.555886736214605,0.833386530481966,0.0909249259710852,1,0.832428981806575,0.943809269295426,0.524651054317726,0.5,0.555886736214605,0.961385797267176,1,0.230735551663748,0.719789842381786,0.550296991760874,0.461082067864912,0.375615435240801,0.444113263785395,1.02530349901448,0.682568578176093,"sKizzo",3600,"memout" "stmt2_649_776",1,599,92,691,2284,5741,1,1,2,1,1756,527,1863,1644,2.05647985989492,0.457092819614711,599,0.228546409807356,0.228546409807356,3.77424023154848,11.3478260869565,5.67391304347826,6.79305354558611,11.3478260869565,5.67391304347826,36.5642737896494,0.545723741508448,0.363351332520467,0.555886736214605,0.833386530481966,0.0909249259710852,1,0.832428981806575,0.943809269295426,0.524651054317726,0.5,0.555886736214605,0.961385797267176,1,0.230735551663748,0.719789842381786,0.550296991760874,0.461082067864912,0.375615435240801,0.444113263785395,1.02530349901448,0.682568578176093,"sSolve",3600,"timeout" "stmt2_976_999",1,10161,1143,11304,37672,94983,1,1,2,1,28754,8917,30745,28152,2.22348163091952,0.297833935018051,10161,0.148916967509025,0.148916967509025,3.75973106864827,9.81627296587926,4.90813648293963,7.02317763623496,9.81627296587926,4.90813648293963,45.5053636453105,0.552551509217439,0.388385289999263,0.559590750092523,0.893108244574434,0.0590632007832981,1,0.80978602595126,0.98107461437764,0.549000279356316,0.5,0.559590750092523,0.993573033822399,1,0.236700998088766,0.747292418772563,0.555850200843655,0.459195915582227,0.310393378330981,0.440409249907477,1.03387622026276,0.558411920801457,"X2clsQ",3600,"timeout" "stmt2_976_999",1,10161,1143,11304,37672,94983,1,1,2,1,28754,8917,30745,28152,2.22348163091952,0.297833935018051,10161,0.148916967509025,0.148916967509025,3.75973106864827,9.81627296587926,4.90813648293963,7.02317763623496,9.81627296587926,4.90813648293963,45.5053636453105,0.552551509217439,0.388385289999263,0.559590750092523,0.893108244574434,0.0590632007832981,1,0.80978602595126,0.98107461437764,0.549000279356316,0.5,0.559590750092523,0.993573033822399,1,0.236700998088766,0.747292418772563,0.555850200843655,0.459195915582227,0.310393378330981,0.440409249907477,1.03387622026276,0.558411920801457,"quantor",3600,"memout" "stmt2_976_999",1,10161,1143,11304,37672,94983,1,1,2,1,28754,8917,30745,28152,2.22348163091952,0.297833935018051,10161,0.148916967509025,0.148916967509025,3.75973106864827,9.81627296587926,4.90813648293963,7.02317763623496,9.81627296587926,4.90813648293963,45.5053636453105,0.552551509217439,0.388385289999263,0.559590750092523,0.893108244574434,0.0590632007832981,1,0.80978602595126,0.98107461437764,0.549000279356316,0.5,0.559590750092523,0.993573033822399,1,0.236700998088766,0.747292418772563,0.555850200843655,0.459195915582227,0.310393378330981,0.440409249907477,1.03387622026276,0.558411920801457,"QuBE",3600,"timeout" "stmt2_976_999",1,10161,1143,11304,37672,94983,1,1,2,1,28754,8917,30745,28152,2.22348163091952,0.297833935018051,10161,0.148916967509025,0.148916967509025,3.75973106864827,9.81627296587926,4.90813648293963,7.02317763623496,9.81627296587926,4.90813648293963,45.5053636453105,0.552551509217439,0.388385289999263,0.559590750092523,0.893108244574434,0.0590632007832981,1,0.80978602595126,0.98107461437764,0.549000279356316,0.5,0.559590750092523,0.993573033822399,1,0.236700998088766,0.747292418772563,0.555850200843655,0.459195915582227,0.310393378330981,0.440409249907477,1.03387622026276,0.558411920801457,"sKizzo",3600,"memout" "stmt2_976_999",1,10161,1143,11304,37672,94983,1,1,2,1,28754,8917,30745,28152,2.22348163091952,0.297833935018051,10161,0.148916967509025,0.148916967509025,3.75973106864827,9.81627296587926,4.90813648293963,7.02317763623496,9.81627296587926,4.90813648293963,45.5053636453105,0.552551509217439,0.388385289999263,0.559590750092523,0.893108244574434,0.0590632007832981,1,0.80978602595126,0.98107461437764,0.549000279356316,0.5,0.559590750092523,0.993573033822399,1,0.236700998088766,0.747292418772563,0.555850200843655,0.459195915582227,0.310393378330981,0.440409249907477,1.03387622026276,0.558411920801457,"sSolve",3600,"timeout" "stmt21_127_258",1,2792,210,3002,9653,23708,1,1,2,1,6888,2764,7424,5790,2.12825028488553,0.327773749093546,2792,0.163886874546773,0.163886874546773,3.4147235176549,15.0666666666667,7.53333333333333,6.30246502331779,15.0666666666667,7.53333333333333,64.041547277937,0.567614307406783,0.365657162139362,0.578027647975078,0.882440365608977,0.0667285304538552,1,0.761759678977484,0.931719879353529,0.538559850434344,0.5,0.578027647975078,0.948813029211371,1,0.28633585413861,0.599813529472703,0.572448702883485,0.421270811064632,0.511051854530115,0.421972352024922,0.985310567189857,0.89274698668351,"X2clsQ",3600,"timeout" "stmt21_127_258",1,2792,210,3002,9653,23708,1,1,2,1,6888,2764,7424,5790,2.12825028488553,0.327773749093546,2792,0.163886874546773,0.163886874546773,3.4147235176549,15.0666666666667,7.53333333333333,6.30246502331779,15.0666666666667,7.53333333333333,64.041547277937,0.567614307406783,0.365657162139362,0.578027647975078,0.882440365608977,0.0667285304538552,1,0.761759678977484,0.931719879353529,0.538559850434344,0.5,0.578027647975078,0.948813029211371,1,0.28633585413861,0.599813529472703,0.572448702883485,0.421270811064632,0.511051854530115,0.421972352024922,0.985310567189857,0.89274698668351,"quantor",3600,"memout" "stmt21_127_258",1,2792,210,3002,9653,23708,1,1,2,1,6888,2764,7424,5790,2.12825028488553,0.327773749093546,2792,0.163886874546773,0.163886874546773,3.4147235176549,15.0666666666667,7.53333333333333,6.30246502331779,15.0666666666667,7.53333333333333,64.041547277937,0.567614307406783,0.365657162139362,0.578027647975078,0.882440365608977,0.0667285304538552,1,0.761759678977484,0.931719879353529,0.538559850434344,0.5,0.578027647975078,0.948813029211371,1,0.28633585413861,0.599813529472703,0.572448702883485,0.421270811064632,0.511051854530115,0.421972352024922,0.985310567189857,0.89274698668351,"QuBE",3600,"timeout" "stmt21_127_258",1,2792,210,3002,9653,23708,1,1,2,1,6888,2764,7424,5790,2.12825028488553,0.327773749093546,2792,0.163886874546773,0.163886874546773,3.4147235176549,15.0666666666667,7.53333333333333,6.30246502331779,15.0666666666667,7.53333333333333,64.041547277937,0.567614307406783,0.365657162139362,0.578027647975078,0.882440365608977,0.0667285304538552,1,0.761759678977484,0.931719879353529,0.538559850434344,0.5,0.578027647975078,0.948813029211371,1,0.28633585413861,0.599813529472703,0.572448702883485,0.421270811064632,0.511051854530115,0.421972352024922,0.985310567189857,0.89274698668351,"sKizzo",2.8,"ok" "stmt21_127_258",1,2792,210,3002,9653,23708,1,1,2,1,6888,2764,7424,5790,2.12825028488553,0.327773749093546,2792,0.163886874546773,0.163886874546773,3.4147235176549,15.0666666666667,7.53333333333333,6.30246502331779,15.0666666666667,7.53333333333333,64.041547277937,0.567614307406783,0.365657162139362,0.578027647975078,0.882440365608977,0.0667285304538552,1,0.761759678977484,0.931719879353529,0.538559850434344,0.5,0.578027647975078,0.948813029211371,1,0.28633585413861,0.599813529472703,0.572448702883485,0.421270811064632,0.511051854530115,0.421972352024922,0.985310567189857,0.89274698668351,"sSolve",3600,"timeout" "stmt21_134_418",1,3795,281,4076,13808,34135,1,1,2,1,10076,3731,10718,7951,2.07785341830823,0.394264194669757,3795,0.197132097334878,0.197132097334878,3.55789990186457,19.373665480427,9.68683274021352,6.44798822374877,19.373665480427,9.68683274021352,77.7259552042161,0.575157463014501,0.345100336897612,0.589418284479454,0.86135588040544,0.0797422000878863,1,0.738654306524729,0.902751405766417,0.532098184898256,0.5,0.589418284479453,0.925134800667344,1,0.270205677867903,0.57582560834299,0.581670009231847,0.402771072305563,0.628458444738012,0.410581715520547,0.962807069046089,1.08043810883073,"X2clsQ",3600,"timeout" "stmt21_134_418",1,3795,281,4076,13808,34135,1,1,2,1,10076,3731,10718,7951,2.07785341830823,0.394264194669757,3795,0.197132097334878,0.197132097334878,3.55789990186457,19.373665480427,9.68683274021352,6.44798822374877,19.373665480427,9.68683274021352,77.7259552042161,0.575157463014501,0.345100336897612,0.589418284479454,0.86135588040544,0.0797422000878863,1,0.738654306524729,0.902751405766417,0.532098184898256,0.5,0.589418284479453,0.925134800667344,1,0.270205677867903,0.57582560834299,0.581670009231847,0.402771072305563,0.628458444738012,0.410581715520547,0.962807069046089,1.08043810883073,"quantor",3600,"memout" "stmt21_134_418",1,3795,281,4076,13808,34135,1,1,2,1,10076,3731,10718,7951,2.07785341830823,0.394264194669757,3795,0.197132097334878,0.197132097334878,3.55789990186457,19.373665480427,9.68683274021352,6.44798822374877,19.373665480427,9.68683274021352,77.7259552042161,0.575157463014501,0.345100336897612,0.589418284479454,0.86135588040544,0.0797422000878863,1,0.738654306524729,0.902751405766417,0.532098184898256,0.5,0.589418284479453,0.925134800667344,1,0.270205677867903,0.57582560834299,0.581670009231847,0.402771072305563,0.628458444738012,0.410581715520547,0.962807069046089,1.08043810883073,"QuBE",3600,"timeout" "stmt21_134_418",1,3795,281,4076,13808,34135,1,1,2,1,10076,3731,10718,7951,2.07785341830823,0.394264194669757,3795,0.197132097334878,0.197132097334878,3.55789990186457,19.373665480427,9.68683274021352,6.44798822374877,19.373665480427,9.68683274021352,77.7259552042161,0.575157463014501,0.345100336897612,0.589418284479454,0.86135588040544,0.0797422000878863,1,0.738654306524729,0.902751405766417,0.532098184898256,0.5,0.589418284479453,0.925134800667344,1,0.270205677867903,0.57582560834299,0.581670009231847,0.402771072305563,0.628458444738012,0.410581715520547,0.962807069046089,1.08043810883073,"sKizzo",14.81,"ok" "stmt21_134_418",1,3795,281,4076,13808,34135,1,1,2,1,10076,3731,10718,7951,2.07785341830823,0.394264194669757,3795,0.197132097334878,0.197132097334878,3.55789990186457,19.373665480427,9.68683274021352,6.44798822374877,19.373665480427,9.68683274021352,77.7259552042161,0.575157463014501,0.345100336897612,0.589418284479454,0.86135588040544,0.0797422000878863,1,0.738654306524729,0.902751405766417,0.532098184898256,0.5,0.589418284479453,0.925134800667344,1,0.270205677867903,0.57582560834299,0.581670009231847,0.402771072305563,0.628458444738012,0.410581715520547,0.962807069046089,1.08043810883073,"sSolve",3600,"timeout" "stmt21_143_258",1,2813,210,3023,9730,23869,1,1,2,1,6982,2747,7518,5825,2.12857142857143,0.324563206577595,2813,0.162281603288798,0.162281603288798,3.40754217664572,15.0380952380952,7.51904761904762,6.29275554085346,15.0380952380952,7.51904761904762,64.3327408460718,0.568436046755206,0.365411202815367,0.578871131282893,0.883623231132076,0.0661527504294273,1,0.759212853773585,0.932470839283215,0.539780449624183,0.5,0.578871131282893,0.949588705194548,1,0.282322713257965,0.598663926002056,0.57328398384926,0.420508161093379,0.509871712704822,0.421128868717107,0.985452022369912,0.889387680572092,"X2clsQ",3600,"timeout" "stmt21_143_258",1,2813,210,3023,9730,23869,1,1,2,1,6982,2747,7518,5825,2.12857142857143,0.324563206577595,2813,0.162281603288798,0.162281603288798,3.40754217664572,15.0380952380952,7.51904761904762,6.29275554085346,15.0380952380952,7.51904761904762,64.3327408460718,0.568436046755206,0.365411202815367,0.578871131282893,0.883623231132076,0.0661527504294273,1,0.759212853773585,0.932470839283215,0.539780449624183,0.5,0.578871131282893,0.949588705194548,1,0.282322713257965,0.598663926002056,0.57328398384926,0.420508161093379,0.509871712704822,0.421128868717107,0.985452022369912,0.889387680572092,"quantor",3600,"memout" "stmt21_143_258",1,2813,210,3023,9730,23869,1,1,2,1,6982,2747,7518,5825,2.12857142857143,0.324563206577595,2813,0.162281603288798,0.162281603288798,3.40754217664572,15.0380952380952,7.51904761904762,6.29275554085346,15.0380952380952,7.51904761904762,64.3327408460718,0.568436046755206,0.365411202815367,0.578871131282893,0.883623231132076,0.0661527504294273,1,0.759212853773585,0.932470839283215,0.539780449624183,0.5,0.578871131282893,0.949588705194548,1,0.282322713257965,0.598663926002056,0.57328398384926,0.420508161093379,0.509871712704822,0.421128868717107,0.985452022369912,0.889387680572092,"QuBE",3600,"timeout" "stmt21_143_258",1,2813,210,3023,9730,23869,1,1,2,1,6982,2747,7518,5825,2.12857142857143,0.324563206577595,2813,0.162281603288798,0.162281603288798,3.40754217664572,15.0380952380952,7.51904761904762,6.29275554085346,15.0380952380952,7.51904761904762,64.3327408460718,0.568436046755206,0.365411202815367,0.578871131282893,0.883623231132076,0.0661527504294273,1,0.759212853773585,0.932470839283215,0.539780449624183,0.5,0.578871131282893,0.949588705194548,1,0.282322713257965,0.598663926002056,0.57328398384926,0.420508161093379,0.509871712704822,0.421128868717107,0.985452022369912,0.889387680572092,"sKizzo",3.12,"ok" "stmt21_143_258",1,2813,210,3023,9730,23869,1,1,2,1,6982,2747,7518,5825,2.12857142857143,0.324563206577595,2813,0.162281603288798,0.162281603288798,3.40754217664572,15.0380952380952,7.51904761904762,6.29275554085346,15.0380952380952,7.51904761904762,64.3327408460718,0.568436046755206,0.365411202815367,0.578871131282893,0.883623231132076,0.0661527504294273,1,0.759212853773585,0.932470839283215,0.539780449624183,0.5,0.578871131282893,0.949588705194548,1,0.282322713257965,0.598663926002056,0.57328398384926,0.420508161093379,0.509871712704822,0.421128868717107,0.985452022369912,0.889387680572092,"sSolve",3600,"timeout" "stmt21_252_310",1,4202,235,4437,14520,35661,1,1,2,1,10395,4124,11194,8720,2.18257575757576,0.273415977961433,4202,0.136707988980716,0.136707988980716,3.47081361280144,16.8936170212766,8.4468085106383,6.49425287356322,16.8936170212766,8.4468085106383,80.4278914802475,0.568155688286924,0.376181262443566,0.576693698526396,0.902028527713341,0.0556630492695101,1,0.760080943684912,0.938373655588379,0.541154174040996,0.5,0.576693698526396,0.952475149325106,1,0.284022038567493,0.600550964187328,0.572173060933603,0.420633208559841,0.55645696284746,0.423306301473605,0.983185419501038,0.972532614414774,"X2clsQ",3600,"timeout" "stmt21_252_310",1,4202,235,4437,14520,35661,1,1,2,1,10395,4124,11194,8720,2.18257575757576,0.273415977961433,4202,0.136707988980716,0.136707988980716,3.47081361280144,16.8936170212766,8.4468085106383,6.49425287356322,16.8936170212766,8.4468085106383,80.4278914802475,0.568155688286924,0.376181262443566,0.576693698526396,0.902028527713341,0.0556630492695101,1,0.760080943684912,0.938373655588379,0.541154174040996,0.5,0.576693698526396,0.952475149325106,1,0.284022038567493,0.600550964187328,0.572173060933603,0.420633208559841,0.55645696284746,0.423306301473605,0.983185419501038,0.972532614414774,"quantor",3600,"memout" "stmt21_252_310",1,4202,235,4437,14520,35661,1,1,2,1,10395,4124,11194,8720,2.18257575757576,0.273415977961433,4202,0.136707988980716,0.136707988980716,3.47081361280144,16.8936170212766,8.4468085106383,6.49425287356322,16.8936170212766,8.4468085106383,80.4278914802475,0.568155688286924,0.376181262443566,0.576693698526396,0.902028527713341,0.0556630492695101,1,0.760080943684912,0.938373655588379,0.541154174040996,0.5,0.576693698526396,0.952475149325106,1,0.284022038567493,0.600550964187328,0.572173060933603,0.420633208559841,0.55645696284746,0.423306301473605,0.983185419501038,0.972532614414774,"QuBE",3600,"timeout" "stmt21_252_310",1,4202,235,4437,14520,35661,1,1,2,1,10395,4124,11194,8720,2.18257575757576,0.273415977961433,4202,0.136707988980716,0.136707988980716,3.47081361280144,16.8936170212766,8.4468085106383,6.49425287356322,16.8936170212766,8.4468085106383,80.4278914802475,0.568155688286924,0.376181262443566,0.576693698526396,0.902028527713341,0.0556630492695101,1,0.760080943684912,0.938373655588379,0.541154174040996,0.5,0.576693698526396,0.952475149325106,1,0.284022038567493,0.600550964187328,0.572173060933603,0.420633208559841,0.55645696284746,0.423306301473605,0.983185419501038,0.972532614414774,"sKizzo",3600,"memout" "stmt21_252_310",1,4202,235,4437,14520,35661,1,1,2,1,10395,4124,11194,8720,2.18257575757576,0.273415977961433,4202,0.136707988980716,0.136707988980716,3.47081361280144,16.8936170212766,8.4468085106383,6.49425287356322,16.8936170212766,8.4468085106383,80.4278914802475,0.568155688286924,0.376181262443566,0.576693698526396,0.902028527713341,0.0556630492695101,1,0.760080943684912,0.938373655588379,0.541154174040996,0.5,0.576693698526396,0.952475149325106,1,0.284022038567493,0.600550964187328,0.572173060933603,0.420633208559841,0.55645696284746,0.423306301473605,0.983185419501038,0.972532614414774,"sSolve",3600,"timeout" "stmt21_267_310",1,4245,235,4480,14725,36166,1,1,2,1,10589,4135,11388,8796,2.18662139219015,0.269473684210526,4245,0.134736842105263,0.134736842105263,3.47678571428571,16.8851063829787,8.44255319148936,6.51071428571429,16.8851063829787,8.44255319148936,80.310011778563,0.569319250124426,0.375822595808218,0.577861979004907,0.903642544924721,0.0548581540673561,1,0.756483729966003,0.939569125926146,0.542941274519594,0.5,0.577861979004907,0.953667515020671,1,0.28081494057725,0.597351443123939,0.573342694985665,0.419649022116008,0.553253734390503,0.422138020995093,0.983573976547544,0.964961687362801,"X2clsQ",3600,"timeout" "stmt21_267_310",1,4245,235,4480,14725,36166,1,1,2,1,10589,4135,11388,8796,2.18662139219015,0.269473684210526,4245,0.134736842105263,0.134736842105263,3.47678571428571,16.8851063829787,8.44255319148936,6.51071428571429,16.8851063829787,8.44255319148936,80.310011778563,0.569319250124426,0.375822595808218,0.577861979004907,0.903642544924721,0.0548581540673561,1,0.756483729966003,0.939569125926146,0.542941274519594,0.5,0.577861979004907,0.953667515020671,1,0.28081494057725,0.597351443123939,0.573342694985665,0.419649022116008,0.553253734390503,0.422138020995093,0.983573976547544,0.964961687362801,"quantor",3600,"memout" "stmt21_267_310",1,4245,235,4480,14725,36166,1,1,2,1,10589,4135,11388,8796,2.18662139219015,0.269473684210526,4245,0.134736842105263,0.134736842105263,3.47678571428571,16.8851063829787,8.44255319148936,6.51071428571429,16.8851063829787,8.44255319148936,80.310011778563,0.569319250124426,0.375822595808218,0.577861979004907,0.903642544924721,0.0548581540673561,1,0.756483729966003,0.939569125926146,0.542941274519594,0.5,0.577861979004907,0.953667515020671,1,0.28081494057725,0.597351443123939,0.573342694985665,0.419649022116008,0.553253734390503,0.422138020995093,0.983573976547544,0.964961687362801,"QuBE",3600,"timeout" "stmt21_267_310",1,4245,235,4480,14725,36166,1,1,2,1,10589,4135,11388,8796,2.18662139219015,0.269473684210526,4245,0.134736842105263,0.134736842105263,3.47678571428571,16.8851063829787,8.44255319148936,6.51071428571429,16.8851063829787,8.44255319148936,80.310011778563,0.569319250124426,0.375822595808218,0.577861979004907,0.903642544924721,0.0548581540673561,1,0.756483729966003,0.939569125926146,0.542941274519594,0.5,0.577861979004907,0.953667515020671,1,0.28081494057725,0.597351443123939,0.573342694985665,0.419649022116008,0.553253734390503,0.422138020995093,0.983573976547544,0.964961687362801,"sKizzo",3600,"memout" "stmt21_267_310",1,4245,235,4480,14725,36166,1,1,2,1,10589,4135,11388,8796,2.18662139219015,0.269473684210526,4245,0.134736842105263,0.134736842105263,3.47678571428571,16.8851063829787,8.44255319148936,6.51071428571429,16.8851063829787,8.44255319148936,80.310011778563,0.569319250124426,0.375822595808218,0.577861979004907,0.903642544924721,0.0548581540673561,1,0.756483729966003,0.939569125926146,0.542941274519594,0.5,0.577861979004907,0.953667515020671,1,0.28081494057725,0.597351443123939,0.573342694985665,0.419649022116008,0.553253734390503,0.422138020995093,0.983573976547544,0.964961687362801,"sSolve",3600,"timeout" "stmt21_310_360",1,4973,260,5233,17545,43236,1,1,2,1,12678,4866,13568,10347,2.19002564833286,0.274266172698775,4973,0.137133086349387,0.137133086349387,3.54098987196637,18.5076923076923,9.25384615384615,6.62220523600229,18.5076923076923,9.25384615384615,89.4896440780213,0.571421963178832,0.372929965769266,0.58036643764314,0.902614749453574,0.0556480710519012,1,0.750020237998867,0.93516736142586,0.542739750150861,0.5,0.58036643764314,0.949805546730455,1,0.277343972641778,0.589740666856654,0.575630663727651,0.41555229490781,0.593012208217939,0.41963356235686,0.979223189304892,1.03019565423727,"X2clsQ",3600,"timeout" "stmt21_310_360",1,4973,260,5233,17545,43236,1,1,2,1,12678,4866,13568,10347,2.19002564833286,0.274266172698775,4973,0.137133086349387,0.137133086349387,3.54098987196637,18.5076923076923,9.25384615384615,6.62220523600229,18.5076923076923,9.25384615384615,89.4896440780213,0.571421963178832,0.372929965769266,0.58036643764314,0.902614749453574,0.0556480710519012,1,0.750020237998867,0.93516736142586,0.542739750150861,0.5,0.58036643764314,0.949805546730455,1,0.277343972641778,0.589740666856654,0.575630663727651,0.41555229490781,0.593012208217939,0.41963356235686,0.979223189304892,1.03019565423727,"quantor",3600,"memout" "stmt21_310_360",1,4973,260,5233,17545,43236,1,1,2,1,12678,4866,13568,10347,2.19002564833286,0.274266172698775,4973,0.137133086349387,0.137133086349387,3.54098987196637,18.5076923076923,9.25384615384615,6.62220523600229,18.5076923076923,9.25384615384615,89.4896440780213,0.571421963178832,0.372929965769266,0.58036643764314,0.902614749453574,0.0556480710519012,1,0.750020237998867,0.93516736142586,0.542739750150861,0.5,0.58036643764314,0.949805546730455,1,0.277343972641778,0.589740666856654,0.575630663727651,0.41555229490781,0.593012208217939,0.41963356235686,0.979223189304892,1.03019565423727,"QuBE",3600,"timeout" "stmt21_310_360",1,4973,260,5233,17545,43236,1,1,2,1,12678,4866,13568,10347,2.19002564833286,0.274266172698775,4973,0.137133086349387,0.137133086349387,3.54098987196637,18.5076923076923,9.25384615384615,6.62220523600229,18.5076923076923,9.25384615384615,89.4896440780213,0.571421963178832,0.372929965769266,0.58036643764314,0.902614749453574,0.0556480710519012,1,0.750020237998867,0.93516736142586,0.542739750150861,0.5,0.58036643764314,0.949805546730455,1,0.277343972641778,0.589740666856654,0.575630663727651,0.41555229490781,0.593012208217939,0.41963356235686,0.979223189304892,1.03019565423727,"sKizzo",3600,"memout" "stmt21_310_360",1,4973,260,5233,17545,43236,1,1,2,1,12678,4866,13568,10347,2.19002564833286,0.274266172698775,4973,0.137133086349387,0.137133086349387,3.54098987196637,18.5076923076923,9.25384615384615,6.62220523600229,18.5076923076923,9.25384615384615,89.4896440780213,0.571421963178832,0.372929965769266,0.58036643764314,0.902614749453574,0.0556480710519012,1,0.750020237998867,0.93516736142586,0.542739750150861,0.5,0.58036643764314,0.949805546730455,1,0.277343972641778,0.589740666856654,0.575630663727651,0.41555229490781,0.593012208217939,0.41963356235686,0.979223189304892,1.03019565423727,"sSolve",3600,"timeout" "stmt21_4_5",1,676,86,762,2371,5964,1,1,2,1,1669,701,1789,1336,2.00843525938423,0.506959088991986,676,0.253479544495993,0.253479544495993,3.35301837270341,13.9767441860465,6.98837209302326,5.91732283464567,13.9767441860465,6.98837209302326,36.7751479289941,0.571596244131455,0.327632461435278,0.589668206635867,0.823701965385744,0.100771294433266,1,0.749486652977413,0.900036312549855,0.530722798328432,0.5,0.589668206635867,0.928492452106415,1,0.295655841417124,0.563475326866301,0.579619615886631,0.410700316766095,0.496470215819851,0.410331793364133,0.976973075545162,0.856544882561319,"X2clsQ",3600,"memout" "stmt21_4_5",1,676,86,762,2371,5964,1,1,2,1,1669,701,1789,1336,2.00843525938423,0.506959088991986,676,0.253479544495993,0.253479544495993,3.35301837270341,13.9767441860465,6.98837209302326,5.91732283464567,13.9767441860465,6.98837209302326,36.7751479289941,0.571596244131455,0.327632461435278,0.589668206635867,0.823701965385744,0.100771294433266,1,0.749486652977413,0.900036312549855,0.530722798328432,0.5,0.589668206635867,0.928492452106415,1,0.295655841417124,0.563475326866301,0.579619615886631,0.410700316766095,0.496470215819851,0.410331793364133,0.976973075545162,0.856544882561319,"quantor",2,"ok" "stmt21_4_5",1,676,86,762,2371,5964,1,1,2,1,1669,701,1789,1336,2.00843525938423,0.506959088991986,676,0.253479544495993,0.253479544495993,3.35301837270341,13.9767441860465,6.98837209302326,5.91732283464567,13.9767441860465,6.98837209302326,36.7751479289941,0.571596244131455,0.327632461435278,0.589668206635867,0.823701965385744,0.100771294433266,1,0.749486652977413,0.900036312549855,0.530722798328432,0.5,0.589668206635867,0.928492452106415,1,0.295655841417124,0.563475326866301,0.579619615886631,0.410700316766095,0.496470215819851,0.410331793364133,0.976973075545162,0.856544882561319,"QuBE",3600,"timeout" "stmt21_4_5",1,676,86,762,2371,5964,1,1,2,1,1669,701,1789,1336,2.00843525938423,0.506959088991986,676,0.253479544495993,0.253479544495993,3.35301837270341,13.9767441860465,6.98837209302326,5.91732283464567,13.9767441860465,6.98837209302326,36.7751479289941,0.571596244131455,0.327632461435278,0.589668206635867,0.823701965385744,0.100771294433266,1,0.749486652977413,0.900036312549855,0.530722798328432,0.5,0.589668206635867,0.928492452106415,1,0.295655841417124,0.563475326866301,0.579619615886631,0.410700316766095,0.496470215819851,0.410331793364133,0.976973075545162,0.856544882561319,"sKizzo",3600,"memout" "stmt21_4_5",1,676,86,762,2371,5964,1,1,2,1,1669,701,1789,1336,2.00843525938423,0.506959088991986,676,0.253479544495993,0.253479544495993,3.35301837270341,13.9767441860465,6.98837209302326,5.91732283464567,13.9767441860465,6.98837209302326,36.7751479289941,0.571596244131455,0.327632461435278,0.589668206635867,0.823701965385744,0.100771294433266,1,0.749486652977413,0.900036312549855,0.530722798328432,0.5,0.589668206635867,0.928492452106415,1,0.295655841417124,0.563475326866301,0.579619615886631,0.410700316766095,0.496470215819851,0.410331793364133,0.976973075545162,0.856544882561319,"sSolve",3600,"timeout" "stmt21_70_258",1,2516,210,2726,8765,21544,1,1,2,1,6254,2510,6741,5247,2.0969766115231,0.360981175128351,2516,0.180490587564176,0.180490587564176,3.41562729273661,15.0666666666667,7.53333333333333,6.25091709464417,15.0666666666667,7.53333333333333,60.9546899841017,0.567814704790197,0.358754177497215,0.579488574537541,0.870677675141012,0.0734311177125882,1,0.761137905665004,0.924345606610083,0.535647717954515,0.5,0.579488574537541,0.943349500172655,1,0.286366229321164,0.598630918425556,0.573189059212504,0.419502420258268,0.514373977223391,0.420511425462459,0.982876445210745,0.897389733729535,"X2clsQ",3600,"timeout" "stmt21_70_258",1,2516,210,2726,8765,21544,1,1,2,1,6254,2510,6741,5247,2.0969766115231,0.360981175128351,2516,0.180490587564176,0.180490587564176,3.41562729273661,15.0666666666667,7.53333333333333,6.25091709464417,15.0666666666667,7.53333333333333,60.9546899841017,0.567814704790197,0.358754177497215,0.579488574537541,0.870677675141012,0.0734311177125882,1,0.761137905665004,0.924345606610083,0.535647717954515,0.5,0.579488574537541,0.943349500172655,1,0.286366229321164,0.598630918425556,0.573189059212504,0.419502420258268,0.514373977223391,0.420511425462459,0.982876445210745,0.897389733729535,"quantor",3600,"memout" "stmt21_70_258",1,2516,210,2726,8765,21544,1,1,2,1,6254,2510,6741,5247,2.0969766115231,0.360981175128351,2516,0.180490587564176,0.180490587564176,3.41562729273661,15.0666666666667,7.53333333333333,6.25091709464417,15.0666666666667,7.53333333333333,60.9546899841017,0.567814704790197,0.358754177497215,0.579488574537541,0.870677675141012,0.0734311177125882,1,0.761137905665004,0.924345606610083,0.535647717954515,0.5,0.579488574537541,0.943349500172655,1,0.286366229321164,0.598630918425556,0.573189059212504,0.419502420258268,0.514373977223391,0.420511425462459,0.982876445210745,0.897389733729535,"QuBE",3600,"timeout" "stmt21_70_258",1,2516,210,2726,8765,21544,1,1,2,1,6254,2510,6741,5247,2.0969766115231,0.360981175128351,2516,0.180490587564176,0.180490587564176,3.41562729273661,15.0666666666667,7.53333333333333,6.25091709464417,15.0666666666667,7.53333333333333,60.9546899841017,0.567814704790197,0.358754177497215,0.579488574537541,0.870677675141012,0.0734311177125882,1,0.761137905665004,0.924345606610083,0.535647717954515,0.5,0.579488574537541,0.943349500172655,1,0.286366229321164,0.598630918425556,0.573189059212504,0.419502420258268,0.514373977223391,0.420511425462459,0.982876445210745,0.897389733729535,"sKizzo",2.67,"ok" "stmt21_70_258",1,2516,210,2726,8765,21544,1,1,2,1,6254,2510,6741,5247,2.0969766115231,0.360981175128351,2516,0.180490587564176,0.180490587564176,3.41562729273661,15.0666666666667,7.53333333333333,6.25091709464417,15.0666666666667,7.53333333333333,60.9546899841017,0.567814704790197,0.358754177497215,0.579488574537541,0.870677675141012,0.0734311177125882,1,0.761137905665004,0.924345606610083,0.535647717954515,0.5,0.579488574537541,0.943349500172655,1,0.286366229321164,0.598630918425556,0.573189059212504,0.419502420258268,0.514373977223391,0.420511425462459,0.982876445210745,0.897389733729535,"sSolve",3600,"timeout" "stmt21_71_304",1,2662,235,2897,9538,23495,1,1,2,1,6903,2634,7392,5616,2.05042985950933,0.41287481652338,2662,0.20643740826169,0.20643740826169,3.47531929582327,16.7574468085106,8.37872340425532,6.27096996893338,16.7574468085106,8.37872340425532,63.4507888805409,0.57148329431794,0.344711640774633,0.585877179526512,0.853355179861473,0.0838050649074271,1,0.749832427198928,0.905872822662046,0.53073021435096,0.5,0.585877179526512,0.928688939165548,1,0.276158523799539,0.588802684000839,0.578021926972034,0.409457195125382,0.563810315481921,0.414122820473488,0.97032813147674,0.975413369585198,"X2clsQ",3600,"timeout" "stmt21_71_304",1,2662,235,2897,9538,23495,1,1,2,1,6903,2634,7392,5616,2.05042985950933,0.41287481652338,2662,0.20643740826169,0.20643740826169,3.47531929582327,16.7574468085106,8.37872340425532,6.27096996893338,16.7574468085106,8.37872340425532,63.4507888805409,0.57148329431794,0.344711640774633,0.585877179526512,0.853355179861473,0.0838050649074271,1,0.749832427198928,0.905872822662046,0.53073021435096,0.5,0.585877179526512,0.928688939165548,1,0.276158523799539,0.588802684000839,0.578021926972034,0.409457195125382,0.563810315481921,0.414122820473488,0.97032813147674,0.975413369585198,"quantor",3600,"memout" "stmt21_71_304",1,2662,235,2897,9538,23495,1,1,2,1,6903,2634,7392,5616,2.05042985950933,0.41287481652338,2662,0.20643740826169,0.20643740826169,3.47531929582327,16.7574468085106,8.37872340425532,6.27096996893338,16.7574468085106,8.37872340425532,63.4507888805409,0.57148329431794,0.344711640774633,0.585877179526512,0.853355179861473,0.0838050649074271,1,0.749832427198928,0.905872822662046,0.53073021435096,0.5,0.585877179526512,0.928688939165548,1,0.276158523799539,0.588802684000839,0.578021926972034,0.409457195125382,0.563810315481921,0.414122820473488,0.97032813147674,0.975413369585198,"QuBE",3600,"timeout" "stmt21_71_304",1,2662,235,2897,9538,23495,1,1,2,1,6903,2634,7392,5616,2.05042985950933,0.41287481652338,2662,0.20643740826169,0.20643740826169,3.47531929582327,16.7574468085106,8.37872340425532,6.27096996893338,16.7574468085106,8.37872340425532,63.4507888805409,0.57148329431794,0.344711640774633,0.585877179526512,0.853355179861473,0.0838050649074271,1,0.749832427198928,0.905872822662046,0.53073021435096,0.5,0.585877179526512,0.928688939165548,1,0.276158523799539,0.588802684000839,0.578021926972034,0.409457195125382,0.563810315481921,0.414122820473488,0.97032813147674,0.975413369585198,"sKizzo",3600,"memout" "stmt21_71_304",1,2662,235,2897,9538,23495,1,1,2,1,6903,2634,7392,5616,2.05042985950933,0.41287481652338,2662,0.20643740826169,0.20643740826169,3.47531929582327,16.7574468085106,8.37872340425532,6.27096996893338,16.7574468085106,8.37872340425532,63.4507888805409,0.57148329431794,0.344711640774633,0.585877179526512,0.853355179861473,0.0838050649074271,1,0.749832427198928,0.905872822662046,0.53073021435096,0.5,0.585877179526512,0.928688939165548,1,0.276158523799539,0.588802684000839,0.578021926972034,0.409457195125382,0.563810315481921,0.414122820473488,0.97032813147674,0.975413369585198,"sSolve",3600,"timeout" "stmt21_71_70",1,1089,107,1196,3813,9468,1,1,2,1,2752,1060,2939,2160,2.09336480461579,0.389719381064778,1089,0.194859690532389,0.194859690532389,3.36872909698997,13.8878504672897,6.94392523364486,6.11622073578595,13.8878504672897,6.94392523364486,50.4132231404959,0.57446134347275,0.347063793831855,0.588323728388875,0.863394006251149,0.078474862695395,1,0.740761169332598,0.925884415456947,0.544719771358785,0.5,0.588323728388875,0.948227026149104,1,0.27799632835038,0.566483084185681,0.58080229226361,0.413623707773226,0.475927483062421,0.411676271611125,0.98670317165315,0.819431137586507,"X2clsQ",3600,"memout" "stmt21_71_70",1,1089,107,1196,3813,9468,1,1,2,1,2752,1060,2939,2160,2.09336480461579,0.389719381064778,1089,0.194859690532389,0.194859690532389,3.36872909698997,13.8878504672897,6.94392523364486,6.11622073578595,13.8878504672897,6.94392523364486,50.4132231404959,0.57446134347275,0.347063793831855,0.588323728388875,0.863394006251149,0.078474862695395,1,0.740761169332598,0.925884415456947,0.544719771358785,0.5,0.588323728388875,0.948227026149104,1,0.27799632835038,0.566483084185681,0.58080229226361,0.413623707773226,0.475927483062421,0.411676271611125,0.98670317165315,0.819431137586507,"quantor",3600,"memout" "stmt21_71_70",1,1089,107,1196,3813,9468,1,1,2,1,2752,1060,2939,2160,2.09336480461579,0.389719381064778,1089,0.194859690532389,0.194859690532389,3.36872909698997,13.8878504672897,6.94392523364486,6.11622073578595,13.8878504672897,6.94392523364486,50.4132231404959,0.57446134347275,0.347063793831855,0.588323728388875,0.863394006251149,0.078474862695395,1,0.740761169332598,0.925884415456947,0.544719771358785,0.5,0.588323728388875,0.948227026149104,1,0.27799632835038,0.566483084185681,0.58080229226361,0.413623707773226,0.475927483062421,0.411676271611125,0.98670317165315,0.819431137586507,"QuBE",3600,"timeout" "stmt21_71_70",1,1089,107,1196,3813,9468,1,1,2,1,2752,1060,2939,2160,2.09336480461579,0.389719381064778,1089,0.194859690532389,0.194859690532389,3.36872909698997,13.8878504672897,6.94392523364486,6.11622073578595,13.8878504672897,6.94392523364486,50.4132231404959,0.57446134347275,0.347063793831855,0.588323728388875,0.863394006251149,0.078474862695395,1,0.740761169332598,0.925884415456947,0.544719771358785,0.5,0.588323728388875,0.948227026149104,1,0.27799632835038,0.566483084185681,0.58080229226361,0.413623707773226,0.475927483062421,0.411676271611125,0.98670317165315,0.819431137586507,"sKizzo",3600,"memout" "stmt21_71_70",1,1089,107,1196,3813,9468,1,1,2,1,2752,1060,2939,2160,2.09336480461579,0.389719381064778,1089,0.194859690532389,0.194859690532389,3.36872909698997,13.8878504672897,6.94392523364486,6.11622073578595,13.8878504672897,6.94392523364486,50.4132231404959,0.57446134347275,0.347063793831855,0.588323728388875,0.863394006251149,0.078474862695395,1,0.740761169332598,0.925884415456947,0.544719771358785,0.5,0.588323728388875,0.948227026149104,1,0.27799632835038,0.566483084185681,0.58080229226361,0.413623707773226,0.475927483062421,0.411676271611125,0.98670317165315,0.819431137586507,"sSolve",3600,"timeout" "stmt21_79_314",1,2896,235,3131,10306,25403,1,1,2,1,7417,2888,7952,6083,2.08063264117989,0.384242189016107,2896,0.192121094508054,0.192121094508054,3.4848291280741,16.8510638297872,8.42553191489362,6.33727243692111,16.8510638297872,8.42553191489362,65.2631215469613,0.570483801125851,0.351572648899736,0.583500443035023,0.86337289539056,0.0779435499744125,1,0.752898150703837,0.912609630545659,0.532508123741421,0.5,0.583500443035023,0.933432505341106,1,0.280225111585484,0.590238695905298,0.576441958758485,0.412232360387144,0.563128984876658,0.416499556964977,0.973260616605994,0.976904918735443,"X2clsQ",3600,"timeout" "stmt21_79_314",1,2896,235,3131,10306,25403,1,1,2,1,7417,2888,7952,6083,2.08063264117989,0.384242189016107,2896,0.192121094508054,0.192121094508054,3.4848291280741,16.8510638297872,8.42553191489362,6.33727243692111,16.8510638297872,8.42553191489362,65.2631215469613,0.570483801125851,0.351572648899736,0.583500443035023,0.86337289539056,0.0779435499744125,1,0.752898150703837,0.912609630545659,0.532508123741421,0.5,0.583500443035023,0.933432505341106,1,0.280225111585484,0.590238695905298,0.576441958758485,0.412232360387144,0.563128984876658,0.416499556964977,0.973260616605994,0.976904918735443,"quantor",3600,"memout" "stmt21_79_314",1,2896,235,3131,10306,25403,1,1,2,1,7417,2888,7952,6083,2.08063264117989,0.384242189016107,2896,0.192121094508054,0.192121094508054,3.4848291280741,16.8510638297872,8.42553191489362,6.33727243692111,16.8510638297872,8.42553191489362,65.2631215469613,0.570483801125851,0.351572648899736,0.583500443035023,0.86337289539056,0.0779435499744125,1,0.752898150703837,0.912609630545659,0.532508123741421,0.5,0.583500443035023,0.933432505341106,1,0.280225111585484,0.590238695905298,0.576441958758485,0.412232360387144,0.563128984876658,0.416499556964977,0.973260616605994,0.976904918735443,"QuBE",3600,"timeout" "stmt21_79_314",1,2896,235,3131,10306,25403,1,1,2,1,7417,2888,7952,6083,2.08063264117989,0.384242189016107,2896,0.192121094508054,0.192121094508054,3.4848291280741,16.8510638297872,8.42553191489362,6.33727243692111,16.8510638297872,8.42553191489362,65.2631215469613,0.570483801125851,0.351572648899736,0.583500443035023,0.86337289539056,0.0779435499744125,1,0.752898150703837,0.912609630545659,0.532508123741421,0.5,0.583500443035023,0.933432505341106,1,0.280225111585484,0.590238695905298,0.576441958758485,0.412232360387144,0.563128984876658,0.416499556964977,0.973260616605994,0.976904918735443,"sKizzo",5.65,"ok" "stmt21_79_314",1,2896,235,3131,10306,25403,1,1,2,1,7417,2888,7952,6083,2.08063264117989,0.384242189016107,2896,0.192121094508054,0.192121094508054,3.4848291280741,16.8510638297872,8.42553191489362,6.33727243692111,16.8510638297872,8.42553191489362,65.2631215469613,0.570483801125851,0.351572648899736,0.583500443035023,0.86337289539056,0.0779435499744125,1,0.752898150703837,0.912609630545659,0.532508123741421,0.5,0.583500443035023,0.933432505341106,1,0.280225111585484,0.590238695905298,0.576441958758485,0.412232360387144,0.563128984876658,0.416499556964977,0.973260616605994,0.976904918735443,"sSolve",3600,"timeout" "stmt21_79_91",1,1342,105,1447,4636,11479,1,1,2,1,3338,1297,3570,2648,2.15120793787748,0.324849007765315,1342,0.162424503882657,0.162424503882657,3.38355217691776,14.3428571428571,7.17142857142857,6.24671734623359,14.3428571428571,7.17142857142857,56.6691505216095,0.573482010628104,0.36091994076139,0.584578361576256,0.885614461491721,0.0655980486105062,1,0.743733859942276,0.936780363130548,0.547621529835666,0.5,0.584578361576256,0.954906204007839,1,0.2797670405522,0.571182053494392,0.578640686183106,0.416479045999623,0.483733784395727,0.415421638423744,0.988417800064598,0.835983013200447,"X2clsQ",3600,"memout" "stmt21_79_91",1,1342,105,1447,4636,11479,1,1,2,1,3338,1297,3570,2648,2.15120793787748,0.324849007765315,1342,0.162424503882657,0.162424503882657,3.38355217691776,14.3428571428571,7.17142857142857,6.24671734623359,14.3428571428571,7.17142857142857,56.6691505216095,0.573482010628104,0.36091994076139,0.584578361576256,0.885614461491721,0.0655980486105062,1,0.743733859942276,0.936780363130548,0.547621529835666,0.5,0.584578361576256,0.954906204007839,1,0.2797670405522,0.571182053494392,0.578640686183106,0.416479045999623,0.483733784395727,0.415421638423744,0.988417800064598,0.835983013200447,"quantor",3600,"memout" "stmt21_79_91",1,1342,105,1447,4636,11479,1,1,2,1,3338,1297,3570,2648,2.15120793787748,0.324849007765315,1342,0.162424503882657,0.162424503882657,3.38355217691776,14.3428571428571,7.17142857142857,6.24671734623359,14.3428571428571,7.17142857142857,56.6691505216095,0.573482010628104,0.36091994076139,0.584578361576256,0.885614461491721,0.0655980486105062,1,0.743733859942276,0.936780363130548,0.547621529835666,0.5,0.584578361576256,0.954906204007839,1,0.2797670405522,0.571182053494392,0.578640686183106,0.416479045999623,0.483733784395727,0.415421638423744,0.988417800064598,0.835983013200447,"QuBE",3600,"timeout" "stmt21_79_91",1,1342,105,1447,4636,11479,1,1,2,1,3338,1297,3570,2648,2.15120793787748,0.324849007765315,1342,0.162424503882657,0.162424503882657,3.38355217691776,14.3428571428571,7.17142857142857,6.24671734623359,14.3428571428571,7.17142857142857,56.6691505216095,0.573482010628104,0.36091994076139,0.584578361576256,0.885614461491721,0.0655980486105062,1,0.743733859942276,0.936780363130548,0.547621529835666,0.5,0.584578361576256,0.954906204007839,1,0.2797670405522,0.571182053494392,0.578640686183106,0.416479045999623,0.483733784395727,0.415421638423744,0.988417800064598,0.835983013200447,"sKizzo",3600,"memout" "stmt21_79_91",1,1342,105,1447,4636,11479,1,1,2,1,3338,1297,3570,2648,2.15120793787748,0.324849007765315,1342,0.162424503882657,0.162424503882657,3.38355217691776,14.3428571428571,7.17142857142857,6.24671734623359,14.3428571428571,7.17142857142857,56.6691505216095,0.573482010628104,0.36091994076139,0.584578361576256,0.885614461491721,0.0655980486105062,1,0.743733859942276,0.936780363130548,0.547621529835666,0.5,0.584578361576256,0.954906204007839,1,0.2797670405522,0.571182053494392,0.578640686183106,0.416479045999623,0.483733784395727,0.415421638423744,0.988417800064598,0.835983013200447,"sSolve",3600,"timeout" "stmt22_179_182",1,2374,157,2531,8152,20091,1,1,2,1,5830,2321,6256,4792,2.20620706575074,0.258341511285574,2374,0.129170755642787,0.129170755642787,3.41564598972738,13.4140127388535,6.70700636942675,6.41525088897669,13.4140127388535,6.70700636942675,68.0935130581297,0.569707829376338,0.377880643074013,0.577870447595218,0.908002795736502,0.0524115275496491,1,0.755285689323781,0.954377779083267,0.551506714373778,0.5,0.577870447595218,0.968051843306271,1,0.284715407262022,0.587831207065751,0.573563399516756,0.425154037245422,0.445830263709925,0.422129552404782,0.99699237064462,0.777299011906182,"X2clsQ",3600,"timeout" "stmt22_179_182",1,2374,157,2531,8152,20091,1,1,2,1,5830,2321,6256,4792,2.20620706575074,0.258341511285574,2374,0.129170755642787,0.129170755642787,3.41564598972738,13.4140127388535,6.70700636942675,6.41525088897669,13.4140127388535,6.70700636942675,68.0935130581297,0.569707829376338,0.377880643074013,0.577870447595218,0.908002795736502,0.0524115275496491,1,0.755285689323781,0.954377779083267,0.551506714373778,0.5,0.577870447595218,0.968051843306271,1,0.284715407262022,0.587831207065751,0.573563399516756,0.425154037245422,0.445830263709925,0.422129552404782,0.99699237064462,0.777299011906182,"quantor",3600,"memout" "stmt22_179_182",1,2374,157,2531,8152,20091,1,1,2,1,5830,2321,6256,4792,2.20620706575074,0.258341511285574,2374,0.129170755642787,0.129170755642787,3.41564598972738,13.4140127388535,6.70700636942675,6.41525088897669,13.4140127388535,6.70700636942675,68.0935130581297,0.569707829376338,0.377880643074013,0.577870447595218,0.908002795736502,0.0524115275496491,1,0.755285689323781,0.954377779083267,0.551506714373778,0.5,0.577870447595218,0.968051843306271,1,0.284715407262022,0.587831207065751,0.573563399516756,0.425154037245422,0.445830263709925,0.422129552404782,0.99699237064462,0.777299011906182,"QuBE",3600,"timeout" "stmt22_179_182",1,2374,157,2531,8152,20091,1,1,2,1,5830,2321,6256,4792,2.20620706575074,0.258341511285574,2374,0.129170755642787,0.129170755642787,3.41564598972738,13.4140127388535,6.70700636942675,6.41525088897669,13.4140127388535,6.70700636942675,68.0935130581297,0.569707829376338,0.377880643074013,0.577870447595218,0.908002795736502,0.0524115275496491,1,0.755285689323781,0.954377779083267,0.551506714373778,0.5,0.577870447595218,0.968051843306271,1,0.284715407262022,0.587831207065751,0.573563399516756,0.425154037245422,0.445830263709925,0.422129552404782,0.99699237064462,0.777299011906182,"sKizzo",3600,"memout" "stmt22_179_182",1,2374,157,2531,8152,20091,1,1,2,1,5830,2321,6256,4792,2.20620706575074,0.258341511285574,2374,0.129170755642787,0.129170755642787,3.41564598972738,13.4140127388535,6.70700636942675,6.41525088897669,13.4140127388535,6.70700636942675,68.0935130581297,0.569707829376338,0.377880643074013,0.577870447595218,0.908002795736502,0.0524115275496491,1,0.755285689323781,0.954377779083267,0.551506714373778,0.5,0.577870447595218,0.968051843306271,1,0.284715407262022,0.587831207065751,0.573563399516756,0.425154037245422,0.445830263709925,0.422129552404782,0.99699237064462,0.777299011906182,"sSolve",3600,"timeout" "stmt22_6_80",1,911,107,1018,3213,8020,1,1,2,1,2276,936,2438,1836,2.03050108932462,0.465608465608466,911,0.232804232804233,0.232804232804233,3.37917485265226,13.981308411215,6.99065420560748,6.02357563850688,13.981308411215,6.99065420560748,41.2908891328211,0.571072319201995,0.335660847880299,0.587369711833231,0.836681222707424,0.0932668329177057,1,0.751091703056769,0.909010678572504,0.533925340326462,0.5,0.587369711833231,0.934952233497428,1,0.291316526610644,0.571428571428571,0.578382838283828,0.413666723423166,0.489307341949148,0.412630288166769,0.981142991759054,0.845992151843606,"X2clsQ",3600,"memout" "stmt22_6_80",1,911,107,1018,3213,8020,1,1,2,1,2276,936,2438,1836,2.03050108932462,0.465608465608466,911,0.232804232804233,0.232804232804233,3.37917485265226,13.981308411215,6.99065420560748,6.02357563850688,13.981308411215,6.99065420560748,41.2908891328211,0.571072319201995,0.335660847880299,0.587369711833231,0.836681222707424,0.0932668329177057,1,0.751091703056769,0.909010678572504,0.533925340326462,0.5,0.587369711833231,0.934952233497428,1,0.291316526610644,0.571428571428571,0.578382838283828,0.413666723423166,0.489307341949148,0.412630288166769,0.981142991759054,0.845992151843606,"quantor",109.44,"ok" "stmt22_6_80",1,911,107,1018,3213,8020,1,1,2,1,2276,936,2438,1836,2.03050108932462,0.465608465608466,911,0.232804232804233,0.232804232804233,3.37917485265226,13.981308411215,6.99065420560748,6.02357563850688,13.981308411215,6.99065420560748,41.2908891328211,0.571072319201995,0.335660847880299,0.587369711833231,0.836681222707424,0.0932668329177057,1,0.751091703056769,0.909010678572504,0.533925340326462,0.5,0.587369711833231,0.934952233497428,1,0.291316526610644,0.571428571428571,0.578382838283828,0.413666723423166,0.489307341949148,0.412630288166769,0.981142991759054,0.845992151843606,"QuBE",3600,"timeout" "stmt22_6_80",1,911,107,1018,3213,8020,1,1,2,1,2276,936,2438,1836,2.03050108932462,0.465608465608466,911,0.232804232804233,0.232804232804233,3.37917485265226,13.981308411215,6.99065420560748,6.02357563850688,13.981308411215,6.99065420560748,41.2908891328211,0.571072319201995,0.335660847880299,0.587369711833231,0.836681222707424,0.0932668329177057,1,0.751091703056769,0.909010678572504,0.533925340326462,0.5,0.587369711833231,0.934952233497428,1,0.291316526610644,0.571428571428571,0.578382838283828,0.413666723423166,0.489307341949148,0.412630288166769,0.981142991759054,0.845992151843606,"sKizzo",3600,"memout" "stmt22_6_80",1,911,107,1018,3213,8020,1,1,2,1,2276,936,2438,1836,2.03050108932462,0.465608465608466,911,0.232804232804233,0.232804232804233,3.37917485265226,13.981308411215,6.99065420560748,6.02357563850688,13.981308411215,6.99065420560748,41.2908891328211,0.571072319201995,0.335660847880299,0.587369711833231,0.836681222707424,0.0932668329177057,1,0.751091703056769,0.909010678572504,0.533925340326462,0.5,0.587369711833231,0.934952233497428,1,0.291316526610644,0.571428571428571,0.578382838283828,0.413666723423166,0.489307341949148,0.412630288166769,0.981142991759054,0.845992151843606,"sSolve",3600,"timeout" "stmt22_92_259",1,2540,210,2750,8850,21721,1,1,2,1,6352,2497,6839,5292,2.09751412429379,0.35683615819209,2540,0.178418079096045,0.178418079096045,3.40763636363636,15.0380952380952,7.51904761904762,6.24109090909091,15.0380952380952,7.51904761904762,61.1661417322835,0.56857419087519,0.358731181805626,0.580240262888542,0.872145748987854,0.0726946273191842,1,0.758785425101215,0.925267539269483,0.536877480227959,0.5,0.580240262888542,0.94425228729,1,0.282146892655367,0.597966101694915,0.573949955317248,0.418837278941562,0.513290163647626,0.419759737111458,0.983070613813546,0.89431170591155,"X2clsQ",3600,"timeout" "stmt22_92_259",1,2540,210,2750,8850,21721,1,1,2,1,6352,2497,6839,5292,2.09751412429379,0.35683615819209,2540,0.178418079096045,0.178418079096045,3.40763636363636,15.0380952380952,7.51904761904762,6.24109090909091,15.0380952380952,7.51904761904762,61.1661417322835,0.56857419087519,0.358731181805626,0.580240262888542,0.872145748987854,0.0726946273191842,1,0.758785425101215,0.925267539269483,0.536877480227959,0.5,0.580240262888542,0.94425228729,1,0.282146892655367,0.597966101694915,0.573949955317248,0.418837278941562,0.513290163647626,0.419759737111458,0.983070613813546,0.89431170591155,"quantor",3600,"memout" "stmt22_92_259",1,2540,210,2750,8850,21721,1,1,2,1,6352,2497,6839,5292,2.09751412429379,0.35683615819209,2540,0.178418079096045,0.178418079096045,3.40763636363636,15.0380952380952,7.51904761904762,6.24109090909091,15.0380952380952,7.51904761904762,61.1661417322835,0.56857419087519,0.358731181805626,0.580240262888542,0.872145748987854,0.0726946273191842,1,0.758785425101215,0.925267539269483,0.536877480227959,0.5,0.580240262888542,0.94425228729,1,0.282146892655367,0.597966101694915,0.573949955317248,0.418837278941562,0.513290163647626,0.419759737111458,0.983070613813546,0.89431170591155,"QuBE",3600,"timeout" "stmt22_92_259",1,2540,210,2750,8850,21721,1,1,2,1,6352,2497,6839,5292,2.09751412429379,0.35683615819209,2540,0.178418079096045,0.178418079096045,3.40763636363636,15.0380952380952,7.51904761904762,6.24109090909091,15.0380952380952,7.51904761904762,61.1661417322835,0.56857419087519,0.358731181805626,0.580240262888542,0.872145748987854,0.0726946273191842,1,0.758785425101215,0.925267539269483,0.536877480227959,0.5,0.580240262888542,0.94425228729,1,0.282146892655367,0.597966101694915,0.573949955317248,0.418837278941562,0.513290163647626,0.419759737111458,0.983070613813546,0.89431170591155,"sKizzo",3.27,"ok" "stmt22_92_259",1,2540,210,2750,8850,21721,1,1,2,1,6352,2497,6839,5292,2.09751412429379,0.35683615819209,2540,0.178418079096045,0.178418079096045,3.40763636363636,15.0380952380952,7.51904761904762,6.24109090909091,15.0380952380952,7.51904761904762,61.1661417322835,0.56857419087519,0.358731181805626,0.580240262888542,0.872145748987854,0.0726946273191842,1,0.758785425101215,0.925267539269483,0.536877480227959,0.5,0.580240262888542,0.94425228729,1,0.282146892655367,0.597966101694915,0.573949955317248,0.418837278941562,0.513290163647626,0.419759737111458,0.983070613813546,0.89431170591155,"sSolve",3600,"timeout" "stmt23_66_76",1,6856,363,7219,27677,69890,1,1,2,1,21129,6547,23113,19546,2.17892112584456,0.346280304946345,6856,0.173140152473173,0.173140152473173,4.31140047097936,26.4022038567493,13.2011019283747,7.95899709100984,26.4022038567493,13.2011019283747,80.8275962660443,0.554671626842181,0.376763485477178,0.56336019633204,0.876386524273848,0.068564887680641,1,0.802868493009338,0.908556031456666,0.511844304260087,0.5,0.56336019633204,0.922787969476795,1,0.236550204140622,0.706218159482603,0.558696119696458,0.425914507569648,0.731963768632958,0.43663980366796,0.965127492821253,1.31012860628178,"X2clsQ",3600,"timeout" "stmt23_66_76",1,6856,363,7219,27677,69890,1,1,2,1,21129,6547,23113,19546,2.17892112584456,0.346280304946345,6856,0.173140152473173,0.173140152473173,4.31140047097936,26.4022038567493,13.2011019283747,7.95899709100984,26.4022038567493,13.2011019283747,80.8275962660443,0.554671626842181,0.376763485477178,0.56336019633204,0.876386524273848,0.068564887680641,1,0.802868493009338,0.908556031456666,0.511844304260087,0.5,0.56336019633204,0.922787969476795,1,0.236550204140622,0.706218159482603,0.558696119696458,0.425914507569648,0.731963768632958,0.43663980366796,0.965127492821253,1.31012860628178,"quantor",3600,"memout" "stmt23_66_76",1,6856,363,7219,27677,69890,1,1,2,1,21129,6547,23113,19546,2.17892112584456,0.346280304946345,6856,0.173140152473173,0.173140152473173,4.31140047097936,26.4022038567493,13.2011019283747,7.95899709100984,26.4022038567493,13.2011019283747,80.8275962660443,0.554671626842181,0.376763485477178,0.56336019633204,0.876386524273848,0.068564887680641,1,0.802868493009338,0.908556031456666,0.511844304260087,0.5,0.56336019633204,0.922787969476795,1,0.236550204140622,0.706218159482603,0.558696119696458,0.425914507569648,0.731963768632958,0.43663980366796,0.965127492821253,1.31012860628178,"QuBE",3600,"timeout" "stmt23_66_76",1,6856,363,7219,27677,69890,1,1,2,1,21129,6547,23113,19546,2.17892112584456,0.346280304946345,6856,0.173140152473173,0.173140152473173,4.31140047097936,26.4022038567493,13.2011019283747,7.95899709100984,26.4022038567493,13.2011019283747,80.8275962660443,0.554671626842181,0.376763485477178,0.56336019633204,0.876386524273848,0.068564887680641,1,0.802868493009338,0.908556031456666,0.511844304260087,0.5,0.56336019633204,0.922787969476795,1,0.236550204140622,0.706218159482603,0.558696119696458,0.425914507569648,0.731963768632958,0.43663980366796,0.965127492821253,1.31012860628178,"sKizzo",3600,"memout" "stmt23_66_76",1,6856,363,7219,27677,69890,1,1,2,1,21129,6547,23113,19546,2.17892112584456,0.346280304946345,6856,0.173140152473173,0.173140152473173,4.31140047097936,26.4022038567493,13.2011019283747,7.95899709100984,26.4022038567493,13.2011019283747,80.8275962660443,0.554671626842181,0.376763485477178,0.56336019633204,0.876386524273848,0.068564887680641,1,0.802868493009338,0.908556031456666,0.511844304260087,0.5,0.56336019633204,0.922787969476795,1,0.236550204140622,0.706218159482603,0.558696119696458,0.425914507569648,0.731963768632958,0.43663980366796,0.965127492821253,1.31012860628178,"sSolve",3600,"timeout" "stmt24_148_149",1,72,12,84,203,482,1,1,2,1,142,60,154,157,2.04926108374384,0.32512315270936,72,0.16256157635468,0.16256157635468,2.8452380952381,5.5,2.75,5.29761904761905,5.5,2.75,17.1666666666667,0.504149377593361,0.427385892116183,0.504807692307692,0.864197530864198,0.0684647302904564,1,0.983539094650206,1.00691562932227,0.508298755186722,0.5,0.504807692307692,1.0082304526749,1,0.295566502463054,0.773399014778325,0.50445434298441,0.535263548626578,0.257238307349666,0.495192307692308,1.08014981273408,0.509933774834437,"X2clsQ",0,"ok" "stmt24_148_149",1,72,12,84,203,482,1,1,2,1,142,60,154,157,2.04926108374384,0.32512315270936,72,0.16256157635468,0.16256157635468,2.8452380952381,5.5,2.75,5.29761904761905,5.5,2.75,17.1666666666667,0.504149377593361,0.427385892116183,0.504807692307692,0.864197530864198,0.0684647302904564,1,0.983539094650206,1.00691562932227,0.508298755186722,0.5,0.504807692307692,1.0082304526749,1,0.295566502463054,0.773399014778325,0.50445434298441,0.535263548626578,0.257238307349666,0.495192307692308,1.08014981273408,0.509933774834437,"quantor",0,"ok" "stmt24_148_149",1,72,12,84,203,482,1,1,2,1,142,60,154,157,2.04926108374384,0.32512315270936,72,0.16256157635468,0.16256157635468,2.8452380952381,5.5,2.75,5.29761904761905,5.5,2.75,17.1666666666667,0.504149377593361,0.427385892116183,0.504807692307692,0.864197530864198,0.0684647302904564,1,0.983539094650206,1.00691562932227,0.508298755186722,0.5,0.504807692307692,1.0082304526749,1,0.295566502463054,0.773399014778325,0.50445434298441,0.535263548626578,0.257238307349666,0.495192307692308,1.08014981273408,0.509933774834437,"QuBE",0.04,"ok" "stmt24_148_149",1,72,12,84,203,482,1,1,2,1,142,60,154,157,2.04926108374384,0.32512315270936,72,0.16256157635468,0.16256157635468,2.8452380952381,5.5,2.75,5.29761904761905,5.5,2.75,17.1666666666667,0.504149377593361,0.427385892116183,0.504807692307692,0.864197530864198,0.0684647302904564,1,0.983539094650206,1.00691562932227,0.508298755186722,0.5,0.504807692307692,1.0082304526749,1,0.295566502463054,0.773399014778325,0.50445434298441,0.535263548626578,0.257238307349666,0.495192307692308,1.08014981273408,0.509933774834437,"sKizzo",0,"ok" "stmt24_148_149",1,72,12,84,203,482,1,1,2,1,142,60,154,157,2.04926108374384,0.32512315270936,72,0.16256157635468,0.16256157635468,2.8452380952381,5.5,2.75,5.29761904761905,5.5,2.75,17.1666666666667,0.504149377593361,0.427385892116183,0.504807692307692,0.864197530864198,0.0684647302904564,1,0.983539094650206,1.00691562932227,0.508298755186722,0.5,0.504807692307692,1.0082304526749,1,0.295566502463054,0.773399014778325,0.50445434298441,0.535263548626578,0.257238307349666,0.495192307692308,1.08014981273408,0.509933774834437,"sSolve",0.77,"ok" "stmt24_292_293",1,473,43,516,1574,3855,1,1,2,1,1155,418,1259,1116,2.15057179161372,0.298602287166455,473,0.149301143583227,0.149301143583227,3.44573643410853,10.9302325581395,5.46511627906977,6.43604651162791,10.9302325581395,5.46511627906977,31.0359408033827,0.538780804150454,0.400259403372244,0.544165435745938,0.886856042368801,0.0609597924773022,1,0.856042368801156,0.95790590732225,0.52125928546162,0.5,0.544165435745938,0.967479318947783,1,0.26556543837357,0.709021601016518,0.541298342541436,0.464992466097438,0.389502762430939,0.455834564254062,1.01371437956804,0.719571319214085,"X2clsQ",3600,"timeout" "stmt24_292_293",1,473,43,516,1574,3855,1,1,2,1,1155,418,1259,1116,2.15057179161372,0.298602287166455,473,0.149301143583227,0.149301143583227,3.44573643410853,10.9302325581395,5.46511627906977,6.43604651162791,10.9302325581395,5.46511627906977,31.0359408033827,0.538780804150454,0.400259403372244,0.544165435745938,0.886856042368801,0.0609597924773022,1,0.856042368801156,0.95790590732225,0.52125928546162,0.5,0.544165435745938,0.967479318947783,1,0.26556543837357,0.709021601016518,0.541298342541436,0.464992466097438,0.389502762430939,0.455834564254062,1.01371437956804,0.719571319214085,"quantor",3600,"memout" "stmt24_292_293",1,473,43,516,1574,3855,1,1,2,1,1155,418,1259,1116,2.15057179161372,0.298602287166455,473,0.149301143583227,0.149301143583227,3.44573643410853,10.9302325581395,5.46511627906977,6.43604651162791,10.9302325581395,5.46511627906977,31.0359408033827,0.538780804150454,0.400259403372244,0.544165435745938,0.886856042368801,0.0609597924773022,1,0.856042368801156,0.95790590732225,0.52125928546162,0.5,0.544165435745938,0.967479318947783,1,0.26556543837357,0.709021601016518,0.541298342541436,0.464992466097438,0.389502762430939,0.455834564254062,1.01371437956804,0.719571319214085,"QuBE",3600,"timeout" "stmt24_292_293",1,473,43,516,1574,3855,1,1,2,1,1155,418,1259,1116,2.15057179161372,0.298602287166455,473,0.149301143583227,0.149301143583227,3.44573643410853,10.9302325581395,5.46511627906977,6.43604651162791,10.9302325581395,5.46511627906977,31.0359408033827,0.538780804150454,0.400259403372244,0.544165435745938,0.886856042368801,0.0609597924773022,1,0.856042368801156,0.95790590732225,0.52125928546162,0.5,0.544165435745938,0.967479318947783,1,0.26556543837357,0.709021601016518,0.541298342541436,0.464992466097438,0.389502762430939,0.455834564254062,1.01371437956804,0.719571319214085,"sKizzo",3600,"memout" "stmt24_292_293",1,473,43,516,1574,3855,1,1,2,1,1155,418,1259,1116,2.15057179161372,0.298602287166455,473,0.149301143583227,0.149301143583227,3.44573643410853,10.9302325581395,5.46511627906977,6.43604651162791,10.9302325581395,5.46511627906977,31.0359408033827,0.538780804150454,0.400259403372244,0.544165435745938,0.886856042368801,0.0609597924773022,1,0.856042368801156,0.95790590732225,0.52125928546162,0.5,0.544165435745938,0.967479318947783,1,0.26556543837357,0.709021601016518,0.541298342541436,0.464992466097438,0.389502762430939,0.455834564254062,1.01371437956804,0.719571319214085,"sSolve",4.52,"ok" "stmt24_7_8",1,12,5,17,37,86,1,1,2,1,25,11,29,30,1.72972972972973,0.594594594594595,12,0.297297297297297,0.297297297297297,2.52941176470588,4.4,2.2,4.41176470588235,4.4,2.2,14.1666666666667,0.5,0.372093023255814,0.5,0.744186046511628,0.127906976744186,1,1,1.05426356589147,0.527131782945736,0.5,0.5,1.05426356589147,1,0.297297297297297,0.810810810810811,0.5,0.604444444444444,0.249333333333333,0.5,1.20888888888889,0.498666666666667,"X2clsQ",0,"ok" "stmt24_7_8",1,12,5,17,37,86,1,1,2,1,25,11,29,30,1.72972972972973,0.594594594594595,12,0.297297297297297,0.297297297297297,2.52941176470588,4.4,2.2,4.41176470588235,4.4,2.2,14.1666666666667,0.5,0.372093023255814,0.5,0.744186046511628,0.127906976744186,1,1,1.05426356589147,0.527131782945736,0.5,0.5,1.05426356589147,1,0.297297297297297,0.810810810810811,0.5,0.604444444444444,0.249333333333333,0.5,1.20888888888889,0.498666666666667,"quantor",0,"ok" "stmt24_7_8",1,12,5,17,37,86,1,1,2,1,25,11,29,30,1.72972972972973,0.594594594594595,12,0.297297297297297,0.297297297297297,2.52941176470588,4.4,2.2,4.41176470588235,4.4,2.2,14.1666666666667,0.5,0.372093023255814,0.5,0.744186046511628,0.127906976744186,1,1,1.05426356589147,0.527131782945736,0.5,0.5,1.05426356589147,1,0.297297297297297,0.810810810810811,0.5,0.604444444444444,0.249333333333333,0.5,1.20888888888889,0.498666666666667,"QuBE",0.07,"ok" "stmt24_7_8",1,12,5,17,37,86,1,1,2,1,25,11,29,30,1.72972972972973,0.594594594594595,12,0.297297297297297,0.297297297297297,2.52941176470588,4.4,2.2,4.41176470588235,4.4,2.2,14.1666666666667,0.5,0.372093023255814,0.5,0.744186046511628,0.127906976744186,1,1,1.05426356589147,0.527131782945736,0.5,0.5,1.05426356589147,1,0.297297297297297,0.810810810810811,0.5,0.604444444444444,0.249333333333333,0.5,1.20888888888889,0.498666666666667,"sKizzo",0,"ok" "stmt24_7_8",1,12,5,17,37,86,1,1,2,1,25,11,29,30,1.72972972972973,0.594594594594595,12,0.297297297297297,0.297297297297297,2.52941176470588,4.4,2.2,4.41176470588235,4.4,2.2,14.1666666666667,0.5,0.372093023255814,0.5,0.744186046511628,0.127906976744186,1,1,1.05426356589147,0.527131782945736,0.5,0.5,1.05426356589147,1,0.297297297297297,0.810810810810811,0.5,0.604444444444444,0.249333333333333,0.5,1.20888888888889,0.498666666666667,"sSolve",0.77,"ok" "stmt24_765_766",1,658,70,728,2370,5927,1,1,2,1,1803,566,1929,1682,2.18016877637131,0.320675105485232,658,0.160337552742616,0.160337552742616,3.70054945054945,10.8571428571429,5.42857142857143,6.87912087912088,10.8571428571429,5.42857142857143,37.8632218844985,0.5454698835836,0.390416736966425,0.552157925295142,0.882462109495824,0.0641133794499747,1,0.833281781626972,0.96451507525963,0.532564642871245,0.5,0.552157925295142,0.976341057314529,1,0.238818565400844,0.709704641350211,0.548584820623761,0.461541412072464,0.356228592031729,0.447842074704858,1.02243219359663,0.649359185014788,"X2clsQ",3600,"timeout" "stmt24_765_766",1,658,70,728,2370,5927,1,1,2,1,1803,566,1929,1682,2.18016877637131,0.320675105485232,658,0.160337552742616,0.160337552742616,3.70054945054945,10.8571428571429,5.42857142857143,6.87912087912088,10.8571428571429,5.42857142857143,37.8632218844985,0.5454698835836,0.390416736966425,0.552157925295142,0.882462109495824,0.0641133794499747,1,0.833281781626972,0.96451507525963,0.532564642871245,0.5,0.552157925295142,0.976341057314529,1,0.238818565400844,0.709704641350211,0.548584820623761,0.461541412072464,0.356228592031729,0.447842074704858,1.02243219359663,0.649359185014788,"quantor",3600,"memout" "stmt24_765_766",1,658,70,728,2370,5927,1,1,2,1,1803,566,1929,1682,2.18016877637131,0.320675105485232,658,0.160337552742616,0.160337552742616,3.70054945054945,10.8571428571429,5.42857142857143,6.87912087912088,10.8571428571429,5.42857142857143,37.8632218844985,0.5454698835836,0.390416736966425,0.552157925295142,0.882462109495824,0.0641133794499747,1,0.833281781626972,0.96451507525963,0.532564642871245,0.5,0.552157925295142,0.976341057314529,1,0.238818565400844,0.709704641350211,0.548584820623761,0.461541412072464,0.356228592031729,0.447842074704858,1.02243219359663,0.649359185014788,"QuBE",3600,"timeout" "stmt24_765_766",1,658,70,728,2370,5927,1,1,2,1,1803,566,1929,1682,2.18016877637131,0.320675105485232,658,0.160337552742616,0.160337552742616,3.70054945054945,10.8571428571429,5.42857142857143,6.87912087912088,10.8571428571429,5.42857142857143,37.8632218844985,0.5454698835836,0.390416736966425,0.552157925295142,0.882462109495824,0.0641133794499747,1,0.833281781626972,0.96451507525963,0.532564642871245,0.5,0.552157925295142,0.976341057314529,1,0.238818565400844,0.709704641350211,0.548584820623761,0.461541412072464,0.356228592031729,0.447842074704858,1.02243219359663,0.649359185014788,"sKizzo",3600,"memout" "stmt24_765_766",1,658,70,728,2370,5927,1,1,2,1,1803,566,1929,1682,2.18016877637131,0.320675105485232,658,0.160337552742616,0.160337552742616,3.70054945054945,10.8571428571429,5.42857142857143,6.87912087912088,10.8571428571429,5.42857142857143,37.8632218844985,0.5454698835836,0.390416736966425,0.552157925295142,0.882462109495824,0.0641133794499747,1,0.833281781626972,0.96451507525963,0.532564642871245,0.5,0.552157925295142,0.976341057314529,1,0.238818565400844,0.709704641350211,0.548584820623761,0.461541412072464,0.356228592031729,0.447842074704858,1.02243219359663,0.649359185014788,"sSolve",3600,"timeout" "stmt25_52_53",1,1779,229,2008,6748,17147,1,1,2,1,5254,1493,5595,4762,2.19872554831061,0.342323651452282,1779,0.171161825726141,0.171161825726141,3.83266932270916,10.0873362445415,5.04366812227074,7.09013944223108,10.0873362445415,5.04366812227074,41.8639685216414,0.55117513267627,0.38146614568146,0.559142683830963,0.87779070997778,0.0673587216422698,1,0.814305364511692,0.97666519049165,0.54609519581578,0.5,0.559142683830963,0.990783443302632,1,0.221250740960285,0.705690574985181,0.554871185592796,0.461667314826609,0.31664849892195,0.440857316169037,1.03715441437201,0.570670287345447,"X2clsQ",3600,"timeout" "stmt25_52_53",1,1779,229,2008,6748,17147,1,1,2,1,5254,1493,5595,4762,2.19872554831061,0.342323651452282,1779,0.171161825726141,0.171161825726141,3.83266932270916,10.0873362445415,5.04366812227074,7.09013944223108,10.0873362445415,5.04366812227074,41.8639685216414,0.55117513267627,0.38146614568146,0.559142683830963,0.87779070997778,0.0673587216422698,1,0.814305364511692,0.97666519049165,0.54609519581578,0.5,0.559142683830963,0.990783443302632,1,0.221250740960285,0.705690574985181,0.554871185592796,0.461667314826609,0.31664849892195,0.440857316169037,1.03715441437201,0.570670287345447,"quantor",3600,"memout" "stmt25_52_53",1,1779,229,2008,6748,17147,1,1,2,1,5254,1493,5595,4762,2.19872554831061,0.342323651452282,1779,0.171161825726141,0.171161825726141,3.83266932270916,10.0873362445415,5.04366812227074,7.09013944223108,10.0873362445415,5.04366812227074,41.8639685216414,0.55117513267627,0.38146614568146,0.559142683830963,0.87779070997778,0.0673587216422698,1,0.814305364511692,0.97666519049165,0.54609519581578,0.5,0.559142683830963,0.990783443302632,1,0.221250740960285,0.705690574985181,0.554871185592796,0.461667314826609,0.31664849892195,0.440857316169037,1.03715441437201,0.570670287345447,"QuBE",3600,"timeout" "stmt25_52_53",1,1779,229,2008,6748,17147,1,1,2,1,5254,1493,5595,4762,2.19872554831061,0.342323651452282,1779,0.171161825726141,0.171161825726141,3.83266932270916,10.0873362445415,5.04366812227074,7.09013944223108,10.0873362445415,5.04366812227074,41.8639685216414,0.55117513267627,0.38146614568146,0.559142683830963,0.87779070997778,0.0673587216422698,1,0.814305364511692,0.97666519049165,0.54609519581578,0.5,0.559142683830963,0.990783443302632,1,0.221250740960285,0.705690574985181,0.554871185592796,0.461667314826609,0.31664849892195,0.440857316169037,1.03715441437201,0.570670287345447,"sKizzo",3600,"memout" "stmt25_52_53",1,1779,229,2008,6748,17147,1,1,2,1,5254,1493,5595,4762,2.19872554831061,0.342323651452282,1779,0.171161825726141,0.171161825726141,3.83266932270916,10.0873362445415,5.04366812227074,7.09013944223108,10.0873362445415,5.04366812227074,41.8639685216414,0.55117513267627,0.38146614568146,0.559142683830963,0.87779070997778,0.0673587216422698,1,0.814305364511692,0.97666519049165,0.54609519581578,0.5,0.559142683830963,0.990783443302632,1,0.221250740960285,0.705690574985181,0.554871185592796,0.461667314826609,0.31664849892195,0.440857316169037,1.03715441437201,0.570670287345447,"sSolve",3600,"timeout" "stmt25_597_598",1,850,80,930,2910,7193,1,1,2,1,2174,735,2349,2108,2.17216494845361,0.299656357388316,850,0.149828178694158,0.149828178694158,3.54838709677419,10.9,5.45,6.62795698924731,10.9,5.45,33.7811764705882,0.541220631169192,0.398164882524677,0.546907134947002,0.88800410994092,0.060614486306131,1,0.847675314667352,0.961478888788937,0.525839664379585,0.5,0.546907134947002,0.971580967347124,1,0.252577319587629,0.724398625429553,0.543880420304869,0.463749140325066,0.375055498002072,0.453092865052998,1.01672710615719,0.689591836734694,"X2clsQ",3600,"timeout" "stmt25_597_598",1,850,80,930,2910,7193,1,1,2,1,2174,735,2349,2108,2.17216494845361,0.299656357388316,850,0.149828178694158,0.149828178694158,3.54838709677419,10.9,5.45,6.62795698924731,10.9,5.45,33.7811764705882,0.541220631169192,0.398164882524677,0.546907134947002,0.88800410994092,0.060614486306131,1,0.847675314667352,0.961478888788937,0.525839664379585,0.5,0.546907134947002,0.971580967347124,1,0.252577319587629,0.724398625429553,0.543880420304869,0.463749140325066,0.375055498002072,0.453092865052998,1.01672710615719,0.689591836734694,"quantor",3600,"memout" "stmt25_597_598",1,850,80,930,2910,7193,1,1,2,1,2174,735,2349,2108,2.17216494845361,0.299656357388316,850,0.149828178694158,0.149828178694158,3.54838709677419,10.9,5.45,6.62795698924731,10.9,5.45,33.7811764705882,0.541220631169192,0.398164882524677,0.546907134947002,0.88800410994092,0.060614486306131,1,0.847675314667352,0.961478888788937,0.525839664379585,0.5,0.546907134947002,0.971580967347124,1,0.252577319587629,0.724398625429553,0.543880420304869,0.463749140325066,0.375055498002072,0.453092865052998,1.01672710615719,0.689591836734694,"QuBE",3600,"timeout" "stmt25_597_598",1,850,80,930,2910,7193,1,1,2,1,2174,735,2349,2108,2.17216494845361,0.299656357388316,850,0.149828178694158,0.149828178694158,3.54838709677419,10.9,5.45,6.62795698924731,10.9,5.45,33.7811764705882,0.541220631169192,0.398164882524677,0.546907134947002,0.88800410994092,0.060614486306131,1,0.847675314667352,0.961478888788937,0.525839664379585,0.5,0.546907134947002,0.971580967347124,1,0.252577319587629,0.724398625429553,0.543880420304869,0.463749140325066,0.375055498002072,0.453092865052998,1.01672710615719,0.689591836734694,"sKizzo",3600,"memout" "stmt25_597_598",1,850,80,930,2910,7193,1,1,2,1,2174,735,2349,2108,2.17216494845361,0.299656357388316,850,0.149828178694158,0.149828178694158,3.54838709677419,10.9,5.45,6.62795698924731,10.9,5.45,33.7811764705882,0.541220631169192,0.398164882524677,0.546907134947002,0.88800410994092,0.060614486306131,1,0.847675314667352,0.961478888788937,0.525839664379585,0.5,0.546907134947002,0.971580967347124,1,0.252577319587629,0.724398625429553,0.543880420304869,0.463749140325066,0.375055498002072,0.453092865052998,1.01672710615719,0.689591836734694,"sSolve",3600,"timeout" "stmt27_149_224",1,2403,178,2581,8330,20535,1,1,2,1,5963,2366,6398,4894,2.19027611044418,0.274909963985594,2403,0.137454981992797,0.137454981992797,3.42076714451763,12.8651685393258,6.43258426966292,6.39790778767919,12.8651685393258,6.43258426966292,69.1910112359551,0.570051132213294,0.374190406622839,0.578843518772266,0.902186912694345,0.0557584611638666,1,0.754228600717581,0.954296638981324,0.552388424460496,0.5,0.578843518772266,0.969015572893926,1,0.284033613445378,0.587515006002401,0.574187725631769,0.425641319504135,0.428120165033522,0.421156481227734,0.999598520581988,0.745610095657251,"X2clsQ",3600,"timeout" "stmt27_149_224",1,2403,178,2581,8330,20535,1,1,2,1,5963,2366,6398,4894,2.19027611044418,0.274909963985594,2403,0.137454981992797,0.137454981992797,3.42076714451763,12.8651685393258,6.43258426966292,6.39790778767919,12.8651685393258,6.43258426966292,69.1910112359551,0.570051132213294,0.374190406622839,0.578843518772266,0.902186912694345,0.0557584611638666,1,0.754228600717581,0.954296638981324,0.552388424460496,0.5,0.578843518772266,0.969015572893926,1,0.284033613445378,0.587515006002401,0.574187725631769,0.425641319504135,0.428120165033522,0.421156481227734,0.999598520581988,0.745610095657251,"quantor",3600,"memout" "stmt27_149_224",1,2403,178,2581,8330,20535,1,1,2,1,5963,2366,6398,4894,2.19027611044418,0.274909963985594,2403,0.137454981992797,0.137454981992797,3.42076714451763,12.8651685393258,6.43258426966292,6.39790778767919,12.8651685393258,6.43258426966292,69.1910112359551,0.570051132213294,0.374190406622839,0.578843518772266,0.902186912694345,0.0557584611638666,1,0.754228600717581,0.954296638981324,0.552388424460496,0.5,0.578843518772266,0.969015572893926,1,0.284033613445378,0.587515006002401,0.574187725631769,0.425641319504135,0.428120165033522,0.421156481227734,0.999598520581988,0.745610095657251,"QuBE",3600,"timeout" "stmt27_149_224",1,2403,178,2581,8330,20535,1,1,2,1,5963,2366,6398,4894,2.19027611044418,0.274909963985594,2403,0.137454981992797,0.137454981992797,3.42076714451763,12.8651685393258,6.43258426966292,6.39790778767919,12.8651685393258,6.43258426966292,69.1910112359551,0.570051132213294,0.374190406622839,0.578843518772266,0.902186912694345,0.0557584611638666,1,0.754228600717581,0.954296638981324,0.552388424460496,0.5,0.578843518772266,0.969015572893926,1,0.284033613445378,0.587515006002401,0.574187725631769,0.425641319504135,0.428120165033522,0.421156481227734,0.999598520581988,0.745610095657251,"sKizzo",3600,"memout" "stmt27_149_224",1,2403,178,2581,8330,20535,1,1,2,1,5963,2366,6398,4894,2.19027611044418,0.274909963985594,2403,0.137454981992797,0.137454981992797,3.42076714451763,12.8651685393258,6.43258426966292,6.39790778767919,12.8651685393258,6.43258426966292,69.1910112359551,0.570051132213294,0.374190406622839,0.578843518772266,0.902186912694345,0.0557584611638666,1,0.754228600717581,0.954296638981324,0.552388424460496,0.5,0.578843518772266,0.969015572893926,1,0.284033613445378,0.587515006002401,0.574187725631769,0.425641319504135,0.428120165033522,0.421156481227734,0.999598520581988,0.745610095657251,"sSolve",3600,"timeout" "stmt27_296_297",1,491,43,534,1620,3967,1,1,2,1,1188,431,1297,1152,2.15864197530864,0.290123456790123,491,0.145061728395062,0.145061728395062,3.42696629213483,10.9302325581395,5.46511627906977,6.41385767790262,10.9302325581395,5.46511627906977,30.6558044806517,0.538694227375851,0.402067053188808,0.543894766943094,0.890032756200281,0.0592387194353416,1,0.85634066448292,0.958723111289318,0.521444483177662,0.5,0.543894766943094,0.967978598392973,1,0.266049382716049,0.711111111111111,0.541130760986066,0.464813589956844,0.390993045689075,0.456105233056906,1.01295434611325,0.72254817851529,"X2clsQ",3600,"timeout" "stmt27_296_297",1,491,43,534,1620,3967,1,1,2,1,1188,431,1297,1152,2.15864197530864,0.290123456790123,491,0.145061728395062,0.145061728395062,3.42696629213483,10.9302325581395,5.46511627906977,6.41385767790262,10.9302325581395,5.46511627906977,30.6558044806517,0.538694227375851,0.402067053188808,0.543894766943094,0.890032756200281,0.0592387194353416,1,0.85634066448292,0.958723111289318,0.521444483177662,0.5,0.543894766943094,0.967978598392973,1,0.266049382716049,0.711111111111111,0.541130760986066,0.464813589956844,0.390993045689075,0.456105233056906,1.01295434611325,0.72254817851529,"quantor",3600,"memout" "stmt27_296_297",1,491,43,534,1620,3967,1,1,2,1,1188,431,1297,1152,2.15864197530864,0.290123456790123,491,0.145061728395062,0.145061728395062,3.42696629213483,10.9302325581395,5.46511627906977,6.41385767790262,10.9302325581395,5.46511627906977,30.6558044806517,0.538694227375851,0.402067053188808,0.543894766943094,0.890032756200281,0.0592387194353416,1,0.85634066448292,0.958723111289318,0.521444483177662,0.5,0.543894766943094,0.967978598392973,1,0.266049382716049,0.711111111111111,0.541130760986066,0.464813589956844,0.390993045689075,0.456105233056906,1.01295434611325,0.72254817851529,"QuBE",3600,"timeout" "stmt27_296_297",1,491,43,534,1620,3967,1,1,2,1,1188,431,1297,1152,2.15864197530864,0.290123456790123,491,0.145061728395062,0.145061728395062,3.42696629213483,10.9302325581395,5.46511627906977,6.41385767790262,10.9302325581395,5.46511627906977,30.6558044806517,0.538694227375851,0.402067053188808,0.543894766943094,0.890032756200281,0.0592387194353416,1,0.85634066448292,0.958723111289318,0.521444483177662,0.5,0.543894766943094,0.967978598392973,1,0.266049382716049,0.711111111111111,0.541130760986066,0.464813589956844,0.390993045689075,0.456105233056906,1.01295434611325,0.72254817851529,"sKizzo",3600,"memout" "stmt27_296_297",1,491,43,534,1620,3967,1,1,2,1,1188,431,1297,1152,2.15864197530864,0.290123456790123,491,0.145061728395062,0.145061728395062,3.42696629213483,10.9302325581395,5.46511627906977,6.41385767790262,10.9302325581395,5.46511627906977,30.6558044806517,0.538694227375851,0.402067053188808,0.543894766943094,0.890032756200281,0.0592387194353416,1,0.85634066448292,0.958723111289318,0.521444483177662,0.5,0.543894766943094,0.967978598392973,1,0.266049382716049,0.711111111111111,0.541130760986066,0.464813589956844,0.390993045689075,0.456105233056906,1.01295434611325,0.72254817851529,"sSolve",4.71,"ok" "stmt27_93_98",1,1959,241,2200,7290,18437,1,1,2,1,5634,1655,6023,5192,2.19657064471879,0.332510288065844,1959,0.166255144032922,0.166255144032922,3.77181818181818,10.0580912863071,5.02904564315353,6.99272727272727,10.0580912863071,5.02904564315353,40.4175599795814,0.549926777675327,0.384335846395834,0.557484543808156,0.880461583982641,0.0657373759288387,1,0.818423907683203,0.975372917770857,0.543755326106316,0.5,0.557484543808156,0.988777684921802,1,0.227023319615912,0.712208504801097,0.55343976777939,0.461987433237686,0.321158212334913,0.442515456191844,1.03454674174716,0.580294787314474,"X2clsQ",3600,"timeout" "stmt27_93_98",1,1959,241,2200,7290,18437,1,1,2,1,5634,1655,6023,5192,2.19657064471879,0.332510288065844,1959,0.166255144032922,0.166255144032922,3.77181818181818,10.0580912863071,5.02904564315353,6.99272727272727,10.0580912863071,5.02904564315353,40.4175599795814,0.549926777675327,0.384335846395834,0.557484543808156,0.880461583982641,0.0657373759288387,1,0.818423907683203,0.975372917770857,0.543755326106316,0.5,0.557484543808156,0.988777684921802,1,0.227023319615912,0.712208504801097,0.55343976777939,0.461987433237686,0.321158212334913,0.442515456191844,1.03454674174716,0.580294787314474,"quantor",3600,"memout" "stmt27_93_98",1,1959,241,2200,7290,18437,1,1,2,1,5634,1655,6023,5192,2.19657064471879,0.332510288065844,1959,0.166255144032922,0.166255144032922,3.77181818181818,10.0580912863071,5.02904564315353,6.99272727272727,10.0580912863071,5.02904564315353,40.4175599795814,0.549926777675327,0.384335846395834,0.557484543808156,0.880461583982641,0.0657373759288387,1,0.818423907683203,0.975372917770857,0.543755326106316,0.5,0.557484543808156,0.988777684921802,1,0.227023319615912,0.712208504801097,0.55343976777939,0.461987433237686,0.321158212334913,0.442515456191844,1.03454674174716,0.580294787314474,"QuBE",3600,"timeout" "stmt27_93_98",1,1959,241,2200,7290,18437,1,1,2,1,5634,1655,6023,5192,2.19657064471879,0.332510288065844,1959,0.166255144032922,0.166255144032922,3.77181818181818,10.0580912863071,5.02904564315353,6.99272727272727,10.0580912863071,5.02904564315353,40.4175599795814,0.549926777675327,0.384335846395834,0.557484543808156,0.880461583982641,0.0657373759288387,1,0.818423907683203,0.975372917770857,0.543755326106316,0.5,0.557484543808156,0.988777684921802,1,0.227023319615912,0.712208504801097,0.55343976777939,0.461987433237686,0.321158212334913,0.442515456191844,1.03454674174716,0.580294787314474,"sKizzo",3600,"memout" "stmt27_93_98",1,1959,241,2200,7290,18437,1,1,2,1,5634,1655,6023,5192,2.19657064471879,0.332510288065844,1959,0.166255144032922,0.166255144032922,3.77181818181818,10.0580912863071,5.02904564315353,6.99272727272727,10.0580912863071,5.02904564315353,40.4175599795814,0.549926777675327,0.384335846395834,0.557484543808156,0.880461583982641,0.0657373759288387,1,0.818423907683203,0.975372917770857,0.543755326106316,0.5,0.557484543808156,0.988777684921802,1,0.227023319615912,0.712208504801097,0.55343976777939,0.461987433237686,0.321158212334913,0.442515456191844,1.03454674174716,0.580294787314474,"sSolve",3600,"timeout" "stmt28_68_81",1,8103,421,8524,32978,83357,1,1,2,1,25212,7765,27499,23320,2.17808842258475,0.349566377585057,8103,0.174783188792528,0.174783188792528,4.35241670577194,27.3824228028504,13.6912114014252,8.02862505865791,27.3824228028504,13.6912114014252,82.2073306182895,0.554926400902144,0.375925237232626,0.563741664230325,0.8753918325875,0.0691483618652303,1,0.80204077220745,0.906473988266266,0.511017154726725,0.5,0.563741664230325,0.920873748115001,1,0.235460003638789,0.707138092061374,0.559006611421133,0.424833364779755,0.752025865643474,0.436258335769675,0.963355405732525,1.3452897519971,"X2clsQ",3600,"timeout" "stmt28_68_81",1,8103,421,8524,32978,83357,1,1,2,1,25212,7765,27499,23320,2.17808842258475,0.349566377585057,8103,0.174783188792528,0.174783188792528,4.35241670577194,27.3824228028504,13.6912114014252,8.02862505865791,27.3824228028504,13.6912114014252,82.2073306182895,0.554926400902144,0.375925237232626,0.563741664230325,0.8753918325875,0.0691483618652303,1,0.80204077220745,0.906473988266266,0.511017154726725,0.5,0.563741664230325,0.920873748115001,1,0.235460003638789,0.707138092061374,0.559006611421133,0.424833364779755,0.752025865643474,0.436258335769675,0.963355405732525,1.3452897519971,"quantor",3600,"memout" "stmt28_68_81",1,8103,421,8524,32978,83357,1,1,2,1,25212,7765,27499,23320,2.17808842258475,0.349566377585057,8103,0.174783188792528,0.174783188792528,4.35241670577194,27.3824228028504,13.6912114014252,8.02862505865791,27.3824228028504,13.6912114014252,82.2073306182895,0.554926400902144,0.375925237232626,0.563741664230325,0.8753918325875,0.0691483618652303,1,0.80204077220745,0.906473988266266,0.511017154726725,0.5,0.563741664230325,0.920873748115001,1,0.235460003638789,0.707138092061374,0.559006611421133,0.424833364779755,0.752025865643474,0.436258335769675,0.963355405732525,1.3452897519971,"QuBE",3600,"timeout" "stmt28_68_81",1,8103,421,8524,32978,83357,1,1,2,1,25212,7765,27499,23320,2.17808842258475,0.349566377585057,8103,0.174783188792528,0.174783188792528,4.35241670577194,27.3824228028504,13.6912114014252,8.02862505865791,27.3824228028504,13.6912114014252,82.2073306182895,0.554926400902144,0.375925237232626,0.563741664230325,0.8753918325875,0.0691483618652303,1,0.80204077220745,0.906473988266266,0.511017154726725,0.5,0.563741664230325,0.920873748115001,1,0.235460003638789,0.707138092061374,0.559006611421133,0.424833364779755,0.752025865643474,0.436258335769675,0.963355405732525,1.3452897519971,"sKizzo",3600,"memout" "stmt28_68_81",1,8103,421,8524,32978,83357,1,1,2,1,25212,7765,27499,23320,2.17808842258475,0.349566377585057,8103,0.174783188792528,0.174783188792528,4.35241670577194,27.3824228028504,13.6912114014252,8.02862505865791,27.3824228028504,13.6912114014252,82.2073306182895,0.554926400902144,0.375925237232626,0.563741664230325,0.8753918325875,0.0691483618652303,1,0.80204077220745,0.906473988266266,0.511017154726725,0.5,0.563741664230325,0.920873748115001,1,0.235460003638789,0.707138092061374,0.559006611421133,0.424833364779755,0.752025865643474,0.436258335769675,0.963355405732525,1.3452897519971,"sSolve",3600,"timeout" "stmt29_21_275",1,2577,219,2796,9069,22302,1,1,2,1,6485,2583,6988,5441,2.06527731833719,0.393869224831845,2577,0.196934612415922,0.196934612415922,3.44635193133047,16.310502283105,8.15525114155251,6.25393419170243,16.310502283105,8.15525114155251,60.0263872720217,0.567931127253161,0.351986368935521,0.580886278697277,0.858992578556766,0.0800825038113174,1,0.760776882993842,0.911206301391973,0.529307237541092,0.5,0.580886278697277,0.931991947863686,1,0.284816407542177,0.599955893703826,0.573844804055371,0.415144907486953,0.55571461765892,0.419113721302723,0.974163664875023,0.96840576708489,"X2clsQ",3600,"timeout" "stmt29_21_275",1,2577,219,2796,9069,22302,1,1,2,1,6485,2583,6988,5441,2.06527731833719,0.393869224831845,2577,0.196934612415922,0.196934612415922,3.44635193133047,16.310502283105,8.15525114155251,6.25393419170243,16.310502283105,8.15525114155251,60.0263872720217,0.567931127253161,0.351986368935521,0.580886278697277,0.858992578556766,0.0800825038113174,1,0.760776882993842,0.911206301391973,0.529307237541092,0.5,0.580886278697277,0.931991947863686,1,0.284816407542177,0.599955893703826,0.573844804055371,0.415144907486953,0.55571461765892,0.419113721302723,0.974163664875023,0.96840576708489,"quantor",3600,"memout" "stmt29_21_275",1,2577,219,2796,9069,22302,1,1,2,1,6485,2583,6988,5441,2.06527731833719,0.393869224831845,2577,0.196934612415922,0.196934612415922,3.44635193133047,16.310502283105,8.15525114155251,6.25393419170243,16.310502283105,8.15525114155251,60.0263872720217,0.567931127253161,0.351986368935521,0.580886278697277,0.858992578556766,0.0800825038113174,1,0.760776882993842,0.911206301391973,0.529307237541092,0.5,0.580886278697277,0.931991947863686,1,0.284816407542177,0.599955893703826,0.573844804055371,0.415144907486953,0.55571461765892,0.419113721302723,0.974163664875023,0.96840576708489,"QuBE",3600,"timeout" "stmt29_21_275",1,2577,219,2796,9069,22302,1,1,2,1,6485,2583,6988,5441,2.06527731833719,0.393869224831845,2577,0.196934612415922,0.196934612415922,3.44635193133047,16.310502283105,8.15525114155251,6.25393419170243,16.310502283105,8.15525114155251,60.0263872720217,0.567931127253161,0.351986368935521,0.580886278697277,0.858992578556766,0.0800825038113174,1,0.760776882993842,0.911206301391973,0.529307237541092,0.5,0.580886278697277,0.931991947863686,1,0.284816407542177,0.599955893703826,0.573844804055371,0.415144907486953,0.55571461765892,0.419113721302723,0.974163664875023,0.96840576708489,"sKizzo",3.84,"ok" "stmt29_21_275",1,2577,219,2796,9069,22302,1,1,2,1,6485,2583,6988,5441,2.06527731833719,0.393869224831845,2577,0.196934612415922,0.196934612415922,3.44635193133047,16.310502283105,8.15525114155251,6.25393419170243,16.310502283105,8.15525114155251,60.0263872720217,0.567931127253161,0.351986368935521,0.580886278697277,0.858992578556766,0.0800825038113174,1,0.760776882993842,0.911206301391973,0.529307237541092,0.5,0.580886278697277,0.931991947863686,1,0.284816407542177,0.599955893703826,0.573844804055371,0.415144907486953,0.55571461765892,0.419113721302723,0.974163664875023,0.96840576708489,"sSolve",3600,"timeout" "stmt3_639_640",1,392,42,434,1374,3425,1,1,2,1,1046,327,1109,1020,2.17976710334789,0.312954876273654,392,0.156477438136827,0.156477438136827,3.63133640552995,10.2380952380952,5.11904761904762,6.76728110599078,10.2380952380952,5.11904761904762,35.9030612244898,0.53985401459854,0.397372262773723,0.545575959933222,0.883720930232558,0.0627737226277372,1,0.852352623039481,0.968143899895725,0.528196037539103,0.5,0.545575959933222,0.978405315614618,1,0.237991266375546,0.74235807860262,0.542523364485981,0.469414775255897,0.346053997923157,0.454424040066778,1.02609562721922,0.637860082304527,"X2clsQ",3600,"timeout" "stmt3_639_640",1,392,42,434,1374,3425,1,1,2,1,1046,327,1109,1020,2.17976710334789,0.312954876273654,392,0.156477438136827,0.156477438136827,3.63133640552995,10.2380952380952,5.11904761904762,6.76728110599078,10.2380952380952,5.11904761904762,35.9030612244898,0.53985401459854,0.397372262773723,0.545575959933222,0.883720930232558,0.0627737226277372,1,0.852352623039481,0.968143899895725,0.528196037539103,0.5,0.545575959933222,0.978405315614618,1,0.237991266375546,0.74235807860262,0.542523364485981,0.469414775255897,0.346053997923157,0.454424040066778,1.02609562721922,0.637860082304527,"quantor",3600,"memout" "stmt3_639_640",1,392,42,434,1374,3425,1,1,2,1,1046,327,1109,1020,2.17976710334789,0.312954876273654,392,0.156477438136827,0.156477438136827,3.63133640552995,10.2380952380952,5.11904761904762,6.76728110599078,10.2380952380952,5.11904761904762,35.9030612244898,0.53985401459854,0.397372262773723,0.545575959933222,0.883720930232558,0.0627737226277372,1,0.852352623039481,0.968143899895725,0.528196037539103,0.5,0.545575959933222,0.978405315614618,1,0.237991266375546,0.74235807860262,0.542523364485981,0.469414775255897,0.346053997923157,0.454424040066778,1.02609562721922,0.637860082304527,"QuBE",3600,"timeout" "stmt3_639_640",1,392,42,434,1374,3425,1,1,2,1,1046,327,1109,1020,2.17976710334789,0.312954876273654,392,0.156477438136827,0.156477438136827,3.63133640552995,10.2380952380952,5.11904761904762,6.76728110599078,10.2380952380952,5.11904761904762,35.9030612244898,0.53985401459854,0.397372262773723,0.545575959933222,0.883720930232558,0.0627737226277372,1,0.852352623039481,0.968143899895725,0.528196037539103,0.5,0.545575959933222,0.978405315614618,1,0.237991266375546,0.74235807860262,0.542523364485981,0.469414775255897,0.346053997923157,0.454424040066778,1.02609562721922,0.637860082304527,"sKizzo",78.93,"ok" "stmt3_639_640",1,392,42,434,1374,3425,1,1,2,1,1046,327,1109,1020,2.17976710334789,0.312954876273654,392,0.156477438136827,0.156477438136827,3.63133640552995,10.2380952380952,5.11904761904762,6.76728110599078,10.2380952380952,5.11904761904762,35.9030612244898,0.53985401459854,0.397372262773723,0.545575959933222,0.883720930232558,0.0627737226277372,1,0.852352623039481,0.968143899895725,0.528196037539103,0.5,0.545575959933222,0.978405315614618,1,0.237991266375546,0.74235807860262,0.542523364485981,0.469414775255897,0.346053997923157,0.454424040066778,1.02609562721922,0.637860082304527,"sSolve",3.44,"ok" "stmt3_969_973",1,324,38,362,1182,2977,1,1,2,1,914,267,961,868,2.19204737732657,0.326565143824027,324,0.163282571912014,0.163282571912014,3.75690607734807,10.1578947368421,5.07894736842105,6.98066298342541,10.1578947368421,5.07894736842105,39.5,0.543164259321465,0.392005374538126,0.549594751061366,0.880643166357452,0.0648303661404098,1,0.841063698206555,0.97241609541464,0.534434781887475,0.5,0.549594751061366,0.983928475991968,1,0.225888324873096,0.734348561759729,0.546156609195402,0.468344242230736,0.330204552329099,0.450405248938634,1.03195122308696,0.604596825836377,"X2clsQ",392.92,"ok" "stmt3_969_973",1,324,38,362,1182,2977,1,1,2,1,914,267,961,868,2.19204737732657,0.326565143824027,324,0.163282571912014,0.163282571912014,3.75690607734807,10.1578947368421,5.07894736842105,6.98066298342541,10.1578947368421,5.07894736842105,39.5,0.543164259321465,0.392005374538126,0.549594751061366,0.880643166357452,0.0648303661404098,1,0.841063698206555,0.97241609541464,0.534434781887475,0.5,0.549594751061366,0.983928475991968,1,0.225888324873096,0.734348561759729,0.546156609195402,0.468344242230736,0.330204552329099,0.450405248938634,1.03195122308696,0.604596825836377,"quantor",3600,"memout" "stmt3_969_973",1,324,38,362,1182,2977,1,1,2,1,914,267,961,868,2.19204737732657,0.326565143824027,324,0.163282571912014,0.163282571912014,3.75690607734807,10.1578947368421,5.07894736842105,6.98066298342541,10.1578947368421,5.07894736842105,39.5,0.543164259321465,0.392005374538126,0.549594751061366,0.880643166357452,0.0648303661404098,1,0.841063698206555,0.97241609541464,0.534434781887475,0.5,0.549594751061366,0.983928475991968,1,0.225888324873096,0.734348561759729,0.546156609195402,0.468344242230736,0.330204552329099,0.450405248938634,1.03195122308696,0.604596825836377,"QuBE",3600,"timeout" "stmt3_969_973",1,324,38,362,1182,2977,1,1,2,1,914,267,961,868,2.19204737732657,0.326565143824027,324,0.163282571912014,0.163282571912014,3.75690607734807,10.1578947368421,5.07894736842105,6.98066298342541,10.1578947368421,5.07894736842105,39.5,0.543164259321465,0.392005374538126,0.549594751061366,0.880643166357452,0.0648303661404098,1,0.841063698206555,0.97241609541464,0.534434781887475,0.5,0.549594751061366,0.983928475991968,1,0.225888324873096,0.734348561759729,0.546156609195402,0.468344242230736,0.330204552329099,0.450405248938634,1.03195122308696,0.604596825836377,"sKizzo",1.37,"ok" "stmt3_969_973",1,324,38,362,1182,2977,1,1,2,1,914,267,961,868,2.19204737732657,0.326565143824027,324,0.163282571912014,0.163282571912014,3.75690607734807,10.1578947368421,5.07894736842105,6.98066298342541,10.1578947368421,5.07894736842105,39.5,0.543164259321465,0.392005374538126,0.549594751061366,0.880643166357452,0.0648303661404098,1,0.841063698206555,0.97241609541464,0.534434781887475,0.5,0.549594751061366,0.983928475991968,1,0.225888324873096,0.734348561759729,0.546156609195402,0.468344242230736,0.330204552329099,0.450405248938634,1.03195122308696,0.604596825836377,"sSolve",0.95,"ok" "stmt31_100_276",1,2848,219,3067,9951,24452,1,1,2,1,7119,2831,7669,5977,2.09828157974073,0.358958898603155,2848,0.179479449301578,0.179479449301578,3.44538637104663,16.310502283105,8.15525114155251,6.30844473426801,16.310502283105,8.15525114155251,62.7247191011236,0.567847210862097,0.359111729101914,0.579454022988506,0.871371984155564,0.0730410600359889,1,0.761037090385308,0.919580806790465,0.532854797957751,0.5,0.579454022988506,0.938377062993369,1,0.284494020701437,0.600643151442066,0.573193329215565,0.417198639723706,0.551754946861854,0.420545977011494,0.977488563983619,0.962598339406618,"X2clsQ",3600,"timeout" "stmt31_100_276",1,2848,219,3067,9951,24452,1,1,2,1,7119,2831,7669,5977,2.09828157974073,0.358958898603155,2848,0.179479449301578,0.179479449301578,3.44538637104663,16.310502283105,8.15525114155251,6.30844473426801,16.310502283105,8.15525114155251,62.7247191011236,0.567847210862097,0.359111729101914,0.579454022988506,0.871371984155564,0.0730410600359889,1,0.761037090385308,0.919580806790465,0.532854797957751,0.5,0.579454022988506,0.938377062993369,1,0.284494020701437,0.600643151442066,0.573193329215565,0.417198639723706,0.551754946861854,0.420545977011494,0.977488563983619,0.962598339406618,"quantor",3600,"memout" "stmt31_100_276",1,2848,219,3067,9951,24452,1,1,2,1,7119,2831,7669,5977,2.09828157974073,0.358958898603155,2848,0.179479449301578,0.179479449301578,3.44538637104663,16.310502283105,8.15525114155251,6.30844473426801,16.310502283105,8.15525114155251,62.7247191011236,0.567847210862097,0.359111729101914,0.579454022988506,0.871371984155564,0.0730410600359889,1,0.761037090385308,0.919580806790465,0.532854797957751,0.5,0.579454022988506,0.938377062993369,1,0.284494020701437,0.600643151442066,0.573193329215565,0.417198639723706,0.551754946861854,0.420545977011494,0.977488563983619,0.962598339406618,"QuBE",3600,"timeout" "stmt31_100_276",1,2848,219,3067,9951,24452,1,1,2,1,7119,2831,7669,5977,2.09828157974073,0.358958898603155,2848,0.179479449301578,0.179479449301578,3.44538637104663,16.310502283105,8.15525114155251,6.30844473426801,16.310502283105,8.15525114155251,62.7247191011236,0.567847210862097,0.359111729101914,0.579454022988506,0.871371984155564,0.0730410600359889,1,0.761037090385308,0.919580806790465,0.532854797957751,0.5,0.579454022988506,0.938377062993369,1,0.284494020701437,0.600643151442066,0.573193329215565,0.417198639723706,0.551754946861854,0.420545977011494,0.977488563983619,0.962598339406618,"sKizzo",3.99,"ok" "stmt31_100_276",1,2848,219,3067,9951,24452,1,1,2,1,7119,2831,7669,5977,2.09828157974073,0.358958898603155,2848,0.179479449301578,0.179479449301578,3.44538637104663,16.310502283105,8.15525114155251,6.30844473426801,16.310502283105,8.15525114155251,62.7247191011236,0.567847210862097,0.359111729101914,0.579454022988506,0.871371984155564,0.0730410600359889,1,0.761037090385308,0.919580806790465,0.532854797957751,0.5,0.579454022988506,0.938377062993369,1,0.284494020701437,0.600643151442066,0.573193329215565,0.417198639723706,0.551754946861854,0.420545977011494,0.977488563983619,0.962598339406618,"sSolve",3600,"timeout" "stmt31_22_23",1,811,93,904,2839,7100,1,1,2,1,2003,835,2150,1626,2.01902078196548,0.48185980979218,811,0.24092990489609,0.24092990489609,3.37389380530973,14.7096774193548,7.35483870967742,5.99115044247788,14.7096774193548,7.35483870967742,38.5943279901356,0.570422535211268,0.333239436619718,0.587229588276343,0.831111111111111,0.0963380281690141,1,0.753086419753086,0.89990239836057,0.528449314878172,0.5,0.587229588276343,0.926417317440745,1,0.294117647058824,0.572736879182811,0.577930174563591,0.411053131659138,0.518140133537125,0.412770411723657,0.973898409425787,0.896544524480635,"X2clsQ",3600,"memout" "stmt31_22_23",1,811,93,904,2839,7100,1,1,2,1,2003,835,2150,1626,2.01902078196548,0.48185980979218,811,0.24092990489609,0.24092990489609,3.37389380530973,14.7096774193548,7.35483870967742,5.99115044247788,14.7096774193548,7.35483870967742,38.5943279901356,0.570422535211268,0.333239436619718,0.587229588276343,0.831111111111111,0.0963380281690141,1,0.753086419753086,0.89990239836057,0.528449314878172,0.5,0.587229588276343,0.926417317440745,1,0.294117647058824,0.572736879182811,0.577930174563591,0.411053131659138,0.518140133537125,0.412770411723657,0.973898409425787,0.896544524480635,"quantor",29.53,"ok" "stmt31_22_23",1,811,93,904,2839,7100,1,1,2,1,2003,835,2150,1626,2.01902078196548,0.48185980979218,811,0.24092990489609,0.24092990489609,3.37389380530973,14.7096774193548,7.35483870967742,5.99115044247788,14.7096774193548,7.35483870967742,38.5943279901356,0.570422535211268,0.333239436619718,0.587229588276343,0.831111111111111,0.0963380281690141,1,0.753086419753086,0.89990239836057,0.528449314878172,0.5,0.587229588276343,0.926417317440745,1,0.294117647058824,0.572736879182811,0.577930174563591,0.411053131659138,0.518140133537125,0.412770411723657,0.973898409425787,0.896544524480635,"QuBE",3600,"timeout" "stmt31_22_23",1,811,93,904,2839,7100,1,1,2,1,2003,835,2150,1626,2.01902078196548,0.48185980979218,811,0.24092990489609,0.24092990489609,3.37389380530973,14.7096774193548,7.35483870967742,5.99115044247788,14.7096774193548,7.35483870967742,38.5943279901356,0.570422535211268,0.333239436619718,0.587229588276343,0.831111111111111,0.0963380281690141,1,0.753086419753086,0.89990239836057,0.528449314878172,0.5,0.587229588276343,0.926417317440745,1,0.294117647058824,0.572736879182811,0.577930174563591,0.411053131659138,0.518140133537125,0.412770411723657,0.973898409425787,0.896544524480635,"sKizzo",3600,"memout" "stmt31_22_23",1,811,93,904,2839,7100,1,1,2,1,2003,835,2150,1626,2.01902078196548,0.48185980979218,811,0.24092990489609,0.24092990489609,3.37389380530973,14.7096774193548,7.35483870967742,5.99115044247788,14.7096774193548,7.35483870967742,38.5943279901356,0.570422535211268,0.333239436619718,0.587229588276343,0.831111111111111,0.0963380281690141,1,0.753086419753086,0.89990239836057,0.528449314878172,0.5,0.587229588276343,0.926417317440745,1,0.294117647058824,0.572736879182811,0.577930174563591,0.411053131659138,0.518140133537125,0.412770411723657,0.973898409425787,0.896544524480635,"sSolve",3600,"timeout" "stmt32_122_129",1,59,7,66,158,373,1,1,2,1,110,47,117,122,2.08227848101266,0.278481012658228,59,0.139240506329114,0.139240506329114,2.84848484848485,6.28571428571429,3.14285714285714,5.36363636363636,6.28571428571429,3.14285714285714,16.271186440678,0.49597855227882,0.445040214477212,0.495440729483283,0.881081081081081,0.0589812332439678,1,1.01621621621622,0.986686054437225,0.48884445858136,0.5,0.495440729483283,0.985616124599175,1,0.29746835443038,0.772151898734177,0.495726495726496,0.529045342604665,0.295482295482295,0.504559270516717,1.04912381499569,0.596059113300493,"X2clsQ",0,"ok" "stmt32_122_129",1,59,7,66,158,373,1,1,2,1,110,47,117,122,2.08227848101266,0.278481012658228,59,0.139240506329114,0.139240506329114,2.84848484848485,6.28571428571429,3.14285714285714,5.36363636363636,6.28571428571429,3.14285714285714,16.271186440678,0.49597855227882,0.445040214477212,0.495440729483283,0.881081081081081,0.0589812332439678,1,1.01621621621622,0.986686054437225,0.48884445858136,0.5,0.495440729483283,0.985616124599175,1,0.29746835443038,0.772151898734177,0.495726495726496,0.529045342604665,0.295482295482295,0.504559270516717,1.04912381499569,0.596059113300493,"quantor",0,"ok" "stmt32_122_129",1,59,7,66,158,373,1,1,2,1,110,47,117,122,2.08227848101266,0.278481012658228,59,0.139240506329114,0.139240506329114,2.84848484848485,6.28571428571429,3.14285714285714,5.36363636363636,6.28571428571429,3.14285714285714,16.271186440678,0.49597855227882,0.445040214477212,0.495440729483283,0.881081081081081,0.0589812332439678,1,1.01621621621622,0.986686054437225,0.48884445858136,0.5,0.495440729483283,0.985616124599175,1,0.29746835443038,0.772151898734177,0.495726495726496,0.529045342604665,0.295482295482295,0.504559270516717,1.04912381499569,0.596059113300493,"QuBE",0.08,"ok" "stmt32_122_129",1,59,7,66,158,373,1,1,2,1,110,47,117,122,2.08227848101266,0.278481012658228,59,0.139240506329114,0.139240506329114,2.84848484848485,6.28571428571429,3.14285714285714,5.36363636363636,6.28571428571429,3.14285714285714,16.271186440678,0.49597855227882,0.445040214477212,0.495440729483283,0.881081081081081,0.0589812332439678,1,1.01621621621622,0.986686054437225,0.48884445858136,0.5,0.495440729483283,0.985616124599175,1,0.29746835443038,0.772151898734177,0.495726495726496,0.529045342604665,0.295482295482295,0.504559270516717,1.04912381499569,0.596059113300493,"sKizzo",0,"ok" "stmt32_122_129",1,59,7,66,158,373,1,1,2,1,110,47,117,122,2.08227848101266,0.278481012658228,59,0.139240506329114,0.139240506329114,2.84848484848485,6.28571428571429,3.14285714285714,5.36363636363636,6.28571428571429,3.14285714285714,16.271186440678,0.49597855227882,0.445040214477212,0.495440729483283,0.881081081081081,0.0589812332439678,1,1.01621621621622,0.986686054437225,0.48884445858136,0.5,0.495440729483283,0.985616124599175,1,0.29746835443038,0.772151898734177,0.495726495726496,0.529045342604665,0.295482295482295,0.504559270516717,1.04912381499569,0.596059113300493,"sSolve",0.75,"ok" "stmt32_153_277",1,3125,219,3344,10851,26650,1,1,2,1,7764,3086,8364,6522,2.12680858907013,0.329186250115197,3125,0.164593125057598,0.164593125057598,3.44467703349282,16.310502283105,8.15525114155251,6.35526315789474,16.310502283105,8.15525114155251,65.82848,0.567767354596623,0.365215759849906,0.578256348037092,0.881964179499042,0.0670168855534709,1,0.761284779591567,0.926653142213884,0.535843061913696,0.5,0.578256348037092,0.943772229198335,1,0.284397751359322,0.601050594415261,0.572635135135135,0.418882265122265,0.548406527858583,0.421743651962908,0.980151387163561,0.957689275788439,"X2clsQ",3600,"timeout" "stmt32_153_277",1,3125,219,3344,10851,26650,1,1,2,1,7764,3086,8364,6522,2.12680858907013,0.329186250115197,3125,0.164593125057598,0.164593125057598,3.44467703349282,16.310502283105,8.15525114155251,6.35526315789474,16.310502283105,8.15525114155251,65.82848,0.567767354596623,0.365215759849906,0.578256348037092,0.881964179499042,0.0670168855534709,1,0.761284779591567,0.926653142213884,0.535843061913696,0.5,0.578256348037092,0.943772229198335,1,0.284397751359322,0.601050594415261,0.572635135135135,0.418882265122265,0.548406527858583,0.421743651962908,0.980151387163561,0.957689275788439,"quantor",3600,"memout" "stmt32_153_277",1,3125,219,3344,10851,26650,1,1,2,1,7764,3086,8364,6522,2.12680858907013,0.329186250115197,3125,0.164593125057598,0.164593125057598,3.44467703349282,16.310502283105,8.15525114155251,6.35526315789474,16.310502283105,8.15525114155251,65.82848,0.567767354596623,0.365215759849906,0.578256348037092,0.881964179499042,0.0670168855534709,1,0.761284779591567,0.926653142213884,0.535843061913696,0.5,0.578256348037092,0.943772229198335,1,0.284397751359322,0.601050594415261,0.572635135135135,0.418882265122265,0.548406527858583,0.421743651962908,0.980151387163561,0.957689275788439,"QuBE",3600,"timeout" "stmt32_153_277",1,3125,219,3344,10851,26650,1,1,2,1,7764,3086,8364,6522,2.12680858907013,0.329186250115197,3125,0.164593125057598,0.164593125057598,3.44467703349282,16.310502283105,8.15525114155251,6.35526315789474,16.310502283105,8.15525114155251,65.82848,0.567767354596623,0.365215759849906,0.578256348037092,0.881964179499042,0.0670168855534709,1,0.761284779591567,0.926653142213884,0.535843061913696,0.5,0.578256348037092,0.943772229198335,1,0.284397751359322,0.601050594415261,0.572635135135135,0.418882265122265,0.548406527858583,0.421743651962908,0.980151387163561,0.957689275788439,"sKizzo",3.9,"ok" "stmt32_153_277",1,3125,219,3344,10851,26650,1,1,2,1,7764,3086,8364,6522,2.12680858907013,0.329186250115197,3125,0.164593125057598,0.164593125057598,3.44467703349282,16.310502283105,8.15525114155251,6.35526315789474,16.310502283105,8.15525114155251,65.82848,0.567767354596623,0.365215759849906,0.578256348037092,0.881964179499042,0.0670168855534709,1,0.761284779591567,0.926653142213884,0.535843061913696,0.5,0.578256348037092,0.943772229198335,1,0.284397751359322,0.601050594415261,0.572635135135135,0.418882265122265,0.548406527858583,0.421743651962908,0.980151387163561,0.957689275788439,"sSolve",3600,"timeout" "stmt32_228_277",1,3451,219,3670,11944,29351,1,1,2,1,8568,3375,9217,7133,2.15832217012726,0.299062290689886,3451,0.149531145344943,0.149531145344943,3.44822888283379,16.310502283105,8.15525114155251,6.40980926430518,16.310502283105,8.15525114155251,71.7108084613156,0.568839221832305,0.370311062655446,0.578377749330851,0.89302827024437,0.0608497155122483,1,0.757965979875419,0.93403740600988,0.540226452678821,0.5,0.578377749330851,0.949699725238145,1,0.282568653717348,0.597203616878768,0.573299473970615,0.419326874341373,0.542894461989801,0.421622250669149,0.982719375209993,0.946964870261903,"X2clsQ",3600,"timeout" "stmt32_228_277",1,3451,219,3670,11944,29351,1,1,2,1,8568,3375,9217,7133,2.15832217012726,0.299062290689886,3451,0.149531145344943,0.149531145344943,3.44822888283379,16.310502283105,8.15525114155251,6.40980926430518,16.310502283105,8.15525114155251,71.7108084613156,0.568839221832305,0.370311062655446,0.578377749330851,0.89302827024437,0.0608497155122483,1,0.757965979875419,0.93403740600988,0.540226452678821,0.5,0.578377749330851,0.949699725238145,1,0.282568653717348,0.597203616878768,0.573299473970615,0.419326874341373,0.542894461989801,0.421622250669149,0.982719375209993,0.946964870261903,"quantor",3600,"memout" "stmt32_228_277",1,3451,219,3670,11944,29351,1,1,2,1,8568,3375,9217,7133,2.15832217012726,0.299062290689886,3451,0.149531145344943,0.149531145344943,3.44822888283379,16.310502283105,8.15525114155251,6.40980926430518,16.310502283105,8.15525114155251,71.7108084613156,0.568839221832305,0.370311062655446,0.578377749330851,0.89302827024437,0.0608497155122483,1,0.757965979875419,0.93403740600988,0.540226452678821,0.5,0.578377749330851,0.949699725238145,1,0.282568653717348,0.597203616878768,0.573299473970615,0.419326874341373,0.542894461989801,0.421622250669149,0.982719375209993,0.946964870261903,"QuBE",3600,"timeout" "stmt32_228_277",1,3451,219,3670,11944,29351,1,1,2,1,8568,3375,9217,7133,2.15832217012726,0.299062290689886,3451,0.149531145344943,0.149531145344943,3.44822888283379,16.310502283105,8.15525114155251,6.40980926430518,16.310502283105,8.15525114155251,71.7108084613156,0.568839221832305,0.370311062655446,0.578377749330851,0.89302827024437,0.0608497155122483,1,0.757965979875419,0.93403740600988,0.540226452678821,0.5,0.578377749330851,0.949699725238145,1,0.282568653717348,0.597203616878768,0.573299473970615,0.419326874341373,0.542894461989801,0.421622250669149,0.982719375209993,0.946964870261903,"sKizzo",3.66,"ok" "stmt32_228_277",1,3451,219,3670,11944,29351,1,1,2,1,8568,3375,9217,7133,2.15832217012726,0.299062290689886,3451,0.149531145344943,0.149531145344943,3.44822888283379,16.310502283105,8.15525114155251,6.40980926430518,16.310502283105,8.15525114155251,71.7108084613156,0.568839221832305,0.370311062655446,0.578377749330851,0.89302827024437,0.0608497155122483,1,0.757965979875419,0.93403740600988,0.540226452678821,0.5,0.578377749330851,0.949699725238145,1,0.282568653717348,0.597203616878768,0.573299473970615,0.419326874341373,0.542894461989801,0.421622250669149,0.982719375209993,0.946964870261903,"sSolve",3600,"timeout" "stmt37_941_942",1,1789,213,2002,6642,16777,1,1,2,1,5092,1549,5441,4662,2.1973803071364,0.328515507377296,1789,0.164257753688648,0.164257753688648,3.77822177822178,10.2441314553991,5.12206572769953,7.01148851148851,10.2441314553991,5.12206572769953,42.4572386808273,0.54914466233534,0.385825832985635,0.556491949297705,0.881580375556279,0.0650295046790249,1,0.821013784869207,0.973516972199656,0.541754357533786,0.5,0.556491949297705,0.986542153081985,1,0.233212887684432,0.70189701897019,0.55256279484891,0.461792769746864,0.32686394194997,0.443508050702295,1.03208397609878,0.591541712538475,"X2clsQ",3600,"timeout" "stmt37_941_942",1,1789,213,2002,6642,16777,1,1,2,1,5092,1549,5441,4662,2.1973803071364,0.328515507377296,1789,0.164257753688648,0.164257753688648,3.77822177822178,10.2441314553991,5.12206572769953,7.01148851148851,10.2441314553991,5.12206572769953,42.4572386808273,0.54914466233534,0.385825832985635,0.556491949297705,0.881580375556279,0.0650295046790249,1,0.821013784869207,0.973516972199656,0.541754357533786,0.5,0.556491949297705,0.986542153081985,1,0.233212887684432,0.70189701897019,0.55256279484891,0.461792769746864,0.32686394194997,0.443508050702295,1.03208397609878,0.591541712538475,"quantor",3600,"memout" "stmt37_941_942",1,1789,213,2002,6642,16777,1,1,2,1,5092,1549,5441,4662,2.1973803071364,0.328515507377296,1789,0.164257753688648,0.164257753688648,3.77822177822178,10.2441314553991,5.12206572769953,7.01148851148851,10.2441314553991,5.12206572769953,42.4572386808273,0.54914466233534,0.385825832985635,0.556491949297705,0.881580375556279,0.0650295046790249,1,0.821013784869207,0.973516972199656,0.541754357533786,0.5,0.556491949297705,0.986542153081985,1,0.233212887684432,0.70189701897019,0.55256279484891,0.461792769746864,0.32686394194997,0.443508050702295,1.03208397609878,0.591541712538475,"QuBE",3600,"timeout" "stmt37_941_942",1,1789,213,2002,6642,16777,1,1,2,1,5092,1549,5441,4662,2.1973803071364,0.328515507377296,1789,0.164257753688648,0.164257753688648,3.77822177822178,10.2441314553991,5.12206572769953,7.01148851148851,10.2441314553991,5.12206572769953,42.4572386808273,0.54914466233534,0.385825832985635,0.556491949297705,0.881580375556279,0.0650295046790249,1,0.821013784869207,0.973516972199656,0.541754357533786,0.5,0.556491949297705,0.986542153081985,1,0.233212887684432,0.70189701897019,0.55256279484891,0.461792769746864,0.32686394194997,0.443508050702295,1.03208397609878,0.591541712538475,"sKizzo",3600,"memout" "stmt37_941_942",1,1789,213,2002,6642,16777,1,1,2,1,5092,1549,5441,4662,2.1973803071364,0.328515507377296,1789,0.164257753688648,0.164257753688648,3.77822177822178,10.2441314553991,5.12206572769953,7.01148851148851,10.2441314553991,5.12206572769953,42.4572386808273,0.54914466233534,0.385825832985635,0.556491949297705,0.881580375556279,0.0650295046790249,1,0.821013784869207,0.973516972199656,0.541754357533786,0.5,0.556491949297705,0.986542153081985,1,0.233212887684432,0.70189701897019,0.55256279484891,0.461792769746864,0.32686394194997,0.443508050702295,1.03208397609878,0.591541712538475,"sSolve",3600,"timeout" "stmt38_943_942",1,1766,213,1979,6579,16622,1,1,2,1,5046,1532,5392,4618,2.19486244110047,0.331661346709226,1766,0.165830673354613,0.165830673354613,3.78524507326933,10.2441314553991,5.12206572769953,7.01920161697827,10.2441314553991,5.12206572769953,42.7112117780294,0.549332210323667,0.385031885453014,0.556786703601108,0.880516920381119,0.0656359042233185,1,0.820392070967035,0.973506846593491,0.542035668047899,0.5,0.556786703601108,0.986717432295716,1,0.232862137102903,0.701930384556924,0.552797630545361,0.461780563375496,0.326333400138992,0.443213296398892,1.03259865089408,0.590330678185159,"X2clsQ",3600,"timeout" "stmt38_943_942",1,1766,213,1979,6579,16622,1,1,2,1,5046,1532,5392,4618,2.19486244110047,0.331661346709226,1766,0.165830673354613,0.165830673354613,3.78524507326933,10.2441314553991,5.12206572769953,7.01920161697827,10.2441314553991,5.12206572769953,42.7112117780294,0.549332210323667,0.385031885453014,0.556786703601108,0.880516920381119,0.0656359042233185,1,0.820392070967035,0.973506846593491,0.542035668047899,0.5,0.556786703601108,0.986717432295716,1,0.232862137102903,0.701930384556924,0.552797630545361,0.461780563375496,0.326333400138992,0.443213296398892,1.03259865089408,0.590330678185159,"quantor",3600,"memout" "stmt38_943_942",1,1766,213,1979,6579,16622,1,1,2,1,5046,1532,5392,4618,2.19486244110047,0.331661346709226,1766,0.165830673354613,0.165830673354613,3.78524507326933,10.2441314553991,5.12206572769953,7.01920161697827,10.2441314553991,5.12206572769953,42.7112117780294,0.549332210323667,0.385031885453014,0.556786703601108,0.880516920381119,0.0656359042233185,1,0.820392070967035,0.973506846593491,0.542035668047899,0.5,0.556786703601108,0.986717432295716,1,0.232862137102903,0.701930384556924,0.552797630545361,0.461780563375496,0.326333400138992,0.443213296398892,1.03259865089408,0.590330678185159,"QuBE",3600,"timeout" "stmt38_943_942",1,1766,213,1979,6579,16622,1,1,2,1,5046,1532,5392,4618,2.19486244110047,0.331661346709226,1766,0.165830673354613,0.165830673354613,3.78524507326933,10.2441314553991,5.12206572769953,7.01920161697827,10.2441314553991,5.12206572769953,42.7112117780294,0.549332210323667,0.385031885453014,0.556786703601108,0.880516920381119,0.0656359042233185,1,0.820392070967035,0.973506846593491,0.542035668047899,0.5,0.556786703601108,0.986717432295716,1,0.232862137102903,0.701930384556924,0.552797630545361,0.461780563375496,0.326333400138992,0.443213296398892,1.03259865089408,0.590330678185159,"sKizzo",3600,"memout" "stmt38_943_942",1,1766,213,1979,6579,16622,1,1,2,1,5046,1532,5392,4618,2.19486244110047,0.331661346709226,1766,0.165830673354613,0.165830673354613,3.78524507326933,10.2441314553991,5.12206572769953,7.01920161697827,10.2441314553991,5.12206572769953,42.7112117780294,0.549332210323667,0.385031885453014,0.556786703601108,0.880516920381119,0.0656359042233185,1,0.820392070967035,0.973506846593491,0.542035668047899,0.5,0.556786703601108,0.986717432295716,1,0.232862137102903,0.701930384556924,0.552797630545361,0.461780563375496,0.326333400138992,0.443213296398892,1.03259865089408,0.590330678185159,"sSolve",3600,"timeout" "stmt39_34_107",1,1119,118,1237,3944,9803,1,1,2,1,2802,1141,3004,2278,2.05451318458418,0.431034482758621,1119,0.21551724137931,0.21551724137931,3.40016168148747,14.4067796610169,7.20338983050847,6.11317704122878,14.4067796610169,7.20338983050847,45.9034852546917,0.570947669080894,0.342344180352953,0.585832407750216,0.848132928354476,0.0867081505661532,1,0.751474003930677,0.913748021000301,0.535303203219601,0.5,0.585832407750216,0.937569644659952,1,0.289300202839757,0.577586206896552,0.577683458058751,0.414374460929767,0.497631700007762,0.414167592249784,0.981194009178577,0.861426258733467,"X2clsQ",3600,"timeout" "stmt39_34_107",1,1119,118,1237,3944,9803,1,1,2,1,2802,1141,3004,2278,2.05451318458418,0.431034482758621,1119,0.21551724137931,0.21551724137931,3.40016168148747,14.4067796610169,7.20338983050847,6.11317704122878,14.4067796610169,7.20338983050847,45.9034852546917,0.570947669080894,0.342344180352953,0.585832407750216,0.848132928354476,0.0867081505661532,1,0.751474003930677,0.913748021000301,0.535303203219601,0.5,0.585832407750216,0.937569644659952,1,0.289300202839757,0.577586206896552,0.577683458058751,0.414374460929767,0.497631700007762,0.414167592249784,0.981194009178577,0.861426258733467,"quantor",3600,"memout" "stmt39_34_107",1,1119,118,1237,3944,9803,1,1,2,1,2802,1141,3004,2278,2.05451318458418,0.431034482758621,1119,0.21551724137931,0.21551724137931,3.40016168148747,14.4067796610169,7.20338983050847,6.11317704122878,14.4067796610169,7.20338983050847,45.9034852546917,0.570947669080894,0.342344180352953,0.585832407750216,0.848132928354476,0.0867081505661532,1,0.751474003930677,0.913748021000301,0.535303203219601,0.5,0.585832407750216,0.937569644659952,1,0.289300202839757,0.577586206896552,0.577683458058751,0.414374460929767,0.497631700007762,0.414167592249784,0.981194009178577,0.861426258733467,"QuBE",3600,"timeout" "stmt39_34_107",1,1119,118,1237,3944,9803,1,1,2,1,2802,1141,3004,2278,2.05451318458418,0.431034482758621,1119,0.21551724137931,0.21551724137931,3.40016168148747,14.4067796610169,7.20338983050847,6.11317704122878,14.4067796610169,7.20338983050847,45.9034852546917,0.570947669080894,0.342344180352953,0.585832407750216,0.848132928354476,0.0867081505661532,1,0.751474003930677,0.913748021000301,0.535303203219601,0.5,0.585832407750216,0.937569644659952,1,0.289300202839757,0.577586206896552,0.577683458058751,0.414374460929767,0.497631700007762,0.414167592249784,0.981194009178577,0.861426258733467,"sKizzo",3600,"memout" "stmt39_34_107",1,1119,118,1237,3944,9803,1,1,2,1,2802,1141,3004,2278,2.05451318458418,0.431034482758621,1119,0.21551724137931,0.21551724137931,3.40016168148747,14.4067796610169,7.20338983050847,6.11317704122878,14.4067796610169,7.20338983050847,45.9034852546917,0.570947669080894,0.342344180352953,0.585832407750216,0.848132928354476,0.0867081505661532,1,0.751474003930677,0.913748021000301,0.535303203219601,0.5,0.585832407750216,0.937569644659952,1,0.289300202839757,0.577586206896552,0.577683458058751,0.414374460929767,0.497631700007762,0.414167592249784,0.981194009178577,0.861426258733467,"sSolve",3600,"timeout" "stmt41_235_286",1,3529,221,3750,12266,30165,1,1,2,1,8814,3451,9468,7288,2.15987281917495,0.299364095874776,3529,0.149682047937388,0.149682047937388,3.46106666666667,16.6153846153846,8.30769230769231,6.43253333333333,16.6153846153846,8.30769230769231,73.5035420799093,0.569733134427316,0.369401624399138,0.579398331634772,0.893168858373094,0.0608652411735455,1,0.75520772721983,0.933270244034687,0.540735222358073,0.5,0.579398331634771,0.949102640662823,1,0.281346812326757,0.594162726235121,0.574252532740301,0.417975181929296,0.549857851562818,0.420601668365229,0.981744376824064,0.957519244954701,"X2clsQ",3600,"timeout" "stmt41_235_286",1,3529,221,3750,12266,30165,1,1,2,1,8814,3451,9468,7288,2.15987281917495,0.299364095874776,3529,0.149682047937388,0.149682047937388,3.46106666666667,16.6153846153846,8.30769230769231,6.43253333333333,16.6153846153846,8.30769230769231,73.5035420799093,0.569733134427316,0.369401624399138,0.579398331634772,0.893168858373094,0.0608652411735455,1,0.75520772721983,0.933270244034687,0.540735222358073,0.5,0.579398331634771,0.949102640662823,1,0.281346812326757,0.594162726235121,0.574252532740301,0.417975181929296,0.549857851562818,0.420601668365229,0.981744376824064,0.957519244954701,"quantor",3600,"memout" "stmt41_235_286",1,3529,221,3750,12266,30165,1,1,2,1,8814,3451,9468,7288,2.15987281917495,0.299364095874776,3529,0.149682047937388,0.149682047937388,3.46106666666667,16.6153846153846,8.30769230769231,6.43253333333333,16.6153846153846,8.30769230769231,73.5035420799093,0.569733134427316,0.369401624399138,0.579398331634772,0.893168858373094,0.0608652411735455,1,0.75520772721983,0.933270244034687,0.540735222358073,0.5,0.579398331634771,0.949102640662823,1,0.281346812326757,0.594162726235121,0.574252532740301,0.417975181929296,0.549857851562818,0.420601668365229,0.981744376824064,0.957519244954701,"QuBE",3600,"timeout" "stmt41_235_286",1,3529,221,3750,12266,30165,1,1,2,1,8814,3451,9468,7288,2.15987281917495,0.299364095874776,3529,0.149682047937388,0.149682047937388,3.46106666666667,16.6153846153846,8.30769230769231,6.43253333333333,16.6153846153846,8.30769230769231,73.5035420799093,0.569733134427316,0.369401624399138,0.579398331634772,0.893168858373094,0.0608652411735455,1,0.75520772721983,0.933270244034687,0.540735222358073,0.5,0.579398331634771,0.949102640662823,1,0.281346812326757,0.594162726235121,0.574252532740301,0.417975181929296,0.549857851562818,0.420601668365229,0.981744376824064,0.957519244954701,"sKizzo",4.59,"ok" "stmt41_235_286",1,3529,221,3750,12266,30165,1,1,2,1,8814,3451,9468,7288,2.15987281917495,0.299364095874776,3529,0.149682047937388,0.149682047937388,3.46106666666667,16.6153846153846,8.30769230769231,6.43253333333333,16.6153846153846,8.30769230769231,73.5035420799093,0.569733134427316,0.369401624399138,0.579398331634772,0.893168858373094,0.0608652411735455,1,0.75520772721983,0.933270244034687,0.540735222358073,0.5,0.579398331634771,0.949102640662823,1,0.281346812326757,0.594162726235121,0.574252532740301,0.417975181929296,0.549857851562818,0.420601668365229,0.981744376824064,0.957519244954701,"sSolve",3600,"timeout" "stmt41_262_275",1,941,180,1121,3624,9069,1,1,2,1,2737,886,2947,2544,1.99144591611479,0.511037527593819,941,0.255518763796909,0.255518763796909,3.66993755575379,10.2888888888889,5.14444444444444,6.51382694023194,10.2888888888889,5.14444444444444,36.0998937300744,0.546366743852685,0.351527180505017,0.558265207149785,0.813118062563068,0.102106075642298,1,0.830272452068618,0.948010816588701,0.529241454903129,0.5,0.558265207149785,0.968656055401912,1,0.244481236203091,0.701986754966887,0.551639444922019,0.466390684161422,0.354103046925103,0.441734792850215,1.04021345963475,0.641910309686356,"X2clsQ",3600,"timeout" "stmt41_262_275",1,941,180,1121,3624,9069,1,1,2,1,2737,886,2947,2544,1.99144591611479,0.511037527593819,941,0.255518763796909,0.255518763796909,3.66993755575379,10.2888888888889,5.14444444444444,6.51382694023194,10.2888888888889,5.14444444444444,36.0998937300744,0.546366743852685,0.351527180505017,0.558265207149785,0.813118062563068,0.102106075642298,1,0.830272452068618,0.948010816588701,0.529241454903129,0.5,0.558265207149785,0.968656055401912,1,0.244481236203091,0.701986754966887,0.551639444922019,0.466390684161422,0.354103046925103,0.441734792850215,1.04021345963475,0.641910309686356,"quantor",3600,"memout" "stmt41_262_275",1,941,180,1121,3624,9069,1,1,2,1,2737,886,2947,2544,1.99144591611479,0.511037527593819,941,0.255518763796909,0.255518763796909,3.66993755575379,10.2888888888889,5.14444444444444,6.51382694023194,10.2888888888889,5.14444444444444,36.0998937300744,0.546366743852685,0.351527180505017,0.558265207149785,0.813118062563068,0.102106075642298,1,0.830272452068618,0.948010816588701,0.529241454903129,0.5,0.558265207149785,0.968656055401912,1,0.244481236203091,0.701986754966887,0.551639444922019,0.466390684161422,0.354103046925103,0.441734792850215,1.04021345963475,0.641910309686356,"QuBE",3600,"timeout" "stmt41_262_275",1,941,180,1121,3624,9069,1,1,2,1,2737,886,2947,2544,1.99144591611479,0.511037527593819,941,0.255518763796909,0.255518763796909,3.66993755575379,10.2888888888889,5.14444444444444,6.51382694023194,10.2888888888889,5.14444444444444,36.0998937300744,0.546366743852685,0.351527180505017,0.558265207149785,0.813118062563068,0.102106075642298,1,0.830272452068618,0.948010816588701,0.529241454903129,0.5,0.558265207149785,0.968656055401912,1,0.244481236203091,0.701986754966887,0.551639444922019,0.466390684161422,0.354103046925103,0.441734792850215,1.04021345963475,0.641910309686356,"sKizzo",3600,"memout" "stmt41_262_275",1,941,180,1121,3624,9069,1,1,2,1,2737,886,2947,2544,1.99144591611479,0.511037527593819,941,0.255518763796909,0.255518763796909,3.66993755575379,10.2888888888889,5.14444444444444,6.51382694023194,10.2888888888889,5.14444444444444,36.0998937300744,0.546366743852685,0.351527180505017,0.558265207149785,0.813118062563068,0.102106075642298,1,0.830272452068618,0.948010816588701,0.529241454903129,0.5,0.558265207149785,0.968656055401912,1,0.244481236203091,0.701986754966887,0.551639444922019,0.466390684161422,0.354103046925103,0.441734792850215,1.04021345963475,0.641910309686356,"sSolve",3600,"timeout" "stmt41_336_385",1,5308,265,5573,18872,46565,1,1,2,1,13683,5188,14616,11061,2.20098558711318,0.266426451886393,5308,0.133213225943196,0.133213225943196,3.57078772653867,18.9735849056604,9.48679245283019,6.69047191817692,18.9735849056604,9.48679245283019,99.6537302185381,0.572640395146569,0.373370557285515,0.581433420805547,0.905719107444215,0.0539890475679158,1,0.746296643540221,0.936555779165036,0.544544830455131,0.5,0.581433420805547,0.950936809680974,1,0.27490462060195,0.58610640101738,0.576785998047717,0.414383097470584,0.600098684929089,0.418566579194453,0.97913371381627,1.04041826077658,"X2clsQ",3600,"timeout" "stmt41_336_385",1,5308,265,5573,18872,46565,1,1,2,1,13683,5188,14616,11061,2.20098558711318,0.266426451886393,5308,0.133213225943196,0.133213225943196,3.57078772653867,18.9735849056604,9.48679245283019,6.69047191817692,18.9735849056604,9.48679245283019,99.6537302185381,0.572640395146569,0.373370557285515,0.581433420805547,0.905719107444215,0.0539890475679158,1,0.746296643540221,0.936555779165036,0.544544830455131,0.5,0.581433420805547,0.950936809680974,1,0.27490462060195,0.58610640101738,0.576785998047717,0.414383097470584,0.600098684929089,0.418566579194453,0.97913371381627,1.04041826077658,"quantor",3600,"memout" "stmt41_336_385",1,5308,265,5573,18872,46565,1,1,2,1,13683,5188,14616,11061,2.20098558711318,0.266426451886393,5308,0.133213225943196,0.133213225943196,3.57078772653867,18.9735849056604,9.48679245283019,6.69047191817692,18.9735849056604,9.48679245283019,99.6537302185381,0.572640395146569,0.373370557285515,0.581433420805547,0.905719107444215,0.0539890475679158,1,0.746296643540221,0.936555779165036,0.544544830455131,0.5,0.581433420805547,0.950936809680974,1,0.27490462060195,0.58610640101738,0.576785998047717,0.414383097470584,0.600098684929089,0.418566579194453,0.97913371381627,1.04041826077658,"QuBE",3600,"timeout" "stmt41_336_385",1,5308,265,5573,18872,46565,1,1,2,1,13683,5188,14616,11061,2.20098558711318,0.266426451886393,5308,0.133213225943196,0.133213225943196,3.57078772653867,18.9735849056604,9.48679245283019,6.69047191817692,18.9735849056604,9.48679245283019,99.6537302185381,0.572640395146569,0.373370557285515,0.581433420805547,0.905719107444215,0.0539890475679158,1,0.746296643540221,0.936555779165036,0.544544830455131,0.5,0.581433420805547,0.950936809680974,1,0.27490462060195,0.58610640101738,0.576785998047717,0.414383097470584,0.600098684929089,0.418566579194453,0.97913371381627,1.04041826077658,"sKizzo",3600,"memout" "stmt41_336_385",1,5308,265,5573,18872,46565,1,1,2,1,13683,5188,14616,11061,2.20098558711318,0.266426451886393,5308,0.133213225943196,0.133213225943196,3.57078772653867,18.9735849056604,9.48679245283019,6.69047191817692,18.9735849056604,9.48679245283019,99.6537302185381,0.572640395146569,0.373370557285515,0.581433420805547,0.905719107444215,0.0539890475679158,1,0.746296643540221,0.936555779165036,0.544544830455131,0.5,0.581433420805547,0.950936809680974,1,0.27490462060195,0.58610640101738,0.576785998047717,0.414383097470584,0.600098684929089,0.418566579194453,0.97913371381627,1.04041826077658,"sSolve",3600,"timeout" "stmt41_36_37",1,871,95,966,3065,7662,1,1,2,1,2171,893,2326,1742,2.04437194127243,0.455464926590538,871,0.227732463295269,0.227732463295269,3.39337474120083,14.6947368421053,7.34736842105263,6.06418219461698,14.6947368421053,7.34736842105263,42.6429391504018,0.572174367006004,0.33672670321065,0.58825406958187,0.840784671532847,0.0910989297833464,1,0.74771897810219,0.906999848058065,0.533546351730295,0.5,0.58825406958187,0.932489084616223,1,0.291353996737357,0.568352365415987,0.579408385985066,0.410884648027481,0.509589165331479,0.41174593041813,0.976920685852979,0.879499119546077,"X2clsQ",3600,"timeout" "stmt41_36_37",1,871,95,966,3065,7662,1,1,2,1,2171,893,2326,1742,2.04437194127243,0.455464926590538,871,0.227732463295269,0.227732463295269,3.39337474120083,14.6947368421053,7.34736842105263,6.06418219461698,14.6947368421053,7.34736842105263,42.6429391504018,0.572174367006004,0.33672670321065,0.58825406958187,0.840784671532847,0.0910989297833464,1,0.74771897810219,0.906999848058065,0.533546351730295,0.5,0.58825406958187,0.932489084616223,1,0.291353996737357,0.568352365415987,0.579408385985066,0.410884648027481,0.509589165331479,0.41174593041813,0.976920685852979,0.879499119546077,"quantor",8.59,"ok" "stmt41_36_37",1,871,95,966,3065,7662,1,1,2,1,2171,893,2326,1742,2.04437194127243,0.455464926590538,871,0.227732463295269,0.227732463295269,3.39337474120083,14.6947368421053,7.34736842105263,6.06418219461698,14.6947368421053,7.34736842105263,42.6429391504018,0.572174367006004,0.33672670321065,0.58825406958187,0.840784671532847,0.0910989297833464,1,0.74771897810219,0.906999848058065,0.533546351730295,0.5,0.58825406958187,0.932489084616223,1,0.291353996737357,0.568352365415987,0.579408385985066,0.410884648027481,0.509589165331479,0.41174593041813,0.976920685852979,0.879499119546077,"QuBE",3600,"timeout" "stmt41_36_37",1,871,95,966,3065,7662,1,1,2,1,2171,893,2326,1742,2.04437194127243,0.455464926590538,871,0.227732463295269,0.227732463295269,3.39337474120083,14.6947368421053,7.34736842105263,6.06418219461698,14.6947368421053,7.34736842105263,42.6429391504018,0.572174367006004,0.33672670321065,0.58825406958187,0.840784671532847,0.0910989297833464,1,0.74771897810219,0.906999848058065,0.533546351730295,0.5,0.58825406958187,0.932489084616223,1,0.291353996737357,0.568352365415987,0.579408385985066,0.410884648027481,0.509589165331479,0.41174593041813,0.976920685852979,0.879499119546077,"sKizzo",3600,"memout" "stmt41_36_37",1,871,95,966,3065,7662,1,1,2,1,2171,893,2326,1742,2.04437194127243,0.455464926590538,871,0.227732463295269,0.227732463295269,3.39337474120083,14.6947368421053,7.34736842105263,6.06418219461698,14.6947368421053,7.34736842105263,42.6429391504018,0.572174367006004,0.33672670321065,0.58825406958187,0.840784671532847,0.0910989297833464,1,0.74771897810219,0.906999848058065,0.533546351730295,0.5,0.58825406958187,0.932489084616223,1,0.291353996737357,0.568352365415987,0.579408385985066,0.410884648027481,0.509589165331479,0.41174593041813,0.976920685852979,0.879499119546077,"sSolve",3600,"timeout" "stmt44_107_108",1,39,5,44,100,235,1,1,2,1,68,31,71,76,2.05,0.3,39,0.15,0.15,2.77272727272727,6,3,5.20454545454545,6,3,14.4615384615385,0.480851063829787,0.45531914893617,0.478048780487805,0.867256637168142,0.0638297872340425,1,1.07964601769911,0.98417894162575,0.470485542825968,0.5,0.478048780487805,0.978443385523031,1,0.31,0.76,0.479545454545455,0.548717948717949,0.3,0.521951219512195,1.05430522897772,0.625592417061611,"X2clsQ",0,"ok" "stmt44_107_108",1,39,5,44,100,235,1,1,2,1,68,31,71,76,2.05,0.3,39,0.15,0.15,2.77272727272727,6,3,5.20454545454545,6,3,14.4615384615385,0.480851063829787,0.45531914893617,0.478048780487805,0.867256637168142,0.0638297872340425,1,1.07964601769911,0.98417894162575,0.470485542825968,0.5,0.478048780487805,0.978443385523031,1,0.31,0.76,0.479545454545455,0.548717948717949,0.3,0.521951219512195,1.05430522897772,0.625592417061611,"quantor",0,"ok" "stmt44_107_108",1,39,5,44,100,235,1,1,2,1,68,31,71,76,2.05,0.3,39,0.15,0.15,2.77272727272727,6,3,5.20454545454545,6,3,14.4615384615385,0.480851063829787,0.45531914893617,0.478048780487805,0.867256637168142,0.0638297872340425,1,1.07964601769911,0.98417894162575,0.470485542825968,0.5,0.478048780487805,0.978443385523031,1,0.31,0.76,0.479545454545455,0.548717948717949,0.3,0.521951219512195,1.05430522897772,0.625592417061611,"QuBE",0.03,"ok" "stmt44_107_108",1,39,5,44,100,235,1,1,2,1,68,31,71,76,2.05,0.3,39,0.15,0.15,2.77272727272727,6,3,5.20454545454545,6,3,14.4615384615385,0.480851063829787,0.45531914893617,0.478048780487805,0.867256637168142,0.0638297872340425,1,1.07964601769911,0.98417894162575,0.470485542825968,0.5,0.478048780487805,0.978443385523031,1,0.31,0.76,0.479545454545455,0.548717948717949,0.3,0.521951219512195,1.05430522897772,0.625592417061611,"sKizzo",0,"ok" "stmt44_107_108",1,39,5,44,100,235,1,1,2,1,68,31,71,76,2.05,0.3,39,0.15,0.15,2.77272727272727,6,3,5.20454545454545,6,3,14.4615384615385,0.480851063829787,0.45531914893617,0.478048780487805,0.867256637168142,0.0638297872340425,1,1.07964601769911,0.98417894162575,0.470485542825968,0.5,0.478048780487805,0.978443385523031,1,0.31,0.76,0.479545454545455,0.548717948717949,0.3,0.521951219512195,1.05430522897772,0.625592417061611,"sSolve",1.56,"ok" "stmt44_107_113",1,48,7,55,129,304,1,1,2,1,89,39,94,99,2.01550387596899,0.341085271317829,48,0.170542635658915,0.170542635658915,2.81818181818182,6.28571428571429,3.14285714285714,5.23636363636364,6.28571428571429,3.14285714285714,15.0833333333333,0.490131578947368,0.4375,0.488461538461538,0.852348993288591,0.0723684210526316,1,1.04026845637584,0.979989035087719,0.478686951754386,0.5,0.488461538461538,0.976649888143177,1,0.302325581395349,0.767441860465116,0.48936170212766,0.540410756501182,0.306484295845998,0.511538461538461,1.05830439814815,0.626293995859213,"X2clsQ",0,"ok" "stmt44_107_113",1,48,7,55,129,304,1,1,2,1,89,39,94,99,2.01550387596899,0.341085271317829,48,0.170542635658915,0.170542635658915,2.81818181818182,6.28571428571429,3.14285714285714,5.23636363636364,6.28571428571429,3.14285714285714,15.0833333333333,0.490131578947368,0.4375,0.488461538461538,0.852348993288591,0.0723684210526316,1,1.04026845637584,0.979989035087719,0.478686951754386,0.5,0.488461538461538,0.976649888143177,1,0.302325581395349,0.767441860465116,0.48936170212766,0.540410756501182,0.306484295845998,0.511538461538461,1.05830439814815,0.626293995859213,"quantor",0,"ok" "stmt44_107_113",1,48,7,55,129,304,1,1,2,1,89,39,94,99,2.01550387596899,0.341085271317829,48,0.170542635658915,0.170542635658915,2.81818181818182,6.28571428571429,3.14285714285714,5.23636363636364,6.28571428571429,3.14285714285714,15.0833333333333,0.490131578947368,0.4375,0.488461538461538,0.852348993288591,0.0723684210526316,1,1.04026845637584,0.979989035087719,0.478686951754386,0.5,0.488461538461538,0.976649888143177,1,0.302325581395349,0.767441860465116,0.48936170212766,0.540410756501182,0.306484295845998,0.511538461538461,1.05830439814815,0.626293995859213,"QuBE",0.06,"ok" "stmt44_107_113",1,48,7,55,129,304,1,1,2,1,89,39,94,99,2.01550387596899,0.341085271317829,48,0.170542635658915,0.170542635658915,2.81818181818182,6.28571428571429,3.14285714285714,5.23636363636364,6.28571428571429,3.14285714285714,15.0833333333333,0.490131578947368,0.4375,0.488461538461538,0.852348993288591,0.0723684210526316,1,1.04026845637584,0.979989035087719,0.478686951754386,0.5,0.488461538461538,0.976649888143177,1,0.302325581395349,0.767441860465116,0.48936170212766,0.540410756501182,0.306484295845998,0.511538461538461,1.05830439814815,0.626293995859213,"sKizzo",0,"ok" "stmt44_107_113",1,48,7,55,129,304,1,1,2,1,89,39,94,99,2.01550387596899,0.341085271317829,48,0.170542635658915,0.170542635658915,2.81818181818182,6.28571428571429,3.14285714285714,5.23636363636364,6.28571428571429,3.14285714285714,15.0833333333333,0.490131578947368,0.4375,0.488461538461538,0.852348993288591,0.0723684210526316,1,1.04026845637584,0.979989035087719,0.478686951754386,0.5,0.488461538461538,0.976649888143177,1,0.302325581395349,0.767441860465116,0.48936170212766,0.540410756501182,0.306484295845998,0.511538461538461,1.05830439814815,0.626293995859213,"sSolve",0.75,"ok" "stmt44_252_301",1,449,38,487,1499,3674,1,1,2,1,1101,397,1198,1057,2.15210140093396,0.298865910607071,449,0.149432955303536,0.149432955303536,3.47638603696099,11.7894736842105,5.89473684210526,6.49281314168378,11.7894736842105,5.89473684210526,31.4877505567929,0.539194338595536,0.399836690255852,0.544637321760694,0.886925795053004,0.0609689711486119,1,0.854618879353862,0.952374659468267,0.51869878384555,0.5,0.544637321760694,0.961988557217846,1,0.264843228819213,0.705136757838559,0.541739130434783,0.461833381750105,0.416048817696415,0.455362678239306,1.00779580457803,0.767987384190814,"X2clsQ",3600,"timeout" "stmt44_252_301",1,449,38,487,1499,3674,1,1,2,1,1101,397,1198,1057,2.15210140093396,0.298865910607071,449,0.149432955303536,0.149432955303536,3.47638603696099,11.7894736842105,5.89473684210526,6.49281314168378,11.7894736842105,5.89473684210526,31.4877505567929,0.539194338595536,0.399836690255852,0.544637321760694,0.886925795053004,0.0609689711486119,1,0.854618879353862,0.952374659468267,0.51869878384555,0.5,0.544637321760694,0.961988557217846,1,0.264843228819213,0.705136757838559,0.541739130434783,0.461833381750105,0.416048817696415,0.455362678239306,1.00779580457803,0.767987384190814,"quantor",3600,"memout" "stmt44_252_301",1,449,38,487,1499,3674,1,1,2,1,1101,397,1198,1057,2.15210140093396,0.298865910607071,449,0.149432955303536,0.149432955303536,3.47638603696099,11.7894736842105,5.89473684210526,6.49281314168378,11.7894736842105,5.89473684210526,31.4877505567929,0.539194338595536,0.399836690255852,0.544637321760694,0.886925795053004,0.0609689711486119,1,0.854618879353862,0.952374659468267,0.51869878384555,0.5,0.544637321760694,0.961988557217846,1,0.264843228819213,0.705136757838559,0.541739130434783,0.461833381750105,0.416048817696415,0.455362678239306,1.00779580457803,0.767987384190814,"QuBE",3600,"timeout" "stmt44_252_301",1,449,38,487,1499,3674,1,1,2,1,1101,397,1198,1057,2.15210140093396,0.298865910607071,449,0.149432955303536,0.149432955303536,3.47638603696099,11.7894736842105,5.89473684210526,6.49281314168378,11.7894736842105,5.89473684210526,31.4877505567929,0.539194338595536,0.399836690255852,0.544637321760694,0.886925795053004,0.0609689711486119,1,0.854618879353862,0.952374659468267,0.51869878384555,0.5,0.544637321760694,0.961988557217846,1,0.264843228819213,0.705136757838559,0.541739130434783,0.461833381750105,0.416048817696415,0.455362678239306,1.00779580457803,0.767987384190814,"sKizzo",229.21,"ok" "stmt44_252_301",1,449,38,487,1499,3674,1,1,2,1,1101,397,1198,1057,2.15210140093396,0.298865910607071,449,0.149432955303536,0.149432955303536,3.47638603696099,11.7894736842105,5.89473684210526,6.49281314168378,11.7894736842105,5.89473684210526,31.4877505567929,0.539194338595536,0.399836690255852,0.544637321760694,0.886925795053004,0.0609689711486119,1,0.854618879353862,0.952374659468267,0.51869878384555,0.5,0.544637321760694,0.961988557217846,1,0.264843228819213,0.705136757838559,0.541739130434783,0.461833381750105,0.416048817696415,0.455362678239306,1.00779580457803,0.767987384190814,"sSolve",2.6,"ok" "stmt44_40_162",1,1421,146,1567,5022,12441,1,1,2,1,3578,1443,3837,2918,2.07467144563919,0.402628434886499,1421,0.20131421744325,0.20131421744325,3.40970006381621,13.8493150684932,6.92465753424658,6.17421825143586,13.8493150684932,6.92465753424658,51.3441238564391,0.570532915360502,0.348203520617314,0.58422113446588,0.857565511411665,0.0812635640221847,1,0.752747252747253,0.923518642051002,0.539539108759425,0.5,0.58422113446588,0.945675690627783,1,0.287335722819594,0.581043409000398,0.576771653543307,0.41794307731238,0.474669219429763,0.41577886553412,0.987512015231112,0.82297598454034,"X2clsQ",3600,"timeout" "stmt44_40_162",1,1421,146,1567,5022,12441,1,1,2,1,3578,1443,3837,2918,2.07467144563919,0.402628434886499,1421,0.20131421744325,0.20131421744325,3.40970006381621,13.8493150684932,6.92465753424658,6.17421825143586,13.8493150684932,6.92465753424658,51.3441238564391,0.570532915360502,0.348203520617314,0.58422113446588,0.857565511411665,0.0812635640221847,1,0.752747252747253,0.923518642051002,0.539539108759425,0.5,0.58422113446588,0.945675690627783,1,0.287335722819594,0.581043409000398,0.576771653543307,0.41794307731238,0.474669219429763,0.41577886553412,0.987512015231112,0.82297598454034,"quantor",3600,"memout" "stmt44_40_162",1,1421,146,1567,5022,12441,1,1,2,1,3578,1443,3837,2918,2.07467144563919,0.402628434886499,1421,0.20131421744325,0.20131421744325,3.40970006381621,13.8493150684932,6.92465753424658,6.17421825143586,13.8493150684932,6.92465753424658,51.3441238564391,0.570532915360502,0.348203520617314,0.58422113446588,0.857565511411665,0.0812635640221847,1,0.752747252747253,0.923518642051002,0.539539108759425,0.5,0.58422113446588,0.945675690627783,1,0.287335722819594,0.581043409000398,0.576771653543307,0.41794307731238,0.474669219429763,0.41577886553412,0.987512015231112,0.82297598454034,"QuBE",3600,"timeout" "stmt44_40_162",1,1421,146,1567,5022,12441,1,1,2,1,3578,1443,3837,2918,2.07467144563919,0.402628434886499,1421,0.20131421744325,0.20131421744325,3.40970006381621,13.8493150684932,6.92465753424658,6.17421825143586,13.8493150684932,6.92465753424658,51.3441238564391,0.570532915360502,0.348203520617314,0.58422113446588,0.857565511411665,0.0812635640221847,1,0.752747252747253,0.923518642051002,0.539539108759425,0.5,0.58422113446588,0.945675690627783,1,0.287335722819594,0.581043409000398,0.576771653543307,0.41794307731238,0.474669219429763,0.41577886553412,0.987512015231112,0.82297598454034,"sKizzo",3600,"memout" "stmt44_40_162",1,1421,146,1567,5022,12441,1,1,2,1,3578,1443,3837,2918,2.07467144563919,0.402628434886499,1421,0.20131421744325,0.20131421744325,3.40970006381621,13.8493150684932,6.92465753424658,6.17421825143586,13.8493150684932,6.92465753424658,51.3441238564391,0.570532915360502,0.348203520617314,0.58422113446588,0.857565511411665,0.0812635640221847,1,0.752747252747253,0.923518642051002,0.539539108759425,0.5,0.58422113446588,0.945675690627783,1,0.287335722819594,0.581043409000398,0.576771653543307,0.41794307731238,0.474669219429763,0.41577886553412,0.987512015231112,0.82297598454034,"sSolve",3600,"timeout" "stmt44_40_41",1,921,97,1018,3240,8093,1,1,2,1,2299,940,2461,1837,2.06018518518519,0.437654320987654,921,0.218827160493827,0.218827160493827,3.39882121807466,14.6185567010309,7.30927835051546,6.10117878192534,14.6185567010309,7.30927835051546,45.2920738327904,0.572470035833436,0.339923390584456,0.587865168539326,0.846967407727175,0.087606573582108,1,0.746816317720699,0.911653654925981,0.535929429502554,0.5,0.587865168539326,0.936170272601807,1,0.290123456790123,0.566975308641975,0.57942849404117,0.411800671228574,0.503849225407959,0.412134831460674,0.979145437562966,0.869562388784006,"X2clsQ",3600,"timeout" "stmt44_40_41",1,921,97,1018,3240,8093,1,1,2,1,2299,940,2461,1837,2.06018518518519,0.437654320987654,921,0.218827160493827,0.218827160493827,3.39882121807466,14.6185567010309,7.30927835051546,6.10117878192534,14.6185567010309,7.30927835051546,45.2920738327904,0.572470035833436,0.339923390584456,0.587865168539326,0.846967407727175,0.087606573582108,1,0.746816317720699,0.911653654925981,0.535929429502554,0.5,0.587865168539326,0.936170272601807,1,0.290123456790123,0.566975308641975,0.57942849404117,0.411800671228574,0.503849225407959,0.412134831460674,0.979145437562966,0.869562388784006,"quantor",18.79,"ok" "stmt44_40_41",1,921,97,1018,3240,8093,1,1,2,1,2299,940,2461,1837,2.06018518518519,0.437654320987654,921,0.218827160493827,0.218827160493827,3.39882121807466,14.6185567010309,7.30927835051546,6.10117878192534,14.6185567010309,7.30927835051546,45.2920738327904,0.572470035833436,0.339923390584456,0.587865168539326,0.846967407727175,0.087606573582108,1,0.746816317720699,0.911653654925981,0.535929429502554,0.5,0.587865168539326,0.936170272601807,1,0.290123456790123,0.566975308641975,0.57942849404117,0.411800671228574,0.503849225407959,0.412134831460674,0.979145437562966,0.869562388784006,"QuBE",3600,"timeout" "stmt44_40_41",1,921,97,1018,3240,8093,1,1,2,1,2299,940,2461,1837,2.06018518518519,0.437654320987654,921,0.218827160493827,0.218827160493827,3.39882121807466,14.6185567010309,7.30927835051546,6.10117878192534,14.6185567010309,7.30927835051546,45.2920738327904,0.572470035833436,0.339923390584456,0.587865168539326,0.846967407727175,0.087606573582108,1,0.746816317720699,0.911653654925981,0.535929429502554,0.5,0.587865168539326,0.936170272601807,1,0.290123456790123,0.566975308641975,0.57942849404117,0.411800671228574,0.503849225407959,0.412134831460674,0.979145437562966,0.869562388784006,"sKizzo",3600,"memout" "stmt44_40_41",1,921,97,1018,3240,8093,1,1,2,1,2299,940,2461,1837,2.06018518518519,0.437654320987654,921,0.218827160493827,0.218827160493827,3.39882121807466,14.6185567010309,7.30927835051546,6.10117878192534,14.6185567010309,7.30927835051546,45.2920738327904,0.572470035833436,0.339923390584456,0.587865168539326,0.846967407727175,0.087606573582108,1,0.746816317720699,0.911653654925981,0.535929429502554,0.5,0.587865168539326,0.936170272601807,1,0.290123456790123,0.566975308641975,0.57942849404117,0.411800671228574,0.503849225407959,0.412134831460674,0.979145437562966,0.869562388784006,"sSolve",3600,"timeout" "stmt44_554_604",1,808,75,883,2789,6900,1,1,2,1,2087,701,2250,2013,2.16923628540696,0.304768734313374,808,0.152384367156687,0.152384367156687,3.58210645526614,11.3333333333333,5.66666666666667,6.68289920724802,11.3333333333333,5.66666666666667,34.4059405940594,0.541594202898551,0.396811594202899,0.547438016528926,0.886272411024886,0.0615942028985507,1,0.846400856301846,0.958198809011336,0.524554455445545,0.5,0.547438016528926,0.968537795711602,1,0.2513445679455,0.721764073144496,0.544324324324324,0.462107496463932,0.386383526383526,0.452561983471074,1.01411490920317,0.709840639334185,"X2clsQ",3600,"timeout" "stmt44_554_604",1,808,75,883,2789,6900,1,1,2,1,2087,701,2250,2013,2.16923628540696,0.304768734313374,808,0.152384367156687,0.152384367156687,3.58210645526614,11.3333333333333,5.66666666666667,6.68289920724802,11.3333333333333,5.66666666666667,34.4059405940594,0.541594202898551,0.396811594202899,0.547438016528926,0.886272411024886,0.0615942028985507,1,0.846400856301846,0.958198809011336,0.524554455445545,0.5,0.547438016528926,0.968537795711602,1,0.2513445679455,0.721764073144496,0.544324324324324,0.462107496463932,0.386383526383526,0.452561983471074,1.01411490920317,0.709840639334185,"quantor",3600,"memout" "stmt44_554_604",1,808,75,883,2789,6900,1,1,2,1,2087,701,2250,2013,2.16923628540696,0.304768734313374,808,0.152384367156687,0.152384367156687,3.58210645526614,11.3333333333333,5.66666666666667,6.68289920724802,11.3333333333333,5.66666666666667,34.4059405940594,0.541594202898551,0.396811594202899,0.547438016528926,0.886272411024886,0.0615942028985507,1,0.846400856301846,0.958198809011336,0.524554455445545,0.5,0.547438016528926,0.968537795711602,1,0.2513445679455,0.721764073144496,0.544324324324324,0.462107496463932,0.386383526383526,0.452561983471074,1.01411490920317,0.709840639334185,"QuBE",3600,"timeout" "stmt44_554_604",1,808,75,883,2789,6900,1,1,2,1,2087,701,2250,2013,2.16923628540696,0.304768734313374,808,0.152384367156687,0.152384367156687,3.58210645526614,11.3333333333333,5.66666666666667,6.68289920724802,11.3333333333333,5.66666666666667,34.4059405940594,0.541594202898551,0.396811594202899,0.547438016528926,0.886272411024886,0.0615942028985507,1,0.846400856301846,0.958198809011336,0.524554455445545,0.5,0.547438016528926,0.968537795711602,1,0.2513445679455,0.721764073144496,0.544324324324324,0.462107496463932,0.386383526383526,0.452561983471074,1.01411490920317,0.709840639334185,"sKizzo",3600,"memout" "stmt44_554_604",1,808,75,883,2789,6900,1,1,2,1,2087,701,2250,2013,2.16923628540696,0.304768734313374,808,0.152384367156687,0.152384367156687,3.58210645526614,11.3333333333333,5.66666666666667,6.68289920724802,11.3333333333333,5.66666666666667,34.4059405940594,0.541594202898551,0.396811594202899,0.547438016528926,0.886272411024886,0.0615942028985507,1,0.846400856301846,0.958198809011336,0.524554455445545,0.5,0.547438016528926,0.968537795711602,1,0.2513445679455,0.721764073144496,0.544324324324324,0.462107496463932,0.386383526383526,0.452561983471074,1.01411490920317,0.709840639334185,"sSolve",3600,"timeout" "stmt46_163_289",1,3262,224,3486,11403,28042,1,1,2,1,8184,3218,8793,6791,2.1310181531176,0.328159256336052,3262,0.164079628168026,0.164079628168026,3.46500286861733,16.7053571428571,8.35267857142857,6.39328743545611,16.7053571428571,8.35267857142857,68.2875536480687,0.569253262962699,0.3640253904857,0.579917695473251,0.882791455240243,0.0667213465516012,1,0.75668733947253,0.926063388057093,0.53704054586422,0.5,0.579917695473251,0.943412327703092,1,0.28220643690257,0.59554503200912,0.574204271904016,0.416834608565685,0.55629203125597,0.420082304526749,0.978954416545298,0.968805107303277,"X2clsQ",3600,"timeout" "stmt46_163_289",1,3262,224,3486,11403,28042,1,1,2,1,8184,3218,8793,6791,2.1310181531176,0.328159256336052,3262,0.164079628168026,0.164079628168026,3.46500286861733,16.7053571428571,8.35267857142857,6.39328743545611,16.7053571428571,8.35267857142857,68.2875536480687,0.569253262962699,0.3640253904857,0.579917695473251,0.882791455240243,0.0667213465516012,1,0.75668733947253,0.926063388057093,0.53704054586422,0.5,0.579917695473251,0.943412327703092,1,0.28220643690257,0.59554503200912,0.574204271904016,0.416834608565685,0.55629203125597,0.420082304526749,0.978954416545298,0.968805107303277,"quantor",3600,"memout" "stmt46_163_289",1,3262,224,3486,11403,28042,1,1,2,1,8184,3218,8793,6791,2.1310181531176,0.328159256336052,3262,0.164079628168026,0.164079628168026,3.46500286861733,16.7053571428571,8.35267857142857,6.39328743545611,16.7053571428571,8.35267857142857,68.2875536480687,0.569253262962699,0.3640253904857,0.579917695473251,0.882791455240243,0.0667213465516012,1,0.75668733947253,0.926063388057093,0.53704054586422,0.5,0.579917695473251,0.943412327703092,1,0.28220643690257,0.59554503200912,0.574204271904016,0.416834608565685,0.55629203125597,0.420082304526749,0.978954416545298,0.968805107303277,"QuBE",3600,"timeout" "stmt46_163_289",1,3262,224,3486,11403,28042,1,1,2,1,8184,3218,8793,6791,2.1310181531176,0.328159256336052,3262,0.164079628168026,0.164079628168026,3.46500286861733,16.7053571428571,8.35267857142857,6.39328743545611,16.7053571428571,8.35267857142857,68.2875536480687,0.569253262962699,0.3640253904857,0.579917695473251,0.882791455240243,0.0667213465516012,1,0.75668733947253,0.926063388057093,0.53704054586422,0.5,0.579917695473251,0.943412327703092,1,0.28220643690257,0.59554503200912,0.574204271904016,0.416834608565685,0.55629203125597,0.420082304526749,0.978954416545298,0.968805107303277,"sKizzo",4.8,"ok" "stmt46_163_289",1,3262,224,3486,11403,28042,1,1,2,1,8184,3218,8793,6791,2.1310181531176,0.328159256336052,3262,0.164079628168026,0.164079628168026,3.46500286861733,16.7053571428571,8.35267857142857,6.39328743545611,16.7053571428571,8.35267857142857,68.2875536480687,0.569253262962699,0.3640253904857,0.579917695473251,0.882791455240243,0.0667213465516012,1,0.75668733947253,0.926063388057093,0.53704054586422,0.5,0.579917695473251,0.943412327703092,1,0.28220643690257,0.59554503200912,0.574204271904016,0.416834608565685,0.55629203125597,0.420082304526749,0.978954416545298,0.968805107303277,"sSolve",3600,"timeout" "stmt47_239_290",1,3592,224,3816,12505,30764,1,1,2,1,8994,3510,9653,7409,2.1608956417433,0.299240303878449,3592,0.149620151939224,0.149620151939224,3.46567085953878,16.7053571428571,8.35267857142857,6.44103773584906,16.7053571428571,8.35267857142857,74.7466592427617,0.570114419451307,0.369067741516058,0.579823847235586,0.893323450595815,0.0608178390326356,1,0.754033867381265,0.933139825307051,0.541056723518276,0.5,0.579823847235586,0.949031817225398,1,0.280687724910036,0.592483006797281,0.574654760668674,0.41747290151351,0.551583799338446,0.420176152764414,0.981491886848923,0.959852483770633,"X2clsQ",3600,"timeout" "stmt47_239_290",1,3592,224,3816,12505,30764,1,1,2,1,8994,3510,9653,7409,2.1608956417433,0.299240303878449,3592,0.149620151939224,0.149620151939224,3.46567085953878,16.7053571428571,8.35267857142857,6.44103773584906,16.7053571428571,8.35267857142857,74.7466592427617,0.570114419451307,0.369067741516058,0.579823847235586,0.893323450595815,0.0608178390326356,1,0.754033867381265,0.933139825307051,0.541056723518276,0.5,0.579823847235586,0.949031817225398,1,0.280687724910036,0.592483006797281,0.574654760668674,0.41747290151351,0.551583799338446,0.420176152764414,0.981491886848923,0.959852483770633,"quantor",3600,"memout" "stmt47_239_290",1,3592,224,3816,12505,30764,1,1,2,1,8994,3510,9653,7409,2.1608956417433,0.299240303878449,3592,0.149620151939224,0.149620151939224,3.46567085953878,16.7053571428571,8.35267857142857,6.44103773584906,16.7053571428571,8.35267857142857,74.7466592427617,0.570114419451307,0.369067741516058,0.579823847235586,0.893323450595815,0.0608178390326356,1,0.754033867381265,0.933139825307051,0.541056723518276,0.5,0.579823847235586,0.949031817225398,1,0.280687724910036,0.592483006797281,0.574654760668674,0.41747290151351,0.551583799338446,0.420176152764414,0.981491886848923,0.959852483770633,"QuBE",3600,"timeout" "stmt47_239_290",1,3592,224,3816,12505,30764,1,1,2,1,8994,3510,9653,7409,2.1608956417433,0.299240303878449,3592,0.149620151939224,0.149620151939224,3.46567085953878,16.7053571428571,8.35267857142857,6.44103773584906,16.7053571428571,8.35267857142857,74.7466592427617,0.570114419451307,0.369067741516058,0.579823847235586,0.893323450595815,0.0608178390326356,1,0.754033867381265,0.933139825307051,0.541056723518276,0.5,0.579823847235586,0.949031817225398,1,0.280687724910036,0.592483006797281,0.574654760668674,0.41747290151351,0.551583799338446,0.420176152764414,0.981491886848923,0.959852483770633,"sKizzo",4.98,"ok" "stmt47_239_290",1,3592,224,3816,12505,30764,1,1,2,1,8994,3510,9653,7409,2.1608956417433,0.299240303878449,3592,0.149620151939224,0.149620151939224,3.46567085953878,16.7053571428571,8.35267857142857,6.44103773584906,16.7053571428571,8.35267857142857,74.7466592427617,0.570114419451307,0.369067741516058,0.579823847235586,0.893323450595815,0.0608178390326356,1,0.754033867381265,0.933139825307051,0.541056723518276,0.5,0.579823847235586,0.949031817225398,1,0.280687724910036,0.592483006797281,0.574654760668674,0.41747290151351,0.551583799338446,0.420176152764414,0.981491886848923,0.959852483770633,"sSolve",3600,"timeout" "stmt5_731_730",1,626,64,690,2269,5684,1,1,2,1,1731,537,1847,1603,2.18510356985456,0.319964742177171,626,0.159982371088585,0.159982371088585,3.73913043478261,11.34375,5.671875,6.95217391304348,11.34375,5.671875,38.6900958466454,0.546094299788881,0.390042223786066,0.552843888664784,0.88305412371134,0.0638634764250528,1,0.831185567010309,0.961451122257871,0.531532377190162,0.5,0.552843888664784,0.973334417509305,1,0.236668135742618,0.70647862494491,0.549238864875023,0.459247913355545,0.367749835557226,0.447156111335216,1.01882766185735,0.669562660393499,"X2clsQ",3600,"timeout" "stmt5_731_730",1,626,64,690,2269,5684,1,1,2,1,1731,537,1847,1603,2.18510356985456,0.319964742177171,626,0.159982371088585,0.159982371088585,3.73913043478261,11.34375,5.671875,6.95217391304348,11.34375,5.671875,38.6900958466454,0.546094299788881,0.390042223786066,0.552843888664784,0.88305412371134,0.0638634764250528,1,0.831185567010309,0.961451122257871,0.531532377190162,0.5,0.552843888664784,0.973334417509305,1,0.236668135742618,0.70647862494491,0.549238864875023,0.459247913355545,0.367749835557226,0.447156111335216,1.01882766185735,0.669562660393499,"quantor",3600,"memout" "stmt5_731_730",1,626,64,690,2269,5684,1,1,2,1,1731,537,1847,1603,2.18510356985456,0.319964742177171,626,0.159982371088585,0.159982371088585,3.73913043478261,11.34375,5.671875,6.95217391304348,11.34375,5.671875,38.6900958466454,0.546094299788881,0.390042223786066,0.552843888664784,0.88305412371134,0.0638634764250528,1,0.831185567010309,0.961451122257871,0.531532377190162,0.5,0.552843888664784,0.973334417509305,1,0.236668135742618,0.70647862494491,0.549238864875023,0.459247913355545,0.367749835557226,0.447156111335216,1.01882766185735,0.669562660393499,"QuBE",3600,"timeout" "stmt5_731_730",1,626,64,690,2269,5684,1,1,2,1,1731,537,1847,1603,2.18510356985456,0.319964742177171,626,0.159982371088585,0.159982371088585,3.73913043478261,11.34375,5.671875,6.95217391304348,11.34375,5.671875,38.6900958466454,0.546094299788881,0.390042223786066,0.552843888664784,0.88305412371134,0.0638634764250528,1,0.831185567010309,0.961451122257871,0.531532377190162,0.5,0.552843888664784,0.973334417509305,1,0.236668135742618,0.70647862494491,0.549238864875023,0.459247913355545,0.367749835557226,0.447156111335216,1.01882766185735,0.669562660393499,"sKizzo",3600,"memout" "stmt5_731_730",1,626,64,690,2269,5684,1,1,2,1,1731,537,1847,1603,2.18510356985456,0.319964742177171,626,0.159982371088585,0.159982371088585,3.73913043478261,11.34375,5.671875,6.95217391304348,11.34375,5.671875,38.6900958466454,0.546094299788881,0.390042223786066,0.552843888664784,0.88305412371134,0.0638634764250528,1,0.831185567010309,0.961451122257871,0.531532377190162,0.5,0.552843888664784,0.973334417509305,1,0.236668135742618,0.70647862494491,0.549238864875023,0.459247913355545,0.367749835557226,0.447156111335216,1.01882766185735,0.669562660393499,"sSolve",613.53,"ok" "stmt50_167_293",1,3311,225,3536,11605,28552,1,1,2,1,8338,3266,8951,6885,2.13218440327445,0.328134424816889,3311,0.164067212408445,0.164067212408445,3.4731334841629,16.9244444444444,8.46222222222222,6.40780542986425,16.9244444444444,8.46222222222222,69.7173059498641,0.56987251330905,0.363442140655646,0.580625606207565,0.882981992501997,0.066685346035304,1,0.754778440169627,0.925521362983488,0.53738140244034,0.5,0.580625606207565,0.942985299150427,1,0.281430417923309,0.593278759155536,0.574864905433804,0.415872566550134,0.561438340171453,0.419374393792435,0.978212741939091,0.976643964285455,"X2clsQ",3600,"timeout" "stmt50_167_293",1,3311,225,3536,11605,28552,1,1,2,1,8338,3266,8951,6885,2.13218440327445,0.328134424816889,3311,0.164067212408445,0.164067212408445,3.4731334841629,16.9244444444444,8.46222222222222,6.40780542986425,16.9244444444444,8.46222222222222,69.7173059498641,0.56987251330905,0.363442140655646,0.580625606207565,0.882981992501997,0.066685346035304,1,0.754778440169627,0.925521362983488,0.53738140244034,0.5,0.580625606207565,0.942985299150427,1,0.281430417923309,0.593278759155536,0.574864905433804,0.415872566550134,0.561438340171453,0.419374393792435,0.978212741939091,0.976643964285455,"quantor",3600,"memout" "stmt50_167_293",1,3311,225,3536,11605,28552,1,1,2,1,8338,3266,8951,6885,2.13218440327445,0.328134424816889,3311,0.164067212408445,0.164067212408445,3.4731334841629,16.9244444444444,8.46222222222222,6.40780542986425,16.9244444444444,8.46222222222222,69.7173059498641,0.56987251330905,0.363442140655646,0.580625606207565,0.882981992501997,0.066685346035304,1,0.754778440169627,0.925521362983488,0.53738140244034,0.5,0.580625606207565,0.942985299150427,1,0.281430417923309,0.593278759155536,0.574864905433804,0.415872566550134,0.561438340171453,0.419374393792435,0.978212741939091,0.976643964285455,"QuBE",3600,"timeout" "stmt50_167_293",1,3311,225,3536,11605,28552,1,1,2,1,8338,3266,8951,6885,2.13218440327445,0.328134424816889,3311,0.164067212408445,0.164067212408445,3.4731334841629,16.9244444444444,8.46222222222222,6.40780542986425,16.9244444444444,8.46222222222222,69.7173059498641,0.56987251330905,0.363442140655646,0.580625606207565,0.882981992501997,0.066685346035304,1,0.754778440169627,0.925521362983488,0.53738140244034,0.5,0.580625606207565,0.942985299150427,1,0.281430417923309,0.593278759155536,0.574864905433804,0.415872566550134,0.561438340171453,0.419374393792435,0.978212741939091,0.976643964285455,"sKizzo",3.66,"ok" "stmt50_167_293",1,3311,225,3536,11605,28552,1,1,2,1,8338,3266,8951,6885,2.13218440327445,0.328134424816889,3311,0.164067212408445,0.164067212408445,3.4731334841629,16.9244444444444,8.46222222222222,6.40780542986425,16.9244444444444,8.46222222222222,69.7173059498641,0.56987251330905,0.363442140655646,0.580625606207565,0.882981992501997,0.066685346035304,1,0.754778440169627,0.925521362983488,0.53738140244034,0.5,0.580625606207565,0.942985299150427,1,0.281430417923309,0.593278759155536,0.574864905433804,0.415872566550134,0.561438340171453,0.419374393792435,0.978212741939091,0.976643964285455,"sSolve",3600,"timeout" "stmt52_117_295",1,3034,225,3259,10698,26333,1,1,2,1,7686,3011,8249,6340,2.10553374462516,0.355954383997009,3034,0.177977191998504,0.177977191998504,3.474071801166,16.9244444444444,8.46222222222222,6.36391531144523,16.9244444444444,8.46222222222222,66.609756097561,0.570045190445449,0.357650096836669,0.58188679245283,0.873159682899207,0.0723047127178825,1,0.754246885617214,0.918825933587621,0.534652675317778,0.5,0.58188679245283,0.937912790563123,1,0.281454477472425,0.592634137221911,0.575504523312456,0.414115780835064,0.564459908760535,0.41811320754717,0.975548159114733,0.98080881365041,"X2clsQ",3600,"timeout" "stmt52_117_295",1,3034,225,3259,10698,26333,1,1,2,1,7686,3011,8249,6340,2.10553374462516,0.355954383997009,3034,0.177977191998504,0.177977191998504,3.474071801166,16.9244444444444,8.46222222222222,6.36391531144523,16.9244444444444,8.46222222222222,66.609756097561,0.570045190445449,0.357650096836669,0.58188679245283,0.873159682899207,0.0723047127178825,1,0.754246885617214,0.918825933587621,0.534652675317778,0.5,0.58188679245283,0.937912790563123,1,0.281454477472425,0.592634137221911,0.575504523312456,0.414115780835064,0.564459908760535,0.41811320754717,0.975548159114733,0.98080881365041,"quantor",3600,"memout" "stmt52_117_295",1,3034,225,3259,10698,26333,1,1,2,1,7686,3011,8249,6340,2.10553374462516,0.355954383997009,3034,0.177977191998504,0.177977191998504,3.474071801166,16.9244444444444,8.46222222222222,6.36391531144523,16.9244444444444,8.46222222222222,66.609756097561,0.570045190445449,0.357650096836669,0.58188679245283,0.873159682899207,0.0723047127178825,1,0.754246885617214,0.918825933587621,0.534652675317778,0.5,0.58188679245283,0.937912790563123,1,0.281454477472425,0.592634137221911,0.575504523312456,0.414115780835064,0.564459908760535,0.41811320754717,0.975548159114733,0.98080881365041,"QuBE",3600,"timeout" "stmt52_117_295",1,3034,225,3259,10698,26333,1,1,2,1,7686,3011,8249,6340,2.10553374462516,0.355954383997009,3034,0.177977191998504,0.177977191998504,3.474071801166,16.9244444444444,8.46222222222222,6.36391531144523,16.9244444444444,8.46222222222222,66.609756097561,0.570045190445449,0.357650096836669,0.58188679245283,0.873159682899207,0.0723047127178825,1,0.754246885617214,0.918825933587621,0.534652675317778,0.5,0.58188679245283,0.937912790563123,1,0.281454477472425,0.592634137221911,0.575504523312456,0.414115780835064,0.564459908760535,0.41811320754717,0.975548159114733,0.98080881365041,"sKizzo",3.6,"ok" "stmt52_117_295",1,3034,225,3259,10698,26333,1,1,2,1,7686,3011,8249,6340,2.10553374462516,0.355954383997009,3034,0.177977191998504,0.177977191998504,3.474071801166,16.9244444444444,8.46222222222222,6.36391531144523,16.9244444444444,8.46222222222222,66.609756097561,0.570045190445449,0.357650096836669,0.58188679245283,0.873159682899207,0.0723047127178825,1,0.754246885617214,0.918825933587621,0.534652675317778,0.5,0.58188679245283,0.937912790563123,1,0.281454477472425,0.592634137221911,0.575504523312456,0.414115780835064,0.564459908760535,0.41811320754717,0.975548159114733,0.98080881365041,"sSolve",3600,"timeout" "stmt52_295_394",1,5047,270,5317,17937,44244,1,1,2,1,12986,4950,13878,10522,2.17773317723142,0.288900039025478,5047,0.144450019512739,0.144450019512739,3.55858566861012,19.1925925925926,9.5962962962963,6.62986646605229,19.1925925925926,9.5962962962963,90.1953635823261,0.572348793056686,0.369089594069252,0.581946648917106,0.897681949216128,0.058561612874062,1,0.747186352327923,0.930108145174802,0.541273318214981,0.5,0.581946648917106,0.945705354464464,1,0.275965880582037,0.586608685956403,0.576849206539745,0.41302206525365,0.612482983307414,0.418053351082894,0.976063549063023,1.06177312261799,"X2clsQ",3600,"timeout" "stmt52_295_394",1,5047,270,5317,17937,44244,1,1,2,1,12986,4950,13878,10522,2.17773317723142,0.288900039025478,5047,0.144450019512739,0.144450019512739,3.55858566861012,19.1925925925926,9.5962962962963,6.62986646605229,19.1925925925926,9.5962962962963,90.1953635823261,0.572348793056686,0.369089594069252,0.581946648917106,0.897681949216128,0.058561612874062,1,0.747186352327923,0.930108145174802,0.541273318214981,0.5,0.581946648917106,0.945705354464464,1,0.275965880582037,0.586608685956403,0.576849206539745,0.41302206525365,0.612482983307414,0.418053351082894,0.976063549063023,1.06177312261799,"quantor",3600,"memout" "stmt52_295_394",1,5047,270,5317,17937,44244,1,1,2,1,12986,4950,13878,10522,2.17773317723142,0.288900039025478,5047,0.144450019512739,0.144450019512739,3.55858566861012,19.1925925925926,9.5962962962963,6.62986646605229,19.1925925925926,9.5962962962963,90.1953635823261,0.572348793056686,0.369089594069252,0.581946648917106,0.897681949216128,0.058561612874062,1,0.747186352327923,0.930108145174802,0.541273318214981,0.5,0.581946648917106,0.945705354464464,1,0.275965880582037,0.586608685956403,0.576849206539745,0.41302206525365,0.612482983307414,0.418053351082894,0.976063549063023,1.06177312261799,"QuBE",3600,"timeout" "stmt52_295_394",1,5047,270,5317,17937,44244,1,1,2,1,12986,4950,13878,10522,2.17773317723142,0.288900039025478,5047,0.144450019512739,0.144450019512739,3.55858566861012,19.1925925925926,9.5962962962963,6.62986646605229,19.1925925925926,9.5962962962963,90.1953635823261,0.572348793056686,0.369089594069252,0.581946648917106,0.897681949216128,0.058561612874062,1,0.747186352327923,0.930108145174802,0.541273318214981,0.5,0.581946648917106,0.945705354464464,1,0.275965880582037,0.586608685956403,0.576849206539745,0.41302206525365,0.612482983307414,0.418053351082894,0.976063549063023,1.06177312261799,"sKizzo",3600,"memout" "stmt52_295_394",1,5047,270,5317,17937,44244,1,1,2,1,12986,4950,13878,10522,2.17773317723142,0.288900039025478,5047,0.144450019512739,0.144450019512739,3.55858566861012,19.1925925925926,9.5962962962963,6.62986646605229,19.1925925925926,9.5962962962963,90.1953635823261,0.572348793056686,0.369089594069252,0.581946648917106,0.897681949216128,0.058561612874062,1,0.747186352327923,0.930108145174802,0.541273318214981,0.5,0.581946648917106,0.945705354464464,1,0.275965880582037,0.586608685956403,0.576849206539745,0.41302206525365,0.612482983307414,0.418053351082894,0.976063549063023,1.06177312261799,"sSolve",3600,"timeout" "stmt52_54_55",1,958,98,1056,3378,8435,1,1,2,1,2401,976,2569,1905,2.07193605683837,0.4251036116045,958,0.21255180580225,0.21255180580225,3.40719696969697,14.6530612244898,7.3265306122449,6.1344696969697,14.6530612244898,7.3265306122449,48.3653444676409,0.57344398340249,0.341434499110848,0.588512644663523,0.851560884845979,0.0851215174866627,1,0.743849493487699,0.914638157691199,0.53827612109302,0.5,0.588512644663523,0.938672541124586,1,0.288928359976317,0.563943161634103,0.580277309835428,0.411379263794951,0.501283939777803,0.411487355336477,0.980121574160431,0.863869621095424,"X2clsQ",3600,"timeout" "stmt52_54_55",1,958,98,1056,3378,8435,1,1,2,1,2401,976,2569,1905,2.07193605683837,0.4251036116045,958,0.21255180580225,0.21255180580225,3.40719696969697,14.6530612244898,7.3265306122449,6.1344696969697,14.6530612244898,7.3265306122449,48.3653444676409,0.57344398340249,0.341434499110848,0.588512644663523,0.851560884845979,0.0851215174866627,1,0.743849493487699,0.914638157691199,0.53827612109302,0.5,0.588512644663523,0.938672541124586,1,0.288928359976317,0.563943161634103,0.580277309835428,0.411379263794951,0.501283939777803,0.411487355336477,0.980121574160431,0.863869621095424,"quantor",50.86,"ok" "stmt52_54_55",1,958,98,1056,3378,8435,1,1,2,1,2401,976,2569,1905,2.07193605683837,0.4251036116045,958,0.21255180580225,0.21255180580225,3.40719696969697,14.6530612244898,7.3265306122449,6.1344696969697,14.6530612244898,7.3265306122449,48.3653444676409,0.57344398340249,0.341434499110848,0.588512644663523,0.851560884845979,0.0851215174866627,1,0.743849493487699,0.914638157691199,0.53827612109302,0.5,0.588512644663523,0.938672541124586,1,0.288928359976317,0.563943161634103,0.580277309835428,0.411379263794951,0.501283939777803,0.411487355336477,0.980121574160431,0.863869621095424,"QuBE",3600,"timeout" "stmt52_54_55",1,958,98,1056,3378,8435,1,1,2,1,2401,976,2569,1905,2.07193605683837,0.4251036116045,958,0.21255180580225,0.21255180580225,3.40719696969697,14.6530612244898,7.3265306122449,6.1344696969697,14.6530612244898,7.3265306122449,48.3653444676409,0.57344398340249,0.341434499110848,0.588512644663523,0.851560884845979,0.0851215174866627,1,0.743849493487699,0.914638157691199,0.53827612109302,0.5,0.588512644663523,0.938672541124586,1,0.288928359976317,0.563943161634103,0.580277309835428,0.411379263794951,0.501283939777803,0.411487355336477,0.980121574160431,0.863869621095424,"sKizzo",3600,"memout" "stmt52_54_55",1,958,98,1056,3378,8435,1,1,2,1,2401,976,2569,1905,2.07193605683837,0.4251036116045,958,0.21255180580225,0.21255180580225,3.40719696969697,14.6530612244898,7.3265306122449,6.1344696969697,14.6530612244898,7.3265306122449,48.3653444676409,0.57344398340249,0.341434499110848,0.588512644663523,0.851560884845979,0.0851215174866627,1,0.743849493487699,0.914638157691199,0.53827612109302,0.5,0.588512644663523,0.938672541124586,1,0.288928359976317,0.563943161634103,0.580277309835428,0.411379263794951,0.501283939777803,0.411487355336477,0.980121574160431,0.863869621095424,"sSolve",3600,"timeout" "stmt53_208_245",1,2715,185,2900,9420,23231,1,1,2,1,6766,2653,7247,5482,2.21496815286624,0.251167728237792,2715,0.125583864118896,0.125583864118896,3.43103448275862,12.7891891891892,6.39459459459459,6.45413793103448,12.7891891891892,6.39459459459459,76.9893186003683,0.571692996427188,0.377383668374155,0.579822669542296,0.91092538212484,0.050923335198657,1,0.749190572999021,0.959353464400659,0.55625488676344,0.5,0.579822669542296,0.972995804111247,1,0.281634819532909,0.581953290870488,0.57553973149492,0.424727098017518,0.420544365119837,0.420177330457704,1.0006286324828,0.730695627263656,"X2clsQ",3600,"timeout" "stmt53_208_245",1,2715,185,2900,9420,23231,1,1,2,1,6766,2653,7247,5482,2.21496815286624,0.251167728237792,2715,0.125583864118896,0.125583864118896,3.43103448275862,12.7891891891892,6.39459459459459,6.45413793103448,12.7891891891892,6.39459459459459,76.9893186003683,0.571692996427188,0.377383668374155,0.579822669542296,0.91092538212484,0.050923335198657,1,0.749190572999021,0.959353464400659,0.55625488676344,0.5,0.579822669542296,0.972995804111247,1,0.281634819532909,0.581953290870488,0.57553973149492,0.424727098017518,0.420544365119837,0.420177330457704,1.0006286324828,0.730695627263656,"quantor",3600,"memout" "stmt53_208_245",1,2715,185,2900,9420,23231,1,1,2,1,6766,2653,7247,5482,2.21496815286624,0.251167728237792,2715,0.125583864118896,0.125583864118896,3.43103448275862,12.7891891891892,6.39459459459459,6.45413793103448,12.7891891891892,6.39459459459459,76.9893186003683,0.571692996427188,0.377383668374155,0.579822669542296,0.91092538212484,0.050923335198657,1,0.749190572999021,0.959353464400659,0.55625488676344,0.5,0.579822669542296,0.972995804111247,1,0.281634819532909,0.581953290870488,0.57553973149492,0.424727098017518,0.420544365119837,0.420177330457704,1.0006286324828,0.730695627263656,"QuBE",3600,"timeout" "stmt53_208_245",1,2715,185,2900,9420,23231,1,1,2,1,6766,2653,7247,5482,2.21496815286624,0.251167728237792,2715,0.125583864118896,0.125583864118896,3.43103448275862,12.7891891891892,6.39459459459459,6.45413793103448,12.7891891891892,6.39459459459459,76.9893186003683,0.571692996427188,0.377383668374155,0.579822669542296,0.91092538212484,0.050923335198657,1,0.749190572999021,0.959353464400659,0.55625488676344,0.5,0.579822669542296,0.972995804111247,1,0.281634819532909,0.581953290870488,0.57553973149492,0.424727098017518,0.420544365119837,0.420177330457704,1.0006286324828,0.730695627263656,"sKizzo",3600,"memout" "stmt53_208_245",1,2715,185,2900,9420,23231,1,1,2,1,6766,2653,7247,5482,2.21496815286624,0.251167728237792,2715,0.125583864118896,0.125583864118896,3.43103448275862,12.7891891891892,6.39459459459459,6.45413793103448,12.7891891891892,6.39459459459459,76.9893186003683,0.571692996427188,0.377383668374155,0.579822669542296,0.91092538212484,0.050923335198657,1,0.749190572999021,0.959353464400659,0.55625488676344,0.5,0.579822669542296,0.972995804111247,1,0.281634819532909,0.581953290870488,0.57553973149492,0.424727098017518,0.420544365119837,0.420177330457704,1.0006286324828,0.730695627263656,"sSolve",3600,"timeout" "stmt6_13_14",1,29,5,34,76,183,1,1,2,1,46,29,53,60,2.11842105263158,0.289473684210526,29,0.144736842105263,0.144736842105263,2.70588235294118,4.4,2.2,5.08823529411765,4.4,2.2,16.551724137931,0.497267759562842,0.442622950819672,0.496894409937888,0.879120879120879,0.0601092896174863,1,1.01098901098901,1.03146787262107,0.512530619935934,0.5,0.496894409937888,1.03069344448655,1,0.381578947368421,0.789473684210526,0.497093023255814,0.552125100240577,0.217441860465116,0.503105590062112,1.09786725134543,0.437426900584795,"X2clsQ",0,"ok" "stmt6_13_14",1,29,5,34,76,183,1,1,2,1,46,29,53,60,2.11842105263158,0.289473684210526,29,0.144736842105263,0.144736842105263,2.70588235294118,4.4,2.2,5.08823529411765,4.4,2.2,16.551724137931,0.497267759562842,0.442622950819672,0.496894409937888,0.879120879120879,0.0601092896174863,1,1.01098901098901,1.03146787262107,0.512530619935934,0.5,0.496894409937888,1.03069344448655,1,0.381578947368421,0.789473684210526,0.497093023255814,0.552125100240577,0.217441860465116,0.503105590062112,1.09786725134543,0.437426900584795,"quantor",0,"ok" "stmt6_13_14",1,29,5,34,76,183,1,1,2,1,46,29,53,60,2.11842105263158,0.289473684210526,29,0.144736842105263,0.144736842105263,2.70588235294118,4.4,2.2,5.08823529411765,4.4,2.2,16.551724137931,0.497267759562842,0.442622950819672,0.496894409937888,0.879120879120879,0.0601092896174863,1,1.01098901098901,1.03146787262107,0.512530619935934,0.5,0.496894409937888,1.03069344448655,1,0.381578947368421,0.789473684210526,0.497093023255814,0.552125100240577,0.217441860465116,0.503105590062112,1.09786725134543,0.437426900584795,"QuBE",0.06,"ok" "stmt6_13_14",1,29,5,34,76,183,1,1,2,1,46,29,53,60,2.11842105263158,0.289473684210526,29,0.144736842105263,0.144736842105263,2.70588235294118,4.4,2.2,5.08823529411765,4.4,2.2,16.551724137931,0.497267759562842,0.442622950819672,0.496894409937888,0.879120879120879,0.0601092896174863,1,1.01098901098901,1.03146787262107,0.512530619935934,0.5,0.496894409937888,1.03069344448655,1,0.381578947368421,0.789473684210526,0.497093023255814,0.552125100240577,0.217441860465116,0.503105590062112,1.09786725134543,0.437426900584795,"sKizzo",0,"ok" "stmt6_13_14",1,29,5,34,76,183,1,1,2,1,46,29,53,60,2.11842105263158,0.289473684210526,29,0.144736842105263,0.144736842105263,2.70588235294118,4.4,2.2,5.08823529411765,4.4,2.2,16.551724137931,0.497267759562842,0.442622950819672,0.496894409937888,0.879120879120879,0.0601092896174863,1,1.01098901098901,1.03146787262107,0.512530619935934,0.5,0.496894409937888,1.03069344448655,1,0.381578947368421,0.789473684210526,0.497093023255814,0.552125100240577,0.217441860465116,0.503105590062112,1.09786725134543,0.437426900584795,"sSolve",0.75,"ok" "stmt7_33_34",1,876,41,917,3131,7682,1,1,2,1,2242,888,2462,1981,2.01341424465027,0.440114979239859,876,0.22005748961993,0.22005748961993,3.68702290076336,33.609756097561,16.8048780487805,6.6226826608506,33.609756097561,16.8048780487805,62.9634703196347,0.559880239520958,0.350429575631346,0.572969543147208,0.83980469658219,0.0896901848476959,1,0.786096256684492,0.859027626700144,0.492196666821212,0.5,0.572969543147208,0.87911062416195,1,0.283615458320026,0.632705206004471,0.565780065780066,0.402973750005714,1.10182133352865,0.427030456852792,0.928040650021391,1.9474375294745,"X2clsQ",3600,"timeout" "stmt7_33_34",1,876,41,917,3131,7682,1,1,2,1,2242,888,2462,1981,2.01341424465027,0.440114979239859,876,0.22005748961993,0.22005748961993,3.68702290076336,33.609756097561,16.8048780487805,6.6226826608506,33.609756097561,16.8048780487805,62.9634703196347,0.559880239520958,0.350429575631346,0.572969543147208,0.83980469658219,0.0896901848476959,1,0.786096256684492,0.859027626700144,0.492196666821212,0.5,0.572969543147208,0.87911062416195,1,0.283615458320026,0.632705206004471,0.565780065780066,0.402973750005714,1.10182133352865,0.427030456852792,0.928040650021391,1.9474375294745,"quantor",0.99,"ok" "stmt7_33_34",1,876,41,917,3131,7682,1,1,2,1,2242,888,2462,1981,2.01341424465027,0.440114979239859,876,0.22005748961993,0.22005748961993,3.68702290076336,33.609756097561,16.8048780487805,6.6226826608506,33.609756097561,16.8048780487805,62.9634703196347,0.559880239520958,0.350429575631346,0.572969543147208,0.83980469658219,0.0896901848476959,1,0.786096256684492,0.859027626700144,0.492196666821212,0.5,0.572969543147208,0.87911062416195,1,0.283615458320026,0.632705206004471,0.565780065780066,0.402973750005714,1.10182133352865,0.427030456852792,0.928040650021391,1.9474375294745,"QuBE",3600,"timeout" "stmt7_33_34",1,876,41,917,3131,7682,1,1,2,1,2242,888,2462,1981,2.01341424465027,0.440114979239859,876,0.22005748961993,0.22005748961993,3.68702290076336,33.609756097561,16.8048780487805,6.6226826608506,33.609756097561,16.8048780487805,62.9634703196347,0.559880239520958,0.350429575631346,0.572969543147208,0.83980469658219,0.0896901848476959,1,0.786096256684492,0.859027626700144,0.492196666821212,0.5,0.572969543147208,0.87911062416195,1,0.283615458320026,0.632705206004471,0.565780065780066,0.402973750005714,1.10182133352865,0.427030456852792,0.928040650021391,1.9474375294745,"sKizzo",2757.86,"ok" "stmt7_33_34",1,876,41,917,3131,7682,1,1,2,1,2242,888,2462,1981,2.01341424465027,0.440114979239859,876,0.22005748961993,0.22005748961993,3.68702290076336,33.609756097561,16.8048780487805,6.6226826608506,33.609756097561,16.8048780487805,62.9634703196347,0.559880239520958,0.350429575631346,0.572969543147208,0.83980469658219,0.0896901848476959,1,0.786096256684492,0.859027626700144,0.492196666821212,0.5,0.572969543147208,0.87911062416195,1,0.283615458320026,0.632705206004471,0.565780065780066,0.402973750005714,1.10182133352865,0.427030456852792,0.928040650021391,1.9474375294745,"sSolve",4.71,"ok" "stmt70_191_213",1,437,35,472,1464,3591,1,1,2,1,1074,389,1171,1028,2.1577868852459,0.295081967213115,437,0.147540983606557,0.147540983606557,3.5,12.3428571428571,6.17142857142857,6.54237288135593,12.3428571428571,6.17142857142857,31.9405034324943,0.539961013645224,0.399888610414926,0.54542576764799,0.888602372356885,0.0601503759398496,1,0.851985559566787,0.950155709640233,0.518239407315645,0.5,0.54542576764799,0.959771898747025,1,0.265710382513661,0.702185792349727,0.542518518518519,0.459558945673362,0.431542857142857,0.45457423235201,1.00454108915,0.79544355153312,"X2clsQ",3600,"timeout" "stmt70_191_213",1,437,35,472,1464,3591,1,1,2,1,1074,389,1171,1028,2.1577868852459,0.295081967213115,437,0.147540983606557,0.147540983606557,3.5,12.3428571428571,6.17142857142857,6.54237288135593,12.3428571428571,6.17142857142857,31.9405034324943,0.539961013645224,0.399888610414926,0.54542576764799,0.888602372356885,0.0601503759398496,1,0.851985559566787,0.950155709640233,0.518239407315645,0.5,0.54542576764799,0.959771898747025,1,0.265710382513661,0.702185792349727,0.542518518518519,0.459558945673362,0.431542857142857,0.45457423235201,1.00454108915,0.79544355153312,"quantor",3600,"memout" "stmt70_191_213",1,437,35,472,1464,3591,1,1,2,1,1074,389,1171,1028,2.1577868852459,0.295081967213115,437,0.147540983606557,0.147540983606557,3.5,12.3428571428571,6.17142857142857,6.54237288135593,12.3428571428571,6.17142857142857,31.9405034324943,0.539961013645224,0.399888610414926,0.54542576764799,0.888602372356885,0.0601503759398496,1,0.851985559566787,0.950155709640233,0.518239407315645,0.5,0.54542576764799,0.959771898747025,1,0.265710382513661,0.702185792349727,0.542518518518519,0.459558945673362,0.431542857142857,0.45457423235201,1.00454108915,0.79544355153312,"QuBE",3600,"timeout" "stmt70_191_213",1,437,35,472,1464,3591,1,1,2,1,1074,389,1171,1028,2.1577868852459,0.295081967213115,437,0.147540983606557,0.147540983606557,3.5,12.3428571428571,6.17142857142857,6.54237288135593,12.3428571428571,6.17142857142857,31.9405034324943,0.539961013645224,0.399888610414926,0.54542576764799,0.888602372356885,0.0601503759398496,1,0.851985559566787,0.950155709640233,0.518239407315645,0.5,0.54542576764799,0.959771898747025,1,0.265710382513661,0.702185792349727,0.542518518518519,0.459558945673362,0.431542857142857,0.45457423235201,1.00454108915,0.79544355153312,"sKizzo",3600,"memout" "stmt70_191_213",1,437,35,472,1464,3591,1,1,2,1,1074,389,1171,1028,2.1577868852459,0.295081967213115,437,0.147540983606557,0.147540983606557,3.5,12.3428571428571,6.17142857142857,6.54237288135593,12.3428571428571,6.17142857142857,31.9405034324943,0.539961013645224,0.399888610414926,0.54542576764799,0.888602372356885,0.0601503759398496,1,0.851985559566787,0.950155709640233,0.518239407315645,0.5,0.54542576764799,0.959771898747025,1,0.265710382513661,0.702185792349727,0.542518518518519,0.459558945673362,0.431542857142857,0.45457423235201,1.00454108915,0.79544355153312,"sSolve",2.55,"ok" "stmt70_495_501",1,796,72,868,2754,6817,1,1,2,1,2060,693,2223,1984,2.17247639796659,0.302832244008715,796,0.151416122004357,0.151416122004357,3.59677419354839,11.5833333333333,5.79166666666667,6.713133640553,11.5833333333333,5.79166666666667,34.6984924623116,0.542027284729353,0.396802112366143,0.547885676082233,0.887144790257104,0.0611706029045035,1,0.844925575101488,0.957045016781133,0.524351256060263,0.5,0.547885676082233,0.967389042642169,1,0.251633986928105,0.720406681190995,0.544765625,0.460886463567839,0.392747395833333,0.452114323917767,1.01241577718695,0.720947464027917,"X2clsQ",3600,"timeout" "stmt70_495_501",1,796,72,868,2754,6817,1,1,2,1,2060,693,2223,1984,2.17247639796659,0.302832244008715,796,0.151416122004357,0.151416122004357,3.59677419354839,11.5833333333333,5.79166666666667,6.713133640553,11.5833333333333,5.79166666666667,34.6984924623116,0.542027284729353,0.396802112366143,0.547885676082233,0.887144790257104,0.0611706029045035,1,0.844925575101488,0.957045016781133,0.524351256060263,0.5,0.547885676082233,0.967389042642169,1,0.251633986928105,0.720406681190995,0.544765625,0.460886463567839,0.392747395833333,0.452114323917767,1.01241577718695,0.720947464027917,"quantor",3600,"memout" "stmt70_495_501",1,796,72,868,2754,6817,1,1,2,1,2060,693,2223,1984,2.17247639796659,0.302832244008715,796,0.151416122004357,0.151416122004357,3.59677419354839,11.5833333333333,5.79166666666667,6.713133640553,11.5833333333333,5.79166666666667,34.6984924623116,0.542027284729353,0.396802112366143,0.547885676082233,0.887144790257104,0.0611706029045035,1,0.844925575101488,0.957045016781133,0.524351256060263,0.5,0.547885676082233,0.967389042642169,1,0.251633986928105,0.720406681190995,0.544765625,0.460886463567839,0.392747395833333,0.452114323917767,1.01241577718695,0.720947464027917,"QuBE",3600,"timeout" "stmt70_495_501",1,796,72,868,2754,6817,1,1,2,1,2060,693,2223,1984,2.17247639796659,0.302832244008715,796,0.151416122004357,0.151416122004357,3.59677419354839,11.5833333333333,5.79166666666667,6.713133640553,11.5833333333333,5.79166666666667,34.6984924623116,0.542027284729353,0.396802112366143,0.547885676082233,0.887144790257104,0.0611706029045035,1,0.844925575101488,0.957045016781133,0.524351256060263,0.5,0.547885676082233,0.967389042642169,1,0.251633986928105,0.720406681190995,0.544765625,0.460886463567839,0.392747395833333,0.452114323917767,1.01241577718695,0.720947464027917,"sKizzo",3600,"memout" "stmt70_495_501",1,796,72,868,2754,6817,1,1,2,1,2060,693,2223,1984,2.17247639796659,0.302832244008715,796,0.151416122004357,0.151416122004357,3.59677419354839,11.5833333333333,5.79166666666667,6.713133640553,11.5833333333333,5.79166666666667,34.6984924623116,0.542027284729353,0.396802112366143,0.547885676082233,0.887144790257104,0.0611706029045035,1,0.844925575101488,0.957045016781133,0.524351256060263,0.5,0.547885676082233,0.967389042642169,1,0.251633986928105,0.720406681190995,0.544765625,0.460886463567839,0.392747395833333,0.452114323917767,1.01241577718695,0.720947464027917,"sSolve",3600,"timeout" "stmt72_696_721",1,637,67,704,2311,5786,1,1,2,1,1759,551,1881,1632,2.1782778018174,0.325400259627867,637,0.162700129813933,0.162700129813933,3.73011363636364,11.2238805970149,5.61194029850746,6.92613636363636,11.2238805970149,5.61194029850746,38.4207221350078,0.546145869339786,0.388869685447632,0.553039332538736,0.881012658227848,0.0649844452125821,1,0.831012658227848,0.961541446060729,0.531770239537757,0.5,0.553039332538737,0.973678039862488,1,0.238424924275206,0.706187797490264,0.549353049907579,0.459640702577064,0.365139183932463,0.446960667461263,1.01995742450448,0.664671260119323,"X2clsQ",3600,"timeout" "stmt72_696_721",1,637,67,704,2311,5786,1,1,2,1,1759,551,1881,1632,2.1782778018174,0.325400259627867,637,0.162700129813933,0.162700129813933,3.73011363636364,11.2238805970149,5.61194029850746,6.92613636363636,11.2238805970149,5.61194029850746,38.4207221350078,0.546145869339786,0.388869685447632,0.553039332538736,0.881012658227848,0.0649844452125821,1,0.831012658227848,0.961541446060729,0.531770239537757,0.5,0.553039332538737,0.973678039862488,1,0.238424924275206,0.706187797490264,0.549353049907579,0.459640702577064,0.365139183932463,0.446960667461263,1.01995742450448,0.664671260119323,"quantor",3600,"memout" "stmt72_696_721",1,637,67,704,2311,5786,1,1,2,1,1759,551,1881,1632,2.1782778018174,0.325400259627867,637,0.162700129813933,0.162700129813933,3.73011363636364,11.2238805970149,5.61194029850746,6.92613636363636,11.2238805970149,5.61194029850746,38.4207221350078,0.546145869339786,0.388869685447632,0.553039332538736,0.881012658227848,0.0649844452125821,1,0.831012658227848,0.961541446060729,0.531770239537757,0.5,0.553039332538737,0.973678039862488,1,0.238424924275206,0.706187797490264,0.549353049907579,0.459640702577064,0.365139183932463,0.446960667461263,1.01995742450448,0.664671260119323,"QuBE",3600,"timeout" "stmt72_696_721",1,637,67,704,2311,5786,1,1,2,1,1759,551,1881,1632,2.1782778018174,0.325400259627867,637,0.162700129813933,0.162700129813933,3.73011363636364,11.2238805970149,5.61194029850746,6.92613636363636,11.2238805970149,5.61194029850746,38.4207221350078,0.546145869339786,0.388869685447632,0.553039332538736,0.881012658227848,0.0649844452125821,1,0.831012658227848,0.961541446060729,0.531770239537757,0.5,0.553039332538737,0.973678039862488,1,0.238424924275206,0.706187797490264,0.549353049907579,0.459640702577064,0.365139183932463,0.446960667461263,1.01995742450448,0.664671260119323,"sKizzo",3600,"memout" "stmt72_696_721",1,637,67,704,2311,5786,1,1,2,1,1759,551,1881,1632,2.1782778018174,0.325400259627867,637,0.162700129813933,0.162700129813933,3.73011363636364,11.2238805970149,5.61194029850746,6.92613636363636,11.2238805970149,5.61194029850746,38.4207221350078,0.546145869339786,0.388869685447632,0.553039332538736,0.881012658227848,0.0649844452125821,1,0.831012658227848,0.961541446060729,0.531770239537757,0.5,0.553039332538737,0.973678039862488,1,0.238424924275206,0.706187797490264,0.549353049907579,0.459640702577064,0.365139183932463,0.446960667461263,1.01995742450448,0.664671260119323,"sSolve",2308.62,"ok" "stmt82_224_225",1,472,40,512,1566,3837,1,1,2,1,1148,417,1251,1104,2.1551724137931,0.295019157088123,472,0.147509578544061,0.147509578544061,3.453125,11.55,5.775,6.455078125,11.55,5.775,30.9957627118644,0.539223351576753,0.400573364607766,0.544592592592593,0.88835186080232,0.0602032838154808,1,0.854519091348477,0.954135248671499,0.51961498875799,0.5,0.544592592592593,0.963635916802517,1,0.266283524904215,0.704980842911877,0.541735995562951,0.462355584383842,0.409983361064892,0.455407407407407,1.00892843406241,0.756795495264909,"X2clsQ",3600,"timeout" "stmt82_224_225",1,472,40,512,1566,3837,1,1,2,1,1148,417,1251,1104,2.1551724137931,0.295019157088123,472,0.147509578544061,0.147509578544061,3.453125,11.55,5.775,6.455078125,11.55,5.775,30.9957627118644,0.539223351576753,0.400573364607766,0.544592592592593,0.88835186080232,0.0602032838154808,1,0.854519091348477,0.954135248671499,0.51961498875799,0.5,0.544592592592593,0.963635916802517,1,0.266283524904215,0.704980842911877,0.541735995562951,0.462355584383842,0.409983361064892,0.455407407407407,1.00892843406241,0.756795495264909,"quantor",3600,"memout" "stmt82_224_225",1,472,40,512,1566,3837,1,1,2,1,1148,417,1251,1104,2.1551724137931,0.295019157088123,472,0.147509578544061,0.147509578544061,3.453125,11.55,5.775,6.455078125,11.55,5.775,30.9957627118644,0.539223351576753,0.400573364607766,0.544592592592593,0.88835186080232,0.0602032838154808,1,0.854519091348477,0.954135248671499,0.51961498875799,0.5,0.544592592592593,0.963635916802517,1,0.266283524904215,0.704980842911877,0.541735995562951,0.462355584383842,0.409983361064892,0.455407407407407,1.00892843406241,0.756795495264909,"QuBE",3600,"timeout" "stmt82_224_225",1,472,40,512,1566,3837,1,1,2,1,1148,417,1251,1104,2.1551724137931,0.295019157088123,472,0.147509578544061,0.147509578544061,3.453125,11.55,5.775,6.455078125,11.55,5.775,30.9957627118644,0.539223351576753,0.400573364607766,0.544592592592593,0.88835186080232,0.0602032838154808,1,0.854519091348477,0.954135248671499,0.51961498875799,0.5,0.544592592592593,0.963635916802517,1,0.266283524904215,0.704980842911877,0.541735995562951,0.462355584383842,0.409983361064892,0.455407407407407,1.00892843406241,0.756795495264909,"sKizzo",3600,"memout" "stmt82_224_225",1,472,40,512,1566,3837,1,1,2,1,1148,417,1251,1104,2.1551724137931,0.295019157088123,472,0.147509578544061,0.147509578544061,3.453125,11.55,5.775,6.455078125,11.55,5.775,30.9957627118644,0.539223351576753,0.400573364607766,0.544592592592593,0.88835186080232,0.0602032838154808,1,0.854519091348477,0.954135248671499,0.51961498875799,0.5,0.544592592592593,0.963635916802517,1,0.266283524904215,0.704980842911877,0.541735995562951,0.462355584383842,0.409983361064892,0.455407407407407,1.00892843406241,0.756795495264909,"sSolve",7.91,"ok" "stmt85_64_122",1,1309,132,1441,4591,11392,1,1,2,1,3269,1321,3506,2642,2.0910477020257,0.390328904378131,1309,0.195164452189066,0.195164452189066,3.38723108952117,13.5757575757576,6.78787878787879,6.15267175572519,13.5757575757576,6.78787878787879,51.2941176470588,0.57154143258427,0.349806882022472,0.584895833333333,0.862386730148979,0.0786516853932584,1,0.749654430962986,0.927674440562742,0.542592914974979,0.5,0.584895833333333,0.949350097895094,1,0.287736876497495,0.57547375299499,0.57764862804878,0.417954338747694,0.465955284552846,0.415104166666667,0.989589158469614,0.806641376656221,"X2clsQ",3600,"timeout" "stmt85_64_122",1,1309,132,1441,4591,11392,1,1,2,1,3269,1321,3506,2642,2.0910477020257,0.390328904378131,1309,0.195164452189066,0.195164452189066,3.38723108952117,13.5757575757576,6.78787878787879,6.15267175572519,13.5757575757576,6.78787878787879,51.2941176470588,0.57154143258427,0.349806882022472,0.584895833333333,0.862386730148979,0.0786516853932584,1,0.749654430962986,0.927674440562742,0.542592914974979,0.5,0.584895833333333,0.949350097895094,1,0.287736876497495,0.57547375299499,0.57764862804878,0.417954338747694,0.465955284552846,0.415104166666667,0.989589158469614,0.806641376656221,"quantor",3600,"memout" "stmt85_64_122",1,1309,132,1441,4591,11392,1,1,2,1,3269,1321,3506,2642,2.0910477020257,0.390328904378131,1309,0.195164452189066,0.195164452189066,3.38723108952117,13.5757575757576,6.78787878787879,6.15267175572519,13.5757575757576,6.78787878787879,51.2941176470588,0.57154143258427,0.349806882022472,0.584895833333333,0.862386730148979,0.0786516853932584,1,0.749654430962986,0.927674440562742,0.542592914974979,0.5,0.584895833333333,0.949350097895094,1,0.287736876497495,0.57547375299499,0.57764862804878,0.417954338747694,0.465955284552846,0.415104166666667,0.989589158469614,0.806641376656221,"QuBE",3600,"timeout" "stmt85_64_122",1,1309,132,1441,4591,11392,1,1,2,1,3269,1321,3506,2642,2.0910477020257,0.390328904378131,1309,0.195164452189066,0.195164452189066,3.38723108952117,13.5757575757576,6.78787878787879,6.15267175572519,13.5757575757576,6.78787878787879,51.2941176470588,0.57154143258427,0.349806882022472,0.584895833333333,0.862386730148979,0.0786516853932584,1,0.749654430962986,0.927674440562742,0.542592914974979,0.5,0.584895833333333,0.949350097895094,1,0.287736876497495,0.57547375299499,0.57764862804878,0.417954338747694,0.465955284552846,0.415104166666667,0.989589158469614,0.806641376656221,"sKizzo",3600,"memout" "stmt85_64_122",1,1309,132,1441,4591,11392,1,1,2,1,3269,1321,3506,2642,2.0910477020257,0.390328904378131,1309,0.195164452189066,0.195164452189066,3.38723108952117,13.5757575757576,6.78787878787879,6.15267175572519,13.5757575757576,6.78787878787879,51.2941176470588,0.57154143258427,0.349806882022472,0.584895833333333,0.862386730148979,0.0786516853932584,1,0.749654430962986,0.927674440562742,0.542592914974979,0.5,0.584895833333333,0.949350097895094,1,0.287736876497495,0.57547375299499,0.57764862804878,0.417954338747694,0.465955284552846,0.415104166666667,0.989589158469614,0.806641376656221,"sSolve",3600,"timeout" "stmt86_889_890",1,742,94,836,2777,6984,1,1,2,1,2131,645,2269,1966,2.13683831472812,0.3781058696435,742,0.18905293482175,0.18905293482175,3.7811004784689,11.1702127659574,5.58510638297872,6.93421052631579,11.1702127659574,5.58510638297872,38.7681940700809,0.547394043528064,0.377434135166094,0.555780249410179,0.862673293225216,0.0751718213058419,1,0.826837562123986,0.957294763849909,0.53204552261156,0.5,0.555780249410179,0.971960745466685,1,0.232265034209579,0.707958228303925,0.551246322960211,0.459814321227531,0.361445187813145,0.444219750589821,1.02464747310976,0.655687254061257,"X2clsQ",3600,"timeout" "stmt86_889_890",1,742,94,836,2777,6984,1,1,2,1,2131,645,2269,1966,2.13683831472812,0.3781058696435,742,0.18905293482175,0.18905293482175,3.7811004784689,11.1702127659574,5.58510638297872,6.93421052631579,11.1702127659574,5.58510638297872,38.7681940700809,0.547394043528064,0.377434135166094,0.555780249410179,0.862673293225216,0.0751718213058419,1,0.826837562123986,0.957294763849909,0.53204552261156,0.5,0.555780249410179,0.971960745466685,1,0.232265034209579,0.707958228303925,0.551246322960211,0.459814321227531,0.361445187813145,0.444219750589821,1.02464747310976,0.655687254061257,"quantor",3600,"memout" "stmt86_889_890",1,742,94,836,2777,6984,1,1,2,1,2131,645,2269,1966,2.13683831472812,0.3781058696435,742,0.18905293482175,0.18905293482175,3.7811004784689,11.1702127659574,5.58510638297872,6.93421052631579,11.1702127659574,5.58510638297872,38.7681940700809,0.547394043528064,0.377434135166094,0.555780249410179,0.862673293225216,0.0751718213058419,1,0.826837562123986,0.957294763849909,0.53204552261156,0.5,0.555780249410179,0.971960745466685,1,0.232265034209579,0.707958228303925,0.551246322960211,0.459814321227531,0.361445187813145,0.444219750589821,1.02464747310976,0.655687254061257,"QuBE",3600,"timeout" "stmt86_889_890",1,742,94,836,2777,6984,1,1,2,1,2131,645,2269,1966,2.13683831472812,0.3781058696435,742,0.18905293482175,0.18905293482175,3.7811004784689,11.1702127659574,5.58510638297872,6.93421052631579,11.1702127659574,5.58510638297872,38.7681940700809,0.547394043528064,0.377434135166094,0.555780249410179,0.862673293225216,0.0751718213058419,1,0.826837562123986,0.957294763849909,0.53204552261156,0.5,0.555780249410179,0.971960745466685,1,0.232265034209579,0.707958228303925,0.551246322960211,0.459814321227531,0.361445187813145,0.444219750589821,1.02464747310976,0.655687254061257,"sKizzo",3600,"memout" "stmt86_889_890",1,742,94,836,2777,6984,1,1,2,1,2131,645,2269,1966,2.13683831472812,0.3781058696435,742,0.18905293482175,0.18905293482175,3.7811004784689,11.1702127659574,5.58510638297872,6.93421052631579,11.1702127659574,5.58510638297872,38.7681940700809,0.547394043528064,0.377434135166094,0.555780249410179,0.862673293225216,0.0751718213058419,1,0.826837562123986,0.957294763849909,0.53204552261156,0.5,0.555780249410179,0.971960745466685,1,0.232265034209579,0.707958228303925,0.551246322960211,0.459814321227531,0.361445187813145,0.444219750589821,1.02464747310976,0.655687254061257,"sSolve",3600,"timeout" "stmt9_350_351",1,415,43,458,1446,3597,1,1,2,1,1094,351,1167,1076,2.1804979253112,0.307053941908714,415,0.153526970954357,0.153526970954357,3.61135371179039,10.3255813953488,5.16279069767442,6.73799126637555,10.3255813953488,5.16279069767442,35.2963855421687,0.540172365860439,0.398109535724215,0.545829368855059,0.885743695316521,0.0617180984153461,1,0.851260936695831,0.96738848638926,0.5280290469635,0.5,0.545829368855059,0.977519548084257,1,0.242738589211618,0.744121715076072,0.542814814814815,0.468259526996876,0.350304909560724,0.454170631144941,1.02422287985383,0.645348837209302,"X2clsQ",3600,"timeout" "stmt9_350_351",1,415,43,458,1446,3597,1,1,2,1,1094,351,1167,1076,2.1804979253112,0.307053941908714,415,0.153526970954357,0.153526970954357,3.61135371179039,10.3255813953488,5.16279069767442,6.73799126637555,10.3255813953488,5.16279069767442,35.2963855421687,0.540172365860439,0.398109535724215,0.545829368855059,0.885743695316521,0.0617180984153461,1,0.851260936695831,0.96738848638926,0.5280290469635,0.5,0.545829368855059,0.977519548084257,1,0.242738589211618,0.744121715076072,0.542814814814815,0.468259526996876,0.350304909560724,0.454170631144941,1.02422287985383,0.645348837209302,"quantor",3600,"memout" "stmt9_350_351",1,415,43,458,1446,3597,1,1,2,1,1094,351,1167,1076,2.1804979253112,0.307053941908714,415,0.153526970954357,0.153526970954357,3.61135371179039,10.3255813953488,5.16279069767442,6.73799126637555,10.3255813953488,5.16279069767442,35.2963855421687,0.540172365860439,0.398109535724215,0.545829368855059,0.885743695316521,0.0617180984153461,1,0.851260936695831,0.96738848638926,0.5280290469635,0.5,0.545829368855059,0.977519548084257,1,0.242738589211618,0.744121715076072,0.542814814814815,0.468259526996876,0.350304909560724,0.454170631144941,1.02422287985383,0.645348837209302,"QuBE",3600,"timeout" "stmt9_350_351",1,415,43,458,1446,3597,1,1,2,1,1094,351,1167,1076,2.1804979253112,0.307053941908714,415,0.153526970954357,0.153526970954357,3.61135371179039,10.3255813953488,5.16279069767442,6.73799126637555,10.3255813953488,5.16279069767442,35.2963855421687,0.540172365860439,0.398109535724215,0.545829368855059,0.885743695316521,0.0617180984153461,1,0.851260936695831,0.96738848638926,0.5280290469635,0.5,0.545829368855059,0.977519548084257,1,0.242738589211618,0.744121715076072,0.542814814814815,0.468259526996876,0.350304909560724,0.454170631144941,1.02422287985383,0.645348837209302,"sKizzo",3600,"memout" "stmt9_350_351",1,415,43,458,1446,3597,1,1,2,1,1094,351,1167,1076,2.1804979253112,0.307053941908714,415,0.153526970954357,0.153526970954357,3.61135371179039,10.3255813953488,5.16279069767442,6.73799126637555,10.3255813953488,5.16279069767442,35.2963855421687,0.540172365860439,0.398109535724215,0.545829368855059,0.885743695316521,0.0617180984153461,1,0.851260936695831,0.96738848638926,0.5280290469635,0.5,0.545829368855059,0.977519548084257,1,0.242738589211618,0.744121715076072,0.542814814814815,0.468259526996876,0.350304909560724,0.454170631144941,1.02422287985383,0.645348837209302,"sSolve",7.88,"ok" "stmt9_445_446",1,604,54,658,1978,4837,1,1,2,1,1458,519,1577,1496,2.15925176946411,0.286147623862487,604,0.143073811931244,0.143073811931244,3.42249240121581,10.4814814814815,5.24074074074074,6.41489361702128,10.4814814814815,5.24074074074074,30.3079470198675,0.534422162497416,0.407070498242712,0.538983844532896,0.890522243713733,0.0585073392598718,1,0.871179883945841,0.961927717771538,0.518463499487258,0.5,0.538983844532896,0.970138470800722,1,0.262386248736097,0.756319514661274,0.536561264822134,0.471022490962025,0.378613022332829,0.461016155467104,1.01636409563661,0.705628689872602,"X2clsQ",3600,"timeout" "stmt9_445_446",1,604,54,658,1978,4837,1,1,2,1,1458,519,1577,1496,2.15925176946411,0.286147623862487,604,0.143073811931244,0.143073811931244,3.42249240121581,10.4814814814815,5.24074074074074,6.41489361702128,10.4814814814815,5.24074074074074,30.3079470198675,0.534422162497416,0.407070498242712,0.538983844532896,0.890522243713733,0.0585073392598718,1,0.871179883945841,0.961927717771538,0.518463499487258,0.5,0.538983844532896,0.970138470800722,1,0.262386248736097,0.756319514661274,0.536561264822134,0.471022490962025,0.378613022332829,0.461016155467104,1.01636409563661,0.705628689872602,"quantor",3600,"memout" "stmt9_445_446",1,604,54,658,1978,4837,1,1,2,1,1458,519,1577,1496,2.15925176946411,0.286147623862487,604,0.143073811931244,0.143073811931244,3.42249240121581,10.4814814814815,5.24074074074074,6.41489361702128,10.4814814814815,5.24074074074074,30.3079470198675,0.534422162497416,0.407070498242712,0.538983844532896,0.890522243713733,0.0585073392598718,1,0.871179883945841,0.961927717771538,0.518463499487258,0.5,0.538983844532896,0.970138470800722,1,0.262386248736097,0.756319514661274,0.536561264822134,0.471022490962025,0.378613022332829,0.461016155467104,1.01636409563661,0.705628689872602,"QuBE",3600,"timeout" "stmt9_445_446",1,604,54,658,1978,4837,1,1,2,1,1458,519,1577,1496,2.15925176946411,0.286147623862487,604,0.143073811931244,0.143073811931244,3.42249240121581,10.4814814814815,5.24074074074074,6.41489361702128,10.4814814814815,5.24074074074074,30.3079470198675,0.534422162497416,0.407070498242712,0.538983844532896,0.890522243713733,0.0585073392598718,1,0.871179883945841,0.961927717771538,0.518463499487258,0.5,0.538983844532896,0.970138470800722,1,0.262386248736097,0.756319514661274,0.536561264822134,0.471022490962025,0.378613022332829,0.461016155467104,1.01636409563661,0.705628689872602,"sKizzo",3600,"memout" "stmt9_445_446",1,604,54,658,1978,4837,1,1,2,1,1458,519,1577,1496,2.15925176946411,0.286147623862487,604,0.143073811931244,0.143073811931244,3.42249240121581,10.4814814814815,5.24074074074074,6.41489361702128,10.4814814814815,5.24074074074074,30.3079470198675,0.534422162497416,0.407070498242712,0.538983844532896,0.890522243713733,0.0585073392598718,1,0.871179883945841,0.961927717771538,0.518463499487258,0.5,0.538983844532896,0.970138470800722,1,0.262386248736097,0.756319514661274,0.536561264822134,0.471022490962025,0.378613022332829,0.461016155467104,1.01636409563661,0.705628689872602,"sSolve",3600,"timeout" "szymanski-10-s-shuffled",1,15059,700,15759,18823,48921,2,1,3,1,7546,11276,11117,14980,1.80688519364607,0.792116028263295,7529.5,0.409074005206396,0.383042023056898,1.44844216003554,21.3,11,3.84694460308395,42.6,22,3.78172521415765,0.533411009586885,0.309192371374256,0.55526153303343,0.7237018585936,0.147380470554568,1.06796116504854,0.874726959187584,0.72753953417207,0.403974717086811,0.516431924882629,0.55526153303343,0.757342292952822,1.06796116504854,0.599054348403549,0.79583488285608,0.539236773502162,0.359695262250025,2.46734056379348,0.44444881232003,0.780650975521618,4.57561628775599,"X2clsQ",76.1,"ok" "szymanski-10-s-shuffled",1,15059,700,15759,18823,48921,2,1,3,1,7546,11276,11117,14980,1.80688519364607,0.792116028263295,7529.5,0.409074005206396,0.383042023056898,1.44844216003554,21.3,11,3.84694460308395,42.6,22,3.78172521415765,0.533411009586885,0.309192371374256,0.55526153303343,0.7237018585936,0.147380470554568,1.06796116504854,0.874726959187584,0.72753953417207,0.403974717086811,0.516431924882629,0.55526153303343,0.757342292952822,1.06796116504854,0.599054348403549,0.79583488285608,0.539236773502162,0.359695262250025,2.46734056379348,0.44444881232003,0.780650975521618,4.57561628775599,"quantor",3600,"memout" "szymanski-10-s-shuffled",1,15059,700,15759,18823,48921,2,1,3,1,7546,11276,11117,14980,1.80688519364607,0.792116028263295,7529.5,0.409074005206396,0.383042023056898,1.44844216003554,21.3,11,3.84694460308395,42.6,22,3.78172521415765,0.533411009586885,0.309192371374256,0.55526153303343,0.7237018585936,0.147380470554568,1.06796116504854,0.874726959187584,0.72753953417207,0.403974717086811,0.516431924882629,0.55526153303343,0.757342292952822,1.06796116504854,0.599054348403549,0.79583488285608,0.539236773502162,0.359695262250025,2.46734056379348,0.44444881232003,0.780650975521618,4.57561628775599,"QuBE",1.54,"ok" "szymanski-10-s-shuffled",1,15059,700,15759,18823,48921,2,1,3,1,7546,11276,11117,14980,1.80688519364607,0.792116028263295,7529.5,0.409074005206396,0.383042023056898,1.44844216003554,21.3,11,3.84694460308395,42.6,22,3.78172521415765,0.533411009586885,0.309192371374256,0.55526153303343,0.7237018585936,0.147380470554568,1.06796116504854,0.874726959187584,0.72753953417207,0.403974717086811,0.516431924882629,0.55526153303343,0.757342292952822,1.06796116504854,0.599054348403549,0.79583488285608,0.539236773502162,0.359695262250025,2.46734056379348,0.44444881232003,0.780650975521618,4.57561628775599,"sKizzo",1295.34,"ok" "szymanski-10-s-shuffled",1,15059,700,15759,18823,48921,2,1,3,1,7546,11276,11117,14980,1.80688519364607,0.792116028263295,7529.5,0.409074005206396,0.383042023056898,1.44844216003554,21.3,11,3.84694460308395,42.6,22,3.78172521415765,0.533411009586885,0.309192371374256,0.55526153303343,0.7237018585936,0.147380470554568,1.06796116504854,0.874726959187584,0.72753953417207,0.403974717086811,0.516431924882629,0.55526153303343,0.757342292952822,1.06796116504854,0.599054348403549,0.79583488285608,0.539236773502162,0.359695262250025,2.46734056379348,0.44444881232003,0.780650975521618,4.57561628775599,"sSolve",1.7,"ok" "szymanski-24-s-shuffled",1,256559,5040,261599,319011,832081,2,1,3,1,124950,194060,185935,256032,1.8073138543812,0.801000592456059,128279.5,0.41708906589428,0.38391152656178,1.49127481374164,50.7,26.4,3.96118486691463,101.4,52.8,3.77142489641759,0.531156221569775,0.308936269425693,0.55414333114215,0.722892084214814,0.147187593515535,1.08641975308642,0.882685280508638,0.706516739915002,0.391511539764191,0.520710059171598,0.55414333114215,0.737093013063315,1.08641975308642,0.608317581525402,0.802580475281417,0.537123123742852,0.350765734476,5.67906243760327,0.445769178007262,0.757794896371395,10.5731110551147,"X2clsQ",3600,"memout" "szymanski-24-s-shuffled",1,256559,5040,261599,319011,832081,2,1,3,1,124950,194060,185935,256032,1.8073138543812,0.801000592456059,128279.5,0.41708906589428,0.38391152656178,1.49127481374164,50.7,26.4,3.96118486691463,101.4,52.8,3.77142489641759,0.531156221569775,0.308936269425693,0.55414333114215,0.722892084214814,0.147187593515535,1.08641975308642,0.882685280508638,0.706516739915002,0.391511539764191,0.520710059171598,0.55414333114215,0.737093013063315,1.08641975308642,0.608317581525402,0.802580475281417,0.537123123742852,0.350765734476,5.67906243760327,0.445769178007262,0.757794896371395,10.5731110551147,"quantor",3600,"memout" "szymanski-24-s-shuffled",1,256559,5040,261599,319011,832081,2,1,3,1,124950,194060,185935,256032,1.8073138543812,0.801000592456059,128279.5,0.41708906589428,0.38391152656178,1.49127481374164,50.7,26.4,3.96118486691463,101.4,52.8,3.77142489641759,0.531156221569775,0.308936269425693,0.55414333114215,0.722892084214814,0.147187593515535,1.08641975308642,0.882685280508638,0.706516739915002,0.391511539764191,0.520710059171598,0.55414333114215,0.737093013063315,1.08641975308642,0.608317581525402,0.802580475281417,0.537123123742852,0.350765734476,5.67906243760327,0.445769178007262,0.757794896371395,10.5731110551147,"QuBE",221.54,"ok" "szymanski-24-s-shuffled",1,256559,5040,261599,319011,832081,2,1,3,1,124950,194060,185935,256032,1.8073138543812,0.801000592456059,128279.5,0.41708906589428,0.38391152656178,1.49127481374164,50.7,26.4,3.96118486691463,101.4,52.8,3.77142489641759,0.531156221569775,0.308936269425693,0.55414333114215,0.722892084214814,0.147187593515535,1.08641975308642,0.882685280508638,0.706516739915002,0.391511539764191,0.520710059171598,0.55414333114215,0.737093013063315,1.08641975308642,0.608317581525402,0.802580475281417,0.537123123742852,0.350765734476,5.67906243760327,0.445769178007262,0.757794896371395,10.5731110551147,"sKizzo",3600,"memout" "szymanski-24-s-shuffled",1,256559,5040,261599,319011,832081,2,1,3,1,124950,194060,185935,256032,1.8073138543812,0.801000592456059,128279.5,0.41708906589428,0.38391152656178,1.49127481374164,50.7,26.4,3.96118486691463,101.4,52.8,3.77142489641759,0.531156221569775,0.308936269425693,0.55414333114215,0.722892084214814,0.147187593515535,1.08641975308642,0.882685280508638,0.706516739915002,0.391511539764191,0.520710059171598,0.55414333114215,0.737093013063315,1.08641975308642,0.608317581525402,0.802580475281417,0.537123123742852,0.350765734476,5.67906243760327,0.445769178007262,0.757794896371395,10.5731110551147,"sSolve",207.35,"ok" "T-adeu-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,6.93444676409186,136.65,77.45,34.0043196544276,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.661503342572966,0.21954610172846,2.88977661829447,0.273051505297388,0.648591638680466,4.36849889080601,"X2clsQ",3600,"timeout" "T-adeu-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,6.93444676409186,136.65,77.45,34.0043196544276,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.661503342572966,0.21954610172846,2.88977661829447,0.273051505297388,0.648591638680466,4.36849889080601,"quantor",3600,"memout" "T-adeu-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,6.93444676409186,136.65,77.45,34.0043196544276,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.661503342572966,0.21954610172846,2.88977661829447,0.273051505297388,0.648591638680466,4.36849889080601,"QuBE",3.3,"ok" "T-adeu-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,6.93444676409186,136.65,77.45,34.0043196544276,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.661503342572966,0.21954610172846,2.88977661829447,0.273051505297388,0.648591638680466,4.36849889080601,"sKizzo",4.82,"ok" "T-adeu-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,6.93444676409186,136.65,77.45,34.0043196544276,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.661503342572966,0.21954610172846,2.88977661829447,0.273051505297388,0.648591638680466,4.36849889080601,"sSolve",1.1,"ok" "T-adeu-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"X2clsQ",3600,"timeout" "T-adeu-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"quantor",3600,"memout" "T-adeu-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"QuBE",3.72,"ok" "T-adeu-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"sKizzo",3.05,"ok" "T-adeu-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"sSolve",1.32,"ok" "T-adeu-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,38.0682505399568,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"X2clsQ",3600,"timeout" "T-adeu-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,38.0682505399568,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"quantor",3600,"memout" "T-adeu-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,38.0682505399568,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"QuBE",3.76,"ok" "T-adeu-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,38.0682505399568,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"sKizzo",3.37,"ok" "T-adeu-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,38.0682505399568,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"sSolve",1.07,"ok" "T-adeu-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"X2clsQ",3600,"timeout" "T-adeu-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"quantor",3600,"memout" "T-adeu-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"QuBE",4.37,"ok" "T-adeu-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"sKizzo",4.84,"ok" "T-adeu-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.87432150313152,134.85,75.65,31.7442764578834,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.659440675161344,0.222815859986869,2.93281482707265,0.277239322611567,0.654264451846768,4.4474278544542,"sSolve",1.12,"ok" "T-adeu-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,6.91440501043841,135.3,76.85,30.9460043196544,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.661072451903397,0.2204627493902,2.8650787965616,0.273740666736776,0.650471614444758,4.33398606811146,"X2clsQ",4.42,"ok" "T-adeu-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,6.91440501043841,135.3,76.85,30.9460043196544,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.661072451903397,0.2204627493902,2.8650787965616,0.273740666736776,0.650471614444758,4.33398606811146,"quantor",3600,"memout" "T-adeu-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,6.91440501043841,135.3,76.85,30.9460043196544,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.661072451903397,0.2204627493902,2.8650787965616,0.273740666736776,0.650471614444758,4.33398606811146,"QuBE",2.38,"ok" "T-adeu-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,6.91440501043841,135.3,76.85,30.9460043196544,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.661072451903397,0.2204627493902,2.8650787965616,0.273740666736776,0.650471614444758,4.33398606811146,"sKizzo",3.61,"ok" "T-adeu-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,6.91440501043841,135.3,76.85,30.9460043196544,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.661072451903397,0.2204627493902,2.8650787965616,0.273740666736776,0.650471614444758,4.33398606811146,"sSolve",1.28,"ok" "T-adeu-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,6.91440501043841,136.05,76.85,29.8989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.660822546288711,0.220625305898844,2.90398164836965,0.274433315761729,0.650471614444758,4.39449541284404,"X2clsQ",22.94,"ok" "T-adeu-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,6.91440501043841,136.05,76.85,29.8989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.660822546288711,0.220625305898844,2.90398164836965,0.274433315761729,0.650471614444758,4.39449541284404,"quantor",3600,"memout" "T-adeu-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,6.91440501043841,136.05,76.85,29.8989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.660822546288711,0.220625305898844,2.90398164836965,0.274433315761729,0.650471614444758,4.39449541284404,"QuBE",4.1,"ok" "T-adeu-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,6.91440501043841,136.05,76.85,29.8989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.660822546288711,0.220625305898844,2.90398164836965,0.274433315761729,0.650471614444758,4.39449541284404,"sKizzo",2.67,"ok" "T-adeu-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,6.91440501043841,136.05,76.85,29.8989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.660822546288711,0.220625305898844,2.90398164836965,0.274433315761729,0.650471614444758,4.39449541284404,"sSolve",1.11,"ok" "T-adeu-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,31.0289416846652,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"X2clsQ",3600,"timeout" "T-adeu-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,31.0289416846652,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"quantor",3600,"memout" "T-adeu-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,31.0289416846652,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"QuBE",3.98,"ok" "T-adeu-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,31.0289416846652,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"sKizzo",2.69,"ok" "T-adeu-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,6.93444676409186,135.9,77.45,31.0289416846652,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.661751527494908,0.219385131063242,2.85107433808554,0.272365805168986,0.648591638680466,4.30837590791579,"sSolve",1.16,"ok" "T-adeu-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.87432150313152,132.6,75.65,32.8742980561555,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.660199785354578,0.222319201172401,2.81505923388095,0.275129478913434,0.654264451846768,4.26395054395398,"X2clsQ",45.03,"ok" "T-adeu-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.87432150313152,132.6,75.65,32.8742980561555,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.660199785354578,0.222319201172401,2.81505923388095,0.275129478913434,0.654264451846768,4.26395054395398,"quantor",3600,"memout" "T-adeu-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.87432150313152,132.6,75.65,32.8742980561555,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.660199785354578,0.222319201172401,2.81505923388095,0.275129478913434,0.654264451846768,4.26395054395398,"QuBE",5.58,"ok" "T-adeu-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.87432150313152,132.6,75.65,32.8742980561555,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.660199785354578,0.222319201172401,2.81505923388095,0.275129478913434,0.654264451846768,4.26395054395398,"sKizzo",4.52,"ok" "T-adeu-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.87432150313152,132.6,75.65,32.8742980561555,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.660199785354578,0.222319201172401,2.81505923388095,0.275129478913434,0.654264451846768,4.26395054395398,"sSolve",1.34,"ok" "T-adeu-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.89436325678497,134.7,76.25,32.8742980561555,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.660386672151378,0.221551006483035,2.87922151378034,0.275129478913434,0.652362520300702,4.35990251650679,"X2clsQ",2.19,"ok" "T-adeu-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.89436325678497,134.7,76.25,32.8742980561555,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.660386672151378,0.221551006483035,2.87922151378034,0.275129478913434,0.652362520300702,4.35990251650679,"quantor",3600,"memout" "T-adeu-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.89436325678497,134.7,76.25,32.8742980561555,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.660386672151378,0.221551006483035,2.87922151378034,0.275129478913434,0.652362520300702,4.35990251650679,"QuBE",4.13,"ok" "T-adeu-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.89436325678497,134.7,76.25,32.8742980561555,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.660386672151378,0.221551006483035,2.87922151378034,0.275129478913434,0.652362520300702,4.35990251650679,"sKizzo",4.19,"ok" "T-adeu-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.89436325678497,134.7,76.25,32.8742980561555,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.660386672151378,0.221551006483035,2.87922151378034,0.275129478913434,0.652362520300702,4.35990251650679,"sSolve",1.19,"ok" "T-adeu-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.87432150313152,133.35,75.65,32.8328293736501,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.659947124917383,0.222484507914846,2.85425272637145,0.275829183003073,0.654264451846768,4.32497183274912,"X2clsQ",3600,"timeout" "T-adeu-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.87432150313152,133.35,75.65,32.8328293736501,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.659947124917383,0.222484507914846,2.85425272637145,0.275829183003073,0.654264451846768,4.32497183274912,"quantor",3600,"memout" "T-adeu-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.87432150313152,133.35,75.65,32.8328293736501,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.659947124917383,0.222484507914846,2.85425272637145,0.275829183003073,0.654264451846768,4.32497183274912,"QuBE",3.5,"ok" "T-adeu-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.87432150313152,133.35,75.65,32.8328293736501,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.659947124917383,0.222484507914846,2.85425272637145,0.275829183003073,0.654264451846768,4.32497183274912,"sKizzo",4.56,"ok" "T-adeu-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.87432150313152,133.35,75.65,32.8328293736501,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.659947124917383,0.222484507914846,2.85425272637145,0.275829183003073,0.654264451846768,4.32497183274912,"sSolve",1.1,"ok" "T-edau-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,7.02463465553236,136.65,77.45,39.8099352051836,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.665447025135221,0.218646084514321,2.81944002545339,0.270047769082224,0.653546974444612,4.23691130767392,"X2clsQ",3.3,"ok" "T-edau-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,7.02463465553236,136.65,77.45,39.8099352051836,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.665447025135221,0.218646084514321,2.81944002545339,0.270047769082224,0.653546974444612,4.23691130767392,"quantor",3600,"memout" "T-edau-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,7.02463465553236,136.65,77.45,39.8099352051836,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.665447025135221,0.218646084514321,2.81944002545339,0.270047769082224,0.653546974444612,4.23691130767392,"QuBE",2.34,"ok" "T-edau-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,7.02463465553236,136.65,77.45,39.8099352051836,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.665447025135221,0.218646084514321,2.81944002545339,0.270047769082224,0.653546974444612,4.23691130767392,"sKizzo",4.04,"ok" "T-edau-0",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.70950096776908,0.974164773205419,2315,0.543297147185054,0.430867626020365,2.48225469728601,72.35,40.35,7.02463465553236,136.65,77.45,39.8099352051836,0.627155848228285,0.170398243963625,0.73249975386433,0.744,0.160551897146441,1.2609375,0.5945,0.659015229863455,0.482728493667825,0.557705597788528,0.73249975386433,0.769710583153348,1.2609375,0.216191197509047,0.62416898089708,0.665447025135221,0.218646084514321,2.81944002545339,0.270047769082224,0.653546974444612,4.23691130767392,"sSolve",1.2,"ok" "T-edau-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"X2clsQ",3.17,"ok" "T-edau-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"quantor",3600,"memout" "T-edau-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"QuBE",2.41,"ok" "T-edau-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"sKizzo",2.64,"ok" "T-edau-1",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"sSolve",1.28,"ok" "T-edau-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,44.8794816414687,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"X2clsQ",2.17,"ok" "T-edau-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,44.8794816414687,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"quantor",3600,"memout" "T-edau-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,44.8794816414687,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"QuBE",2.74,"ok" "T-edau-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,44.8794816414687,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"sKizzo",3.07,"ok" "T-edau-2",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,44.8794816414687,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"sSolve",1.24,"ok" "T-edau-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"X2clsQ",7.89,"ok" "T-edau-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"quantor",3600,"memout" "T-edau-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"QuBE",2.49,"ok" "T-edau-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"sKizzo",4.41,"ok" "T-edau-3",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.6998040718971,0.973847857568788,2315,0.537694863276259,0.436152994292529,2.45219206680585,71.45,39.45,6.96450939457203,134.85,75.65,37.0211663066955,0.625756706811954,0.173134518575161,0.727673649393605,0.739307535641548,0.163130057987638,1.2328125,0.59806517311609,0.657731315732937,0.478613746839844,0.552134359692092,0.727673649393605,0.764855960199355,1.2328125,0.218843172331544,0.619558735837806,0.663003070955229,0.222144704583323,2.86455471149184,0.274568564637801,0.659189106598091,4.32057532910775,"sSolve",1.15,"ok" "T-edau-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,7.00459290187891,135.3,76.85,36.0051835853132,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.665042728216596,0.21953666436499,2.79505930037537,0.270708099847173,0.655416922869346,4.20282664825267,"X2clsQ",6.92,"ok" "T-edau-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,7.00459290187891,135.3,76.85,36.0051835853132,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.665042728216596,0.21953666436499,2.79505930037537,0.270708099847173,0.655416922869346,4.20282664825267,"quantor",3600,"memout" "T-edau-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,7.00459290187891,135.3,76.85,36.0051835853132,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.665042728216596,0.21953666436499,2.79505930037537,0.270708099847173,0.655416922869346,4.20282664825267,"QuBE",2.13,"ok" "T-edau-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,7.00459290187891,135.3,76.85,36.0051835853132,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.665042728216596,0.21953666436499,2.79505930037537,0.270708099847173,0.655416922869346,4.20282664825267,"sKizzo",3.46,"ok" "T-edau-4",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.71237853823405,0.967976341360372,2315,0.541444866920152,0.42653147444022,2.47223382045929,71.6,40.05,7.00459290187891,135.3,76.85,36.0051835853132,0.626694407666604,0.17130067461068,0.731866179808546,0.746076458752515,0.159132463274699,1.26941362916006,0.595674044265594,0.66093994492924,0.483719592578234,0.559357541899441,0.731866179808546,0.771858798579816,1.26941362916006,0.217068018588931,0.622644697929869,0.665042728216596,0.21953666436499,2.79505930037537,0.270708099847173,0.655416922869346,4.20282664825267,"sSolve",1.33,"ok" "T-edau-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,7.00459290187891,136.05,76.85,34.6989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.66464097233328,0.219799981969778,2.83431952662722,0.271538068472151,0.655416922869346,4.26443695861405,"X2clsQ",2.22,"ok" "T-edau-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,7.00459290187891,136.05,76.85,34.6989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.66464097233328,0.219799981969778,2.83431952662722,0.271538068472151,0.655416922869346,4.26443695861405,"quantor",3600,"memout" "T-edau-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,7.00459290187891,136.05,76.85,34.6989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.66464097233328,0.219799981969778,2.83431952662722,0.271538068472151,0.655416922869346,4.26443695861405,"QuBE",2.92,"ok" "T-edau-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,7.00459290187891,136.05,76.85,34.6989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.66464097233328,0.219799981969778,2.83431952662722,0.271538068472151,0.655416922869346,4.26443695861405,"sKizzo",2.66,"ok" "T-edau-5",1,4630,160,4790,11835,31722,2,2,4,0,9266,2569,11739,7369,1.70629488804394,0.974059991550486,2315,0.541444866920152,0.432615124630334,2.47223382045929,72.05,40.05,7.00459290187891,136.05,76.85,34.6989200863931,0.626694407666604,0.17130067461068,0.730910171338021,0.742454728370221,0.161402181451359,1.2515625,0.595674044265594,0.65859179156721,0.481371439216204,0.555863983344899,0.730910171338021,0.768111911208069,1.2515625,0.217068018588931,0.622644697929869,0.66464097233328,0.219799981969778,2.83431952662722,0.271538068472151,0.655416922869346,4.26443695861405,"sSolve",1.19,"ok" "T-edau-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,36.0984881209503,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"X2clsQ",4.38,"ok" "T-edau-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,36.0984881209503,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"quantor",3600,"memout" "T-edau-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,36.0984881209503,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"QuBE",2.85,"ok" "T-edau-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,36.0984881209503,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"sKizzo",2.71,"ok" "T-edau-6",1,4630,160,4790,11883,31890,2,2,4,0,9314,2569,11787,7417,1.71556004375999,0.968105697214508,2315,0.543297147185054,0.424808550029454,2.48225469728601,71.9,40.35,7.02463465553236,135.9,77.45,36.0984881209503,0.627155848228285,0.170398243963625,0.733444520749534,0.7476,0.158294136092819,1.27892234548336,0.5945,0.661351012897331,0.485064276701702,0.561196105702364,0.733444520749534,0.773434989200864,1.27892234548336,0.216191197509047,0.62416898089708,0.665845713831731,0.218385522722971,2.78040339238897,0.269226872023508,0.653546974444612,4.17574722586803,"sSolve",1.3,"ok" "T-edau-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.96450939457203,132.6,75.65,38.431101511879,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.664224172638699,0.221339767655531,2.74569711732024,0.272038496979625,0.659189106598091,4.13369044732695,"X2clsQ",4.08,"ok" "T-edau-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.96450939457203,132.6,75.65,38.431101511879,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.664224172638699,0.221339767655531,2.74569711732024,0.272038496979625,0.659189106598091,4.13369044732695,"quantor",3600,"memout" "T-edau-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.96450939457203,132.6,75.65,38.431101511879,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.664224172638699,0.221339767655531,2.74569711732024,0.272038496979625,0.659189106598091,4.13369044732695,"QuBE",3.64,"ok" "T-edau-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.96450939457203,132.6,75.65,38.431101511879,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.664224172638699,0.221339767655531,2.74569711732024,0.272038496979625,0.659189106598091,4.13369044732695,"sKizzo",2.54,"ok" "T-edau-7",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71820427634381,0.955447653122072,2315,0.537694863276259,0.417752789845813,2.45219206680585,70.1,39.45,6.96450939457203,132.6,75.65,38.431101511879,0.625756706811954,0.173134518575161,0.730589985126425,0.75030549898167,0.156248008666284,1.28711256117455,0.59806517311609,0.664851189652868,0.485733620759775,0.562767475035663,0.730589985126425,0.776233982747775,1.28711256117455,0.218843172331544,0.619558735837806,0.664224172638699,0.221339767655531,2.74569711732024,0.272038496979625,0.659189106598091,4.13369044732695,"sSolve",1.42,"ok" "T-edau-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.98455114822547,134.7,76.25,38.4207343412527,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.664231232436772,0.220700005982661,2.80987053392212,0.272205716627395,0.657297602705413,4.23025957935453,"X2clsQ",5.54,"ok" "T-edau-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.98455114822547,134.7,76.25,38.4207343412527,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.664231232436772,0.220700005982661,2.80987053392212,0.272205716627395,0.657297602705413,4.23025957935453,"quantor",3600,"memout" "T-edau-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.98455114822547,134.7,76.25,38.4207343412527,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.664231232436772,0.220700005982661,2.80987053392212,0.272205716627395,0.657297602705413,4.23025957935453,"QuBE",3.14,"ok" "T-edau-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.98455114822547,134.7,76.25,38.4207343412527,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.664231232436772,0.220700005982661,2.80987053392212,0.272205716627395,0.657297602705413,4.23025957935453,"sKizzo",3,"ok" "T-edau-8",1,4630,160,4790,11787,31554,2,2,4,0,9218,2569,11691,7321,1.70917112072622,0.967845931958938,2315,0.539577500636294,0.428268431322644,2.46221294363257,71.3,39.75,6.98455114822547,134.7,76.25,38.4207343412527,0.626228053495595,0.172212714711289,0.73026903603693,0.744534412955466,0.159979717310008,1.25990491283677,0.596862348178138,0.660524499739964,0.482360589703879,0.55750350631136,0.73026903603693,0.770263463943128,1.25990491283677,0.217951980996013,0.621108000339357,0.664231232436772,0.220700005982661,2.80987053392212,0.272205716627395,0.657297602705413,4.23025957935453,"sSolve",1.39,"ok" "T-edau-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.96450939457203,133.35,75.65,38.3792656587473,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.663818123186069,0.221607431031445,2.78522049338923,0.272876656054226,0.659189106598091,4.1957584406121,"X2clsQ",1.98,"ok" "T-edau-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.96450939457203,133.35,75.65,38.3792656587473,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.663818123186069,0.221607431031445,2.78522049338923,0.272876656054226,0.659189106598091,4.1957584406121,"quantor",3600,"memout" "T-edau-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.96450939457203,133.35,75.65,38.3792656587473,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.663818123186069,0.221607431031445,2.78522049338923,0.272876656054226,0.659189106598091,4.1957584406121,"QuBE",2.45,"ok" "T-edau-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.96450939457203,133.35,75.65,38.3792656587473,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.663818123186069,0.221607431031445,2.78522049338923,0.272876656054226,0.659189106598091,4.1957584406121,"sKizzo",2.58,"ok" "T-edau-9",1,4630,160,4790,11739,31386,2,2,4,0,9170,2569,11643,7273,1.71207087486157,0.96158105460431,2315,0.537694863276259,0.423886191328052,2.45219206680585,70.55,39.45,6.96450939457203,133.35,75.65,38.3792656587473,0.625756706811954,0.173134518575161,0.729624838292367,0.746639511201629,0.158542025106735,1.26848874598071,0.59806517311609,0.662477898346224,0.483360329453131,0.559177888022679,0.729624838292367,0.772441308564969,1.26848874598071,0.218843172331544,0.619558735837806,0.663818123186069,0.221607431031445,2.78522049338923,0.272876656054226,0.659189106598091,4.1957584406121,"sSolve",1.15,"ok" "term1.blif_0.10_0.20_0_0_out_exact-shuffled",1,1010,10,1020,3528,8659,2,1,3,0,2635,893,2648,2181,2.44132653061224,0.0130385487528345,505,0.00651927437641723,0.00651927437641723,4.01176470588235,4.6,2.3,9.65588235294118,9.2,4.6,100.383168316832,0.527428109481464,0.469915694652962,0.527574596540114,0.994963871250274,0.00265619586557339,1,0.895992993212174,1.00453600042993,0.529967675136841,0.5,0.527574596540114,1.0048149986884,1,0.2531179138322,0.618197278911565,0.533731004118733,0.468686238031558,0.222127538701889,0.466121439779373,1.00518422235157,0.41617881852049,"X2clsQ",53.58,"ok" "term1.blif_0.10_0.20_0_0_out_exact-shuffled",1,1010,10,1020,3528,8659,2,1,3,0,2635,893,2648,2181,2.44132653061224,0.0130385487528345,505,0.00651927437641723,0.00651927437641723,4.01176470588235,4.6,2.3,9.65588235294118,9.2,4.6,100.383168316832,0.527428109481464,0.469915694652962,0.527574596540114,0.994963871250274,0.00265619586557339,1,0.895992993212174,1.00453600042993,0.529967675136841,0.5,0.527574596540114,1.0048149986884,1,0.2531179138322,0.618197278911565,0.533731004118733,0.468686238031558,0.222127538701889,0.466121439779373,1.00518422235157,0.41617881852049,"quantor",2547.78,"ok" "term1.blif_0.10_0.20_0_0_out_exact-shuffled",1,1010,10,1020,3528,8659,2,1,3,0,2635,893,2648,2181,2.44132653061224,0.0130385487528345,505,0.00651927437641723,0.00651927437641723,4.01176470588235,4.6,2.3,9.65588235294118,9.2,4.6,100.383168316832,0.527428109481464,0.469915694652962,0.527574596540114,0.994963871250274,0.00265619586557339,1,0.895992993212174,1.00453600042993,0.529967675136841,0.5,0.527574596540114,1.0048149986884,1,0.2531179138322,0.618197278911565,0.533731004118733,0.468686238031558,0.222127538701889,0.466121439779373,1.00518422235157,0.41617881852049,"QuBE",3600,"timeout" "term1.blif_0.10_0.20_0_0_out_exact-shuffled",1,1010,10,1020,3528,8659,2,1,3,0,2635,893,2648,2181,2.44132653061224,0.0130385487528345,505,0.00651927437641723,0.00651927437641723,4.01176470588235,4.6,2.3,9.65588235294118,9.2,4.6,100.383168316832,0.527428109481464,0.469915694652962,0.527574596540114,0.994963871250274,0.00265619586557339,1,0.895992993212174,1.00453600042993,0.529967675136841,0.5,0.527574596540114,1.0048149986884,1,0.2531179138322,0.618197278911565,0.533731004118733,0.468686238031558,0.222127538701889,0.466121439779373,1.00518422235157,0.41617881852049,"sKizzo",3600,"memout" "term1.blif_0.10_0.20_0_0_out_exact-shuffled",1,1010,10,1020,3528,8659,2,1,3,0,2635,893,2648,2181,2.44132653061224,0.0130385487528345,505,0.00651927437641723,0.00651927437641723,4.01176470588235,4.6,2.3,9.65588235294118,9.2,4.6,100.383168316832,0.527428109481464,0.469915694652962,0.527574596540114,0.994963871250274,0.00265619586557339,1,0.895992993212174,1.00453600042993,0.529967675136841,0.5,0.527574596540114,1.0048149986884,1,0.2531179138322,0.618197278911565,0.533731004118733,0.468686238031558,0.222127538701889,0.466121439779373,1.00518422235157,0.41617881852049,"sSolve",3600,"timeout" "term1.blif_0.10_0.20_0_1_inp_exact-shuffled",1,1105,11,1116,3763,9174,12,11,23,0,2843,920,2844,2368,2.42466117459474,0.0132872707945788,92.0833333333333,0.0066436353972894,0.0066436353972894,3.89336917562724,4.54545454545455,2.27272727272727,88.8548387096774,54.9090909090909,27.4545454545455,1899.51402714932,0.526378896882494,0.470896010464356,0.526523454625164,0.99482294470905,0.00272509265315021,1,0.899772209567198,1.00445031058658,0.528866647529364,0.5,0.526523454625164,1.00472615954326,1,0.24448578262025,0.629285144831252,0.526573600183332,0.476683058479263,0.146280234165041,0.473349548962902,1.00687891225301,0.2777963690434,"X2clsQ",0.88,"ok" "term1.blif_0.10_0.20_0_1_inp_exact-shuffled",1,1105,11,1116,3763,9174,12,11,23,0,2843,920,2844,2368,2.42466117459474,0.0132872707945788,92.0833333333333,0.0066436353972894,0.0066436353972894,3.89336917562724,4.54545454545455,2.27272727272727,88.8548387096774,54.9090909090909,27.4545454545455,1899.51402714932,0.526378896882494,0.470896010464356,0.526523454625164,0.99482294470905,0.00272509265315021,1,0.899772209567198,1.00445031058658,0.528866647529364,0.5,0.526523454625164,1.00472615954326,1,0.24448578262025,0.629285144831252,0.526573600183332,0.476683058479263,0.146280234165041,0.473349548962902,1.00687891225301,0.2777963690434,"quantor",71.48,"ok" "term1.blif_0.10_0.20_0_1_inp_exact-shuffled",1,1105,11,1116,3763,9174,12,11,23,0,2843,920,2844,2368,2.42466117459474,0.0132872707945788,92.0833333333333,0.0066436353972894,0.0066436353972894,3.89336917562724,4.54545454545455,2.27272727272727,88.8548387096774,54.9090909090909,27.4545454545455,1899.51402714932,0.526378896882494,0.470896010464356,0.526523454625164,0.99482294470905,0.00272509265315021,1,0.899772209567198,1.00445031058658,0.528866647529364,0.5,0.526523454625164,1.00472615954326,1,0.24448578262025,0.629285144831252,0.526573600183332,0.476683058479263,0.146280234165041,0.473349548962902,1.00687891225301,0.2777963690434,"QuBE",0.3,"ok" "term1.blif_0.10_0.20_0_1_inp_exact-shuffled",1,1105,11,1116,3763,9174,12,11,23,0,2843,920,2844,2368,2.42466117459474,0.0132872707945788,92.0833333333333,0.0066436353972894,0.0066436353972894,3.89336917562724,4.54545454545455,2.27272727272727,88.8548387096774,54.9090909090909,27.4545454545455,1899.51402714932,0.526378896882494,0.470896010464356,0.526523454625164,0.99482294470905,0.00272509265315021,1,0.899772209567198,1.00445031058658,0.528866647529364,0.5,0.526523454625164,1.00472615954326,1,0.24448578262025,0.629285144831252,0.526573600183332,0.476683058479263,0.146280234165041,0.473349548962902,1.00687891225301,0.2777963690434,"sKizzo",2534.33,"ok" "term1.blif_0.10_0.20_0_1_inp_exact-shuffled",1,1105,11,1116,3763,9174,12,11,23,0,2843,920,2844,2368,2.42466117459474,0.0132872707945788,92.0833333333333,0.0066436353972894,0.0066436353972894,3.89336917562724,4.54545454545455,2.27272727272727,88.8548387096774,54.9090909090909,27.4545454545455,1899.51402714932,0.526378896882494,0.470896010464356,0.526523454625164,0.99482294470905,0.00272509265315021,1,0.899772209567198,1.00445031058658,0.528866647529364,0.5,0.526523454625164,1.00472615954326,1,0.24448578262025,0.629285144831252,0.526573600183332,0.476683058479263,0.146280234165041,0.473349548962902,1.00687891225301,0.2777963690434,"sSolve",0.83,"ok" "term1.blif_0.10_1.00_0_0_out_exact-shuffled",1,1110,1,1111,3871,9488,2,1,3,0,2897,974,2900,2386,2.44794626711444,0.00309997416688194,555,0.00154998708344097,0.00154998708344097,4.03600360036004,12,6,9.73177317731773,24,12,107.771171171171,0.527403035413153,0.471964586846543,0.527437737441959,0.998800959232614,0.000632377740303541,1,0.896083133493206,0.999635006000942,0.527245225832916,0.5,0.527437737441959,0.999700779916607,1,0.251614569878584,0.61637819684836,0.533643892339544,0.466258183649488,0.575051759834369,0.466321243523316,0.999790023097459,1.07759456838021,"X2clsQ",0.48,"ok" "term1.blif_0.10_1.00_0_0_out_exact-shuffled",1,1110,1,1111,3871,9488,2,1,3,0,2897,974,2900,2386,2.44794626711444,0.00309997416688194,555,0.00154998708344097,0.00154998708344097,4.03600360036004,12,6,9.73177317731773,24,12,107.771171171171,0.527403035413153,0.471964586846543,0.527437737441959,0.998800959232614,0.000632377740303541,1,0.896083133493206,0.999635006000942,0.527245225832916,0.5,0.527437737441959,0.999700779916607,1,0.251614569878584,0.61637819684836,0.533643892339544,0.466258183649488,0.575051759834369,0.466321243523316,0.999790023097459,1.07759456838021,"quantor",0.13,"ok" "term1.blif_0.10_1.00_0_0_out_exact-shuffled",1,1110,1,1111,3871,9488,2,1,3,0,2897,974,2900,2386,2.44794626711444,0.00309997416688194,555,0.00154998708344097,0.00154998708344097,4.03600360036004,12,6,9.73177317731773,24,12,107.771171171171,0.527403035413153,0.471964586846543,0.527437737441959,0.998800959232614,0.000632377740303541,1,0.896083133493206,0.999635006000942,0.527245225832916,0.5,0.527437737441959,0.999700779916607,1,0.251614569878584,0.61637819684836,0.533643892339544,0.466258183649488,0.575051759834369,0.466321243523316,0.999790023097459,1.07759456838021,"QuBE",11.42,"ok" "term1.blif_0.10_1.00_0_0_out_exact-shuffled",1,1110,1,1111,3871,9488,2,1,3,0,2897,974,2900,2386,2.44794626711444,0.00309997416688194,555,0.00154998708344097,0.00154998708344097,4.03600360036004,12,6,9.73177317731773,24,12,107.771171171171,0.527403035413153,0.471964586846543,0.527437737441959,0.998800959232614,0.000632377740303541,1,0.896083133493206,0.999635006000942,0.527245225832916,0.5,0.527437737441959,0.999700779916607,1,0.251614569878584,0.61637819684836,0.533643892339544,0.466258183649488,0.575051759834369,0.466321243523316,0.999790023097459,1.07759456838021,"sKizzo",0.18,"ok" "term1.blif_0.10_1.00_0_0_out_exact-shuffled",1,1110,1,1111,3871,9488,2,1,3,0,2897,974,2900,2386,2.44794626711444,0.00309997416688194,555,0.00154998708344097,0.00154998708344097,4.03600360036004,12,6,9.73177317731773,24,12,107.771171171171,0.527403035413153,0.471964586846543,0.527437737441959,0.998800959232614,0.000632377740303541,1,0.896083133493206,0.999635006000942,0.527245225832916,0.5,0.527437737441959,0.999700779916607,1,0.251614569878584,0.61637819684836,0.533643892339544,0.466258183649488,0.575051759834369,0.466321243523316,0.999790023097459,1.07759456838021,"sSolve",84.8,"ok" "test1_quant_squaring2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"X2clsQ",3600,"timeout" "test1_quant_squaring2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"quantor",3600,"memout" "test1_quant_squaring2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"QuBE",3600,"timeout" "test1_quant_squaring2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"sKizzo",3600,"memout" "test1_quant_squaring2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"sSolve",3600,"timeout" "test1_quant_squaring3",1,1038,156,1194,3016,8020,3,2,5,1,1026,1989,2517,1375,1.94098143236074,0.71816976127321,346,0.359084880636605,0.359084880636605,3.14405360134003,13.8846153846154,6.94230769230769,11.2219430485762,43.5384615384615,21.7692307692308,30.9643545279383,0.531920199501247,0.333042394014963,0.543730782371028,0.746132208157525,0.135037406483791,1,0.879981247069855,0.839624926123996,0.456529917979617,0.5,0.543730782371028,0.85826768452802,1,0.65948275862069,0.455901856763926,0.537518983846472,0.3971538395852,0.897157998704319,0.450991884580703,0.858746252739938,1.66907221077901,"X2clsQ",3600,"timeout" "test1_quant_squaring3",1,1038,156,1194,3016,8020,3,2,5,1,1026,1989,2517,1375,1.94098143236074,0.71816976127321,346,0.359084880636605,0.359084880636605,3.14405360134003,13.8846153846154,6.94230769230769,11.2219430485762,43.5384615384615,21.7692307692308,30.9643545279383,0.531920199501247,0.333042394014963,0.543730782371028,0.746132208157525,0.135037406483791,1,0.879981247069855,0.839624926123996,0.456529917979617,0.5,0.543730782371028,0.85826768452802,1,0.65948275862069,0.455901856763926,0.537518983846472,0.3971538395852,0.897157998704319,0.450991884580703,0.858746252739938,1.66907221077901,"quantor",3600,"memout" "test1_quant_squaring3",1,1038,156,1194,3016,8020,3,2,5,1,1026,1989,2517,1375,1.94098143236074,0.71816976127321,346,0.359084880636605,0.359084880636605,3.14405360134003,13.8846153846154,6.94230769230769,11.2219430485762,43.5384615384615,21.7692307692308,30.9643545279383,0.531920199501247,0.333042394014963,0.543730782371028,0.746132208157525,0.135037406483791,1,0.879981247069855,0.839624926123996,0.456529917979617,0.5,0.543730782371028,0.85826768452802,1,0.65948275862069,0.455901856763926,0.537518983846472,0.3971538395852,0.897157998704319,0.450991884580703,0.858746252739938,1.66907221077901,"QuBE",3600,"memout" "test1_quant_squaring3",1,1038,156,1194,3016,8020,3,2,5,1,1026,1989,2517,1375,1.94098143236074,0.71816976127321,346,0.359084880636605,0.359084880636605,3.14405360134003,13.8846153846154,6.94230769230769,11.2219430485762,43.5384615384615,21.7692307692308,30.9643545279383,0.531920199501247,0.333042394014963,0.543730782371028,0.746132208157525,0.135037406483791,1,0.879981247069855,0.839624926123996,0.456529917979617,0.5,0.543730782371028,0.85826768452802,1,0.65948275862069,0.455901856763926,0.537518983846472,0.3971538395852,0.897157998704319,0.450991884580703,0.858746252739938,1.66907221077901,"sKizzo",3600,"memout" "test1_quant_squaring3",1,1038,156,1194,3016,8020,3,2,5,1,1026,1989,2517,1375,1.94098143236074,0.71816976127321,346,0.359084880636605,0.359084880636605,3.14405360134003,13.8846153846154,6.94230769230769,11.2219430485762,43.5384615384615,21.7692307692308,30.9643545279383,0.531920199501247,0.333042394014963,0.543730782371028,0.746132208157525,0.135037406483791,1,0.879981247069855,0.839624926123996,0.456529917979617,0.5,0.543730782371028,0.85826768452802,1,0.65948275862069,0.455901856763926,0.537518983846472,0.3971538395852,0.897157998704319,0.450991884580703,0.858746252739938,1.66907221077901,"sSolve",3600,"timeout" "test1_quant2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"X2clsQ",3600,"timeout" "test1_quant2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"quantor",3600,"memout" "test1_quant2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"QuBE",3600,"timeout" "test1_quant2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"sKizzo",3600,"memout" "test1_quant2",1,687,78,765,1924,5056,2,1,3,1,714,1209,1583,908,1.98856548856549,0.639293139293139,343.5,0.31964656964657,0.31964656964657,3.0718954248366,15.7692307692308,7.88461538461539,7.44183006535948,31.5384615384615,15.7692307692308,20.9767103347889,0.535205696202532,0.34315664556962,0.546523784631469,0.772727272727273,0.121637658227848,1,0.868440502586844,0.842641023160688,0.460523361063512,0.5,0.546523784631469,0.860460500198492,1,0.628378378378378,0.471933471933472,0.541478737113402,0.400267087403773,0.971606116177637,0.448272398553636,0.872952073986517,1.79435691483884,"sSolve",3600,"timeout" "test1_quant3",1,882,78,960,2470,6538,2,1,3,1,870,1599,2050,1142,2.02267206477733,0.624291497975708,441,0.312145748987854,0.312145748987854,3.17916666666667,19.7692307692308,9.88461538461539,7.63645833333333,39.5384615384615,19.7692307692308,21.0260770975057,0.533190578158458,0.348883450596513,0.543434747798239,0.778829604130809,0.117925971245029,1,0.875502008032129,0.831725776881569,0.45198868779693,0.5,0.543434747798239,0.847705691570948,1,0.647368421052632,0.462348178137652,0.539683536355645,0.395639355830239,1.19166529815783,0.450786481856409,0.859494254665445,2.20808162169419,"X2clsQ",3600,"timeout" "test1_quant3",1,882,78,960,2470,6538,2,1,3,1,870,1599,2050,1142,2.02267206477733,0.624291497975708,441,0.312145748987854,0.312145748987854,3.17916666666667,19.7692307692308,9.88461538461539,7.63645833333333,39.5384615384615,19.7692307692308,21.0260770975057,0.533190578158458,0.348883450596513,0.543434747798239,0.778829604130809,0.117925971245029,1,0.875502008032129,0.831725776881569,0.45198868779693,0.5,0.543434747798239,0.847705691570948,1,0.647368421052632,0.462348178137652,0.539683536355645,0.395639355830239,1.19166529815783,0.450786481856409,0.859494254665445,2.20808162169419,"quantor",3600,"memout" "test1_quant3",1,882,78,960,2470,6538,2,1,3,1,870,1599,2050,1142,2.02267206477733,0.624291497975708,441,0.312145748987854,0.312145748987854,3.17916666666667,19.7692307692308,9.88461538461539,7.63645833333333,39.5384615384615,19.7692307692308,21.0260770975057,0.533190578158458,0.348883450596513,0.543434747798239,0.778829604130809,0.117925971245029,1,0.875502008032129,0.831725776881569,0.45198868779693,0.5,0.543434747798239,0.847705691570948,1,0.647368421052632,0.462348178137652,0.539683536355645,0.395639355830239,1.19166529815783,0.450786481856409,0.859494254665445,2.20808162169419,"QuBE",3600,"timeout" "test1_quant3",1,882,78,960,2470,6538,2,1,3,1,870,1599,2050,1142,2.02267206477733,0.624291497975708,441,0.312145748987854,0.312145748987854,3.17916666666667,19.7692307692308,9.88461538461539,7.63645833333333,39.5384615384615,19.7692307692308,21.0260770975057,0.533190578158458,0.348883450596513,0.543434747798239,0.778829604130809,0.117925971245029,1,0.875502008032129,0.831725776881569,0.45198868779693,0.5,0.543434747798239,0.847705691570948,1,0.647368421052632,0.462348178137652,0.539683536355645,0.395639355830239,1.19166529815783,0.450786481856409,0.859494254665445,2.20808162169419,"sKizzo",3600,"memout" "test1_quant3",1,882,78,960,2470,6538,2,1,3,1,870,1599,2050,1142,2.02267206477733,0.624291497975708,441,0.312145748987854,0.312145748987854,3.17916666666667,19.7692307692308,9.88461538461539,7.63645833333333,39.5384615384615,19.7692307692308,21.0260770975057,0.533190578158458,0.348883450596513,0.543434747798239,0.778829604130809,0.117925971245029,1,0.875502008032129,0.831725776881569,0.45198868779693,0.5,0.543434747798239,0.847705691570948,1,0.647368421052632,0.462348178137652,0.539683536355645,0.395639355830239,1.19166529815783,0.450786481856409,0.859494254665445,2.20808162169419,"sSolve",3600,"timeout" "test3_quant_squaring4",1,404,60,464,1203,3179,4,3,7,1,428,774,988,548,1.93100581878637,0.711554447215295,101,0.355777223607648,0.355777223607648,3.19612068965517,14.2666666666667,7.13333333333333,15.4116379310345,61.6,30.8,44.2574257425743,0.533501100975149,0.331865366467443,0.54584588893672,0.747641509433962,0.134633532557408,1,0.874410377358491,0.839257628184964,0.458105326103545,0.5,0.54584588893672,0.858677377171679,1,0.643391521197007,0.455527847049044,0.538793937439536,0.392813560620815,0.921715575620767,0.449064273012109,0.851709447269717,1.71070146037826,"X2clsQ",3600,"timeout" "test3_quant_squaring4",1,404,60,464,1203,3179,4,3,7,1,428,774,988,548,1.93100581878637,0.711554447215295,101,0.355777223607648,0.355777223607648,3.19612068965517,14.2666666666667,7.13333333333333,15.4116379310345,61.6,30.8,44.2574257425743,0.533501100975149,0.331865366467443,0.54584588893672,0.747641509433962,0.134633532557408,1,0.874410377358491,0.839257628184964,0.458105326103545,0.5,0.54584588893672,0.858677377171679,1,0.643391521197007,0.455527847049044,0.538793937439536,0.392813560620815,0.921715575620767,0.449064273012109,0.851709447269717,1.71070146037826,"quantor",3600,"timeout" "test3_quant_squaring4",1,404,60,464,1203,3179,4,3,7,1,428,774,988,548,1.93100581878637,0.711554447215295,101,0.355777223607648,0.355777223607648,3.19612068965517,14.2666666666667,7.13333333333333,15.4116379310345,61.6,30.8,44.2574257425743,0.533501100975149,0.331865366467443,0.54584588893672,0.747641509433962,0.134633532557408,1,0.874410377358491,0.839257628184964,0.458105326103545,0.5,0.54584588893672,0.858677377171679,1,0.643391521197007,0.455527847049044,0.538793937439536,0.392813560620815,0.921715575620767,0.449064273012109,0.851709447269717,1.71070146037826,"QuBE",3600,"timeout" "test3_quant_squaring4",1,404,60,464,1203,3179,4,3,7,1,428,774,988,548,1.93100581878637,0.711554447215295,101,0.355777223607648,0.355777223607648,3.19612068965517,14.2666666666667,7.13333333333333,15.4116379310345,61.6,30.8,44.2574257425743,0.533501100975149,0.331865366467443,0.54584588893672,0.747641509433962,0.134633532557408,1,0.874410377358491,0.839257628184964,0.458105326103545,0.5,0.54584588893672,0.858677377171679,1,0.643391521197007,0.455527847049044,0.538793937439536,0.392813560620815,0.921715575620767,0.449064273012109,0.851709447269717,1.71070146037826,"sKizzo",3600,"memout" "test3_quant_squaring4",1,404,60,464,1203,3179,4,3,7,1,428,774,988,548,1.93100581878637,0.711554447215295,101,0.355777223607648,0.355777223607648,3.19612068965517,14.2666666666667,7.13333333333333,15.4116379310345,61.6,30.8,44.2574257425743,0.533501100975149,0.331865366467443,0.54584588893672,0.747641509433962,0.134633532557408,1,0.874410377358491,0.839257628184964,0.458105326103545,0.5,0.54584588893672,0.858677377171679,1,0.643391521197007,0.455527847049044,0.538793937439536,0.392813560620815,0.921715575620767,0.449064273012109,0.851709447269717,1.71070146037826,"sSolve",3600,"timeout" "test3_quant2-shuffled",1,224,20,244,643,1659,2,1,3,1,268,374,512,310,1.99533437013997,0.584758942457232,112,0.292379471228616,0.292379471228616,3.12704918032787,18.8,9.4,7.7172131147541,37.6,18.8,23.4107142857143,0.540084388185654,0.346594333936106,0.551831644583009,0.790178571428571,0.11332127787824,1,0.8515625,0.842407216050977,0.464866959442005,0.5,0.551831644583009,0.860730229591837,1,0.581648522550544,0.482115085536547,0.545936821798891,0.395841227737779,1.1061490233904,0.443888070692194,0.871775661937637,2.02614840989399,"X2clsQ",3600,"timeout" "test3_quant2-shuffled",1,224,20,244,643,1659,2,1,3,1,268,374,512,310,1.99533437013997,0.584758942457232,112,0.292379471228616,0.292379471228616,3.12704918032787,18.8,9.4,7.7172131147541,37.6,18.8,23.4107142857143,0.540084388185654,0.346594333936106,0.551831644583009,0.790178571428571,0.11332127787824,1,0.8515625,0.842407216050977,0.464866959442005,0.5,0.551831644583009,0.860730229591837,1,0.581648522550544,0.482115085536547,0.545936821798891,0.395841227737779,1.1061490233904,0.443888070692194,0.871775661937637,2.02614840989399,"quantor",3600,"timeout" "test3_quant2-shuffled",1,224,20,244,643,1659,2,1,3,1,268,374,512,310,1.99533437013997,0.584758942457232,112,0.292379471228616,0.292379471228616,3.12704918032787,18.8,9.4,7.7172131147541,37.6,18.8,23.4107142857143,0.540084388185654,0.346594333936106,0.551831644583009,0.790178571428571,0.11332127787824,1,0.8515625,0.842407216050977,0.464866959442005,0.5,0.551831644583009,0.860730229591837,1,0.581648522550544,0.482115085536547,0.545936821798891,0.395841227737779,1.1061490233904,0.443888070692194,0.871775661937637,2.02614840989399,"QuBE",3600,"timeout" "test3_quant2-shuffled",1,224,20,244,643,1659,2,1,3,1,268,374,512,310,1.99533437013997,0.584758942457232,112,0.292379471228616,0.292379471228616,3.12704918032787,18.8,9.4,7.7172131147541,37.6,18.8,23.4107142857143,0.540084388185654,0.346594333936106,0.551831644583009,0.790178571428571,0.11332127787824,1,0.8515625,0.842407216050977,0.464866959442005,0.5,0.551831644583009,0.860730229591837,1,0.581648522550544,0.482115085536547,0.545936821798891,0.395841227737779,1.1061490233904,0.443888070692194,0.871775661937637,2.02614840989399,"sKizzo",3600,"memout" "test3_quant2-shuffled",1,224,20,244,643,1659,2,1,3,1,268,374,512,310,1.99533437013997,0.584758942457232,112,0.292379471228616,0.292379471228616,3.12704918032787,18.8,9.4,7.7172131147541,37.6,18.8,23.4107142857143,0.540084388185654,0.346594333936106,0.551831644583009,0.790178571428571,0.11332127787824,1,0.8515625,0.842407216050977,0.464866959442005,0.5,0.551831644583009,0.860730229591837,1,0.581648522550544,0.482115085536547,0.545936821798891,0.395841227737779,1.1061490233904,0.443888070692194,0.871775661937637,2.02614840989399,"sSolve",3600,"timeout" "test3_quant4",1,324,20,344,923,2419,2,1,3,1,348,574,750,430,2.04008667388949,0.580715059588299,162,0.29035752979415,0.29035752979415,3.26453488372093,26.8,13.4,7.9156976744186,53.6,26.8,22.7283950617284,0.535758577924762,0.353451839603142,0.545937334041423,0.79320987654321,0.110789582472096,1,0.866512345679012,0.826471503886414,0.451201649492954,0.5,0.545937334041423,0.842173449169334,1,0.621885157096425,0.465872156013001,0.542122078358837,0.390448965865142,1.55022700521271,0.448615384615385,0.852735952993022,2.85955334987593,"X2clsQ",3600,"timeout" "test3_quant4",1,324,20,344,923,2419,2,1,3,1,348,574,750,430,2.04008667388949,0.580715059588299,162,0.29035752979415,0.29035752979415,3.26453488372093,26.8,13.4,7.9156976744186,53.6,26.8,22.7283950617284,0.535758577924762,0.353451839603142,0.545937334041423,0.79320987654321,0.110789582472096,1,0.866512345679012,0.826471503886414,0.451201649492954,0.5,0.545937334041423,0.842173449169334,1,0.621885157096425,0.465872156013001,0.542122078358837,0.390448965865142,1.55022700521271,0.448615384615385,0.852735952993022,2.85955334987593,"quantor",3600,"memout" "test3_quant4",1,324,20,344,923,2419,2,1,3,1,348,574,750,430,2.04008667388949,0.580715059588299,162,0.29035752979415,0.29035752979415,3.26453488372093,26.8,13.4,7.9156976744186,53.6,26.8,22.7283950617284,0.535758577924762,0.353451839603142,0.545937334041423,0.79320987654321,0.110789582472096,1,0.866512345679012,0.826471503886414,0.451201649492954,0.5,0.545937334041423,0.842173449169334,1,0.621885157096425,0.465872156013001,0.542122078358837,0.390448965865142,1.55022700521271,0.448615384615385,0.852735952993022,2.85955334987593,"QuBE",3600,"timeout" "test3_quant4",1,324,20,344,923,2419,2,1,3,1,348,574,750,430,2.04008667388949,0.580715059588299,162,0.29035752979415,0.29035752979415,3.26453488372093,26.8,13.4,7.9156976744186,53.6,26.8,22.7283950617284,0.535758577924762,0.353451839603142,0.545937334041423,0.79320987654321,0.110789582472096,1,0.866512345679012,0.826471503886414,0.451201649492954,0.5,0.545937334041423,0.842173449169334,1,0.621885157096425,0.465872156013001,0.542122078358837,0.390448965865142,1.55022700521271,0.448615384615385,0.852735952993022,2.85955334987593,"sKizzo",3600,"memout" "test3_quant4",1,324,20,344,923,2419,2,1,3,1,348,574,750,430,2.04008667388949,0.580715059588299,162,0.29035752979415,0.29035752979415,3.26453488372093,26.8,13.4,7.9156976744186,53.6,26.8,22.7283950617284,0.535758577924762,0.353451839603142,0.545937334041423,0.79320987654321,0.110789582472096,1,0.866512345679012,0.826471503886414,0.451201649492954,0.5,0.545937334041423,0.842173449169334,1,0.621885157096425,0.465872156013001,0.542122078358837,0.390448965865142,1.55022700521271,0.448615384615385,0.852735952993022,2.85955334987593,"sSolve",3600,"timeout" "test4_quant_squaring2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"X2clsQ",3600,"timeout" "test4_quant_squaring2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"quantor",3600,"memout" "test4_quant_squaring2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"QuBE",3600,"timeout" "test4_quant_squaring2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"sKizzo",515.73,"ok" "test4_quant_squaring2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"sSolve",3600,"timeout" "test4_quant_squaring4",1,518,72,590,1540,4032,4,3,7,1,586,953,1262,726,1.95064935064935,0.667532467532468,129.5,0.333766233766234,0.333766233766234,3.16949152542373,14.2777777777778,7.13888888888889,15.7627118644068,61.6666666666667,30.8333333333333,47.2220077220077,0.536210317460317,0.336309523809524,0.548601864181092,0.762257169287696,0.127480158730159,1,0.864939870490287,0.848597321811608,0.46554207268493,0.5,0.548601864181092,0.868207972740813,1,0.618831168831169,0.471428571428571,0.542457935648923,0.396737806951327,0.894994916199285,0.445675437492131,0.86710673807448,1.64988814317673,"X2clsQ",3600,"timeout" "test4_quant_squaring4",1,518,72,590,1540,4032,4,3,7,1,586,953,1262,726,1.95064935064935,0.667532467532468,129.5,0.333766233766234,0.333766233766234,3.16949152542373,14.2777777777778,7.13888888888889,15.7627118644068,61.6666666666667,30.8333333333333,47.2220077220077,0.536210317460317,0.336309523809524,0.548601864181092,0.762257169287696,0.127480158730159,1,0.864939870490287,0.848597321811608,0.46554207268493,0.5,0.548601864181092,0.868207972740813,1,0.618831168831169,0.471428571428571,0.542457935648923,0.396737806951327,0.894994916199285,0.445675437492131,0.86710673807448,1.64988814317673,"quantor",3600,"memout" "test4_quant_squaring4",1,518,72,590,1540,4032,4,3,7,1,586,953,1262,726,1.95064935064935,0.667532467532468,129.5,0.333766233766234,0.333766233766234,3.16949152542373,14.2777777777778,7.13888888888889,15.7627118644068,61.6666666666667,30.8333333333333,47.2220077220077,0.536210317460317,0.336309523809524,0.548601864181092,0.762257169287696,0.127480158730159,1,0.864939870490287,0.848597321811608,0.46554207268493,0.5,0.548601864181092,0.868207972740813,1,0.618831168831169,0.471428571428571,0.542457935648923,0.396737806951327,0.894994916199285,0.445675437492131,0.86710673807448,1.64988814317673,"QuBE",3600,"timeout" "test4_quant_squaring4",1,518,72,590,1540,4032,4,3,7,1,586,953,1262,726,1.95064935064935,0.667532467532468,129.5,0.333766233766234,0.333766233766234,3.16949152542373,14.2777777777778,7.13888888888889,15.7627118644068,61.6666666666667,30.8333333333333,47.2220077220077,0.536210317460317,0.336309523809524,0.548601864181092,0.762257169287696,0.127480158730159,1,0.864939870490287,0.848597321811608,0.46554207268493,0.5,0.548601864181092,0.868207972740813,1,0.618831168831169,0.471428571428571,0.542457935648923,0.396737806951327,0.894994916199285,0.445675437492131,0.86710673807448,1.64988814317673,"sKizzo",3600,"memout" "test4_quant_squaring4",1,518,72,590,1540,4032,4,3,7,1,586,953,1262,726,1.95064935064935,0.667532467532468,129.5,0.333766233766234,0.333766233766234,3.16949152542373,14.2777777777778,7.13888888888889,15.7627118644068,61.6666666666667,30.8333333333333,47.2220077220077,0.536210317460317,0.336309523809524,0.548601864181092,0.762257169287696,0.127480158730159,1,0.864939870490287,0.848597321811608,0.46554207268493,0.5,0.548601864181092,0.868207972740813,1,0.618831168831169,0.471428571428571,0.542457935648923,0.396737806951327,0.894994916199285,0.445675437492131,0.86710673807448,1.64988814317673,"sSolve",3600,"timeout" "test4_quant2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"X2clsQ",3600,"timeout" "test4_quant2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"quantor",3600,"memout" "test4_quant2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"QuBE",3600,"timeout" "test4_quant2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"sKizzo",3600,"memout" "test4_quant2",1,302,24,326,868,2208,2,1,3,1,394,473,690,440,2.02304147465438,0.52073732718894,151,0.26036866359447,0.26036866359447,3.08588957055215,18.8333333333333,9.41666666666667,7.85276073619632,37.6666666666667,18.8333333333333,24.9966887417219,0.544384057971014,0.353260869565217,0.555808656036447,0.81198003327787,0.102355072463768,1,0.8369384359401,0.858491697859679,0.477157116805836,0.5,0.555808656036446,0.876508247842999,1,0.544930875576037,0.506912442396313,0.550561797752809,0.39949494009971,1.077890917603,0.439899833055092,0.888876241721854,1.9578018707483,"sSolve",3600,"timeout" "test4_quant4",1,422,24,446,1204,3120,2,1,3,1,490,713,976,584,2.05647840531561,0.534883720930233,211,0.267441860465116,0.267441860465116,3.22421524663677,26.8333333333333,13.4166666666667,8,53.6666666666667,26.8333333333333,23.9170616113744,0.539102564102564,0.357692307692308,0.549273021001616,0.808561236623068,0.103205128205128,1,0.854934601664685,0.83872280957589,0.460687811398712,0.5,0.549273021001615,0.854545761928645,1,0.5921926910299,0.485049833887043,0.545824847250509,0.393367342014073,1.52337915818058,0.445188794153471,0.866113744075829,2.790967039801,"X2clsQ",3600,"timeout" "test4_quant4",1,422,24,446,1204,3120,2,1,3,1,490,713,976,584,2.05647840531561,0.534883720930233,211,0.267441860465116,0.267441860465116,3.22421524663677,26.8333333333333,13.4166666666667,8,53.6666666666667,26.8333333333333,23.9170616113744,0.539102564102564,0.357692307692308,0.549273021001616,0.808561236623068,0.103205128205128,1,0.854934601664685,0.83872280957589,0.460687811398712,0.5,0.549273021001615,0.854545761928645,1,0.5921926910299,0.485049833887043,0.545824847250509,0.393367342014073,1.52337915818058,0.445188794153471,0.866113744075829,2.790967039801,"quantor",3600,"memout" "test4_quant4",1,422,24,446,1204,3120,2,1,3,1,490,713,976,584,2.05647840531561,0.534883720930233,211,0.267441860465116,0.267441860465116,3.22421524663677,26.8333333333333,13.4166666666667,8,53.6666666666667,26.8333333333333,23.9170616113744,0.539102564102564,0.357692307692308,0.549273021001616,0.808561236623068,0.103205128205128,1,0.854934601664685,0.83872280957589,0.460687811398712,0.5,0.549273021001615,0.854545761928645,1,0.5921926910299,0.485049833887043,0.545824847250509,0.393367342014073,1.52337915818058,0.445188794153471,0.866113744075829,2.790967039801,"QuBE",3600,"timeout" "test4_quant4",1,422,24,446,1204,3120,2,1,3,1,490,713,976,584,2.05647840531561,0.534883720930233,211,0.267441860465116,0.267441860465116,3.22421524663677,26.8333333333333,13.4166666666667,8,53.6666666666667,26.8333333333333,23.9170616113744,0.539102564102564,0.357692307692308,0.549273021001616,0.808561236623068,0.103205128205128,1,0.854934601664685,0.83872280957589,0.460687811398712,0.5,0.549273021001615,0.854545761928645,1,0.5921926910299,0.485049833887043,0.545824847250509,0.393367342014073,1.52337915818058,0.445188794153471,0.866113744075829,2.790967039801,"sKizzo",3600,"memout" "test4_quant4",1,422,24,446,1204,3120,2,1,3,1,490,713,976,584,2.05647840531561,0.534883720930233,211,0.267441860465116,0.267441860465116,3.22421524663677,26.8333333333333,13.4166666666667,8,53.6666666666667,26.8333333333333,23.9170616113744,0.539102564102564,0.357692307692308,0.549273021001616,0.808561236623068,0.103205128205128,1,0.854934601664685,0.83872280957589,0.460687811398712,0.5,0.549273021001615,0.854545761928645,1,0.5921926910299,0.485049833887043,0.545824847250509,0.393367342014073,1.52337915818058,0.445188794153471,0.866113744075829,2.790967039801,"sSolve",3600,"timeout" "test5_quant_squaring5-shuffled",1,468,88,556,1403,3795,5,4,9,1,412,990,1182,599,1.90520313613685,0.799714896650036,93.6,0.399857448325018,0.399857448325018,3.22841726618705,12.75,6.375,18.205035971223,66,33,48.3653846153846,0.527009222661397,0.325164690382082,0.538346427235316,0.7195,0.147826086956522,1,0.8975,0.836789297658863,0.450482528743398,0.5,0.538346427235316,0.854790598290598,1,0.705630791161796,0.426942266571632,0.530628332946905,0.397645757905438,0.850823092974728,0.458082122231389,0.847187390755855,1.6034256750852,"X2clsQ",3600,"timeout" "test5_quant_squaring5-shuffled",1,468,88,556,1403,3795,5,4,9,1,412,990,1182,599,1.90520313613685,0.799714896650036,93.6,0.399857448325018,0.399857448325018,3.22841726618705,12.75,6.375,18.205035971223,66,33,48.3653846153846,0.527009222661397,0.325164690382082,0.538346427235316,0.7195,0.147826086956522,1,0.8975,0.836789297658863,0.450482528743398,0.5,0.538346427235316,0.854790598290598,1,0.705630791161796,0.426942266571632,0.530628332946905,0.397645757905438,0.850823092974728,0.458082122231389,0.847187390755855,1.6034256750852,"quantor",3600,"timeout" "test5_quant_squaring5-shuffled",1,468,88,556,1403,3795,5,4,9,1,412,990,1182,599,1.90520313613685,0.799714896650036,93.6,0.399857448325018,0.399857448325018,3.22841726618705,12.75,6.375,18.205035971223,66,33,48.3653846153846,0.527009222661397,0.325164690382082,0.538346427235316,0.7195,0.147826086956522,1,0.8975,0.836789297658863,0.450482528743398,0.5,0.538346427235316,0.854790598290598,1,0.705630791161796,0.426942266571632,0.530628332946905,0.397645757905438,0.850823092974728,0.458082122231389,0.847187390755855,1.6034256750852,"QuBE",3600,"memout" "test5_quant_squaring5-shuffled",1,468,88,556,1403,3795,5,4,9,1,412,990,1182,599,1.90520313613685,0.799714896650036,93.6,0.399857448325018,0.399857448325018,3.22841726618705,12.75,6.375,18.205035971223,66,33,48.3653846153846,0.527009222661397,0.325164690382082,0.538346427235316,0.7195,0.147826086956522,1,0.8975,0.836789297658863,0.450482528743398,0.5,0.538346427235316,0.854790598290598,1,0.705630791161796,0.426942266571632,0.530628332946905,0.397645757905438,0.850823092974728,0.458082122231389,0.847187390755855,1.6034256750852,"sKizzo",3600,"memout" "test5_quant_squaring5-shuffled",1,468,88,556,1403,3795,5,4,9,1,412,990,1182,599,1.90520313613685,0.799714896650036,93.6,0.399857448325018,0.399857448325018,3.22841726618705,12.75,6.375,18.205035971223,66,33,48.3653846153846,0.527009222661397,0.325164690382082,0.538346427235316,0.7195,0.147826086956522,1,0.8975,0.836789297658863,0.450482528743398,0.5,0.538346427235316,0.854790598290598,1,0.705630791161796,0.426942266571632,0.530628332946905,0.397645757905438,0.850823092974728,0.458082122231389,0.847187390755855,1.6034256750852,"sSolve",3600,"timeout" "test5_quant5-shuffled",1,336,22,358,941,2541,2,1,3,1,280,660,789,404,2.06907545164718,0.631243358129649,168,0.315621679064825,0.315621679064825,3.35474860335196,27,13.5,7.97765363128492,54,27,20.9196428571429,0.527351436442346,0.355765446674538,0.535695942475603,0.778358208955224,0.116883116883117,1,0.896268656716418,0.816403834260977,0.437344221435131,0.5,0.535695942475603,0.829322139303483,1,0.701381509032944,0.42933049946865,0.533866492573854,0.393358436895241,1.57760731189816,0.457987446851589,0.843875050020008,2.9550596147967,"X2clsQ",3600,"timeout" "test5_quant5-shuffled",1,336,22,358,941,2541,2,1,3,1,280,660,789,404,2.06907545164718,0.631243358129649,168,0.315621679064825,0.315621679064825,3.35474860335196,27,13.5,7.97765363128492,54,27,20.9196428571429,0.527351436442346,0.355765446674538,0.535695942475603,0.778358208955224,0.116883116883117,1,0.896268656716418,0.816403834260977,0.437344221435131,0.5,0.535695942475603,0.829322139303483,1,0.701381509032944,0.42933049946865,0.533866492573854,0.393358436895241,1.57760731189816,0.457987446851589,0.843875050020008,2.9550596147967,"quantor",3600,"memout" "test5_quant5-shuffled",1,336,22,358,941,2541,2,1,3,1,280,660,789,404,2.06907545164718,0.631243358129649,168,0.315621679064825,0.315621679064825,3.35474860335196,27,13.5,7.97765363128492,54,27,20.9196428571429,0.527351436442346,0.355765446674538,0.535695942475603,0.778358208955224,0.116883116883117,1,0.896268656716418,0.816403834260977,0.437344221435131,0.5,0.535695942475603,0.829322139303483,1,0.701381509032944,0.42933049946865,0.533866492573854,0.393358436895241,1.57760731189816,0.457987446851589,0.843875050020008,2.9550596147967,"QuBE",3600,"timeout" "test5_quant5-shuffled",1,336,22,358,941,2541,2,1,3,1,280,660,789,404,2.06907545164718,0.631243358129649,168,0.315621679064825,0.315621679064825,3.35474860335196,27,13.5,7.97765363128492,54,27,20.9196428571429,0.527351436442346,0.355765446674538,0.535695942475603,0.778358208955224,0.116883116883117,1,0.896268656716418,0.816403834260977,0.437344221435131,0.5,0.535695942475603,0.829322139303483,1,0.701381509032944,0.42933049946865,0.533866492573854,0.393358436895241,1.57760731189816,0.457987446851589,0.843875050020008,2.9550596147967,"sKizzo",3600,"memout" "test5_quant5-shuffled",1,336,22,358,941,2541,2,1,3,1,280,660,789,404,2.06907545164718,0.631243358129649,168,0.315621679064825,0.315621679064825,3.35474860335196,27,13.5,7.97765363128492,54,27,20.9196428571429,0.527351436442346,0.355765446674538,0.535695942475603,0.778358208955224,0.116883116883117,1,0.896268656716418,0.816403834260977,0.437344221435131,0.5,0.535695942475603,0.829322139303483,1,0.701381509032944,0.42933049946865,0.533866492573854,0.393358436895241,1.57760731189816,0.457987446851589,0.843875050020008,2.9550596147967,"sSolve",272.8,"ok" "texas.ifetch1^4.E-f4-shuffled",1,2946,101,3047,8665,20217,2,1,3,1,5776,2888,6529,4733,2.19076745527986,0.142412002308136,1473,0.0712060011540681,0.0712060011540681,2.84378076796849,12.2178217821782,6.10891089108911,7.92385953396784,24.4356435643564,12.2178217821782,40.1666666666667,0.571400306672602,0.398080823069694,0.576041721540326,0.946589335180055,0.0305188702577039,1,0.750086565096953,0.97115342995572,0.559424893671485,0.5,0.576041721540326,0.979041990595258,1,0.333294864396999,0.546220427005193,0.576041721540326,0.416081779773251,0.653702487669617,0.420513573539399,0.981421524035241,1.13481795367465,"X2clsQ",0.06,"ok" "texas.ifetch1^4.E-f4-shuffled",1,2946,101,3047,8665,20217,2,1,3,1,5776,2888,6529,4733,2.19076745527986,0.142412002308136,1473,0.0712060011540681,0.0712060011540681,2.84378076796849,12.2178217821782,6.10891089108911,7.92385953396784,24.4356435643564,12.2178217821782,40.1666666666667,0.571400306672602,0.398080823069694,0.576041721540326,0.946589335180055,0.0305188702577039,1,0.750086565096953,0.97115342995572,0.559424893671485,0.5,0.576041721540326,0.979041990595258,1,0.333294864396999,0.546220427005193,0.576041721540326,0.416081779773251,0.653702487669617,0.420513573539399,0.981421524035241,1.13481795367465,"quantor",0.02,"ok" "texas.ifetch1^4.E-f4-shuffled",1,2946,101,3047,8665,20217,2,1,3,1,5776,2888,6529,4733,2.19076745527986,0.142412002308136,1473,0.0712060011540681,0.0712060011540681,2.84378076796849,12.2178217821782,6.10891089108911,7.92385953396784,24.4356435643564,12.2178217821782,40.1666666666667,0.571400306672602,0.398080823069694,0.576041721540326,0.946589335180055,0.0305188702577039,1,0.750086565096953,0.97115342995572,0.559424893671485,0.5,0.576041721540326,0.979041990595258,1,0.333294864396999,0.546220427005193,0.576041721540326,0.416081779773251,0.653702487669617,0.420513573539399,0.981421524035241,1.13481795367465,"QuBE",0.1,"ok" "texas.ifetch1^4.E-f4-shuffled",1,2946,101,3047,8665,20217,2,1,3,1,5776,2888,6529,4733,2.19076745527986,0.142412002308136,1473,0.0712060011540681,0.0712060011540681,2.84378076796849,12.2178217821782,6.10891089108911,7.92385953396784,24.4356435643564,12.2178217821782,40.1666666666667,0.571400306672602,0.398080823069694,0.576041721540326,0.946589335180055,0.0305188702577039,1,0.750086565096953,0.97115342995572,0.559424893671485,0.5,0.576041721540326,0.979041990595258,1,0.333294864396999,0.546220427005193,0.576041721540326,0.416081779773251,0.653702487669617,0.420513573539399,0.981421524035241,1.13481795367465,"sKizzo",0.03,"ok" "texas.ifetch1^4.E-f4-shuffled",1,2946,101,3047,8665,20217,2,1,3,1,5776,2888,6529,4733,2.19076745527986,0.142412002308136,1473,0.0712060011540681,0.0712060011540681,2.84378076796849,12.2178217821782,6.10891089108911,7.92385953396784,24.4356435643564,12.2178217821782,40.1666666666667,0.571400306672602,0.398080823069694,0.576041721540326,0.946589335180055,0.0305188702577039,1,0.750086565096953,0.97115342995572,0.559424893671485,0.5,0.576041721540326,0.979041990595258,1,0.333294864396999,0.546220427005193,0.576041721540326,0.416081779773251,0.653702487669617,0.420513573539399,0.981421524035241,1.13481795367465,"sSolve",3600,"timeout" "texas.ifetch1^9.E-f4-shuffled",1,2835,107,2942,8257,19265,2,1,3,1,5504,2752,6158,4529,2.15998546687659,0.173186387307739,1417.5,0.0865931936538694,0.0865931936538694,2.80659415363698,13.3644859813084,6.68224299065421,7.77090414683888,26.7289719626168,13.3644859813084,38.0913580246914,0.571398909940306,0.391487152867895,0.577123633305298,0.935047238372093,0.0371139371917986,1,0.750090843023256,0.960713084149368,0.554450225688222,0.5,0.577123633305298,0.970338262889135,1,0.333292963546082,0.548504299382342,0.576449228375049,0.412043969737061,0.72842725155176,0.41927343153941,0.972832532346136,1.26364511512162,"X2clsQ",3600,"timeout" "texas.ifetch1^9.E-f4-shuffled",1,2835,107,2942,8257,19265,2,1,3,1,5504,2752,6158,4529,2.15998546687659,0.173186387307739,1417.5,0.0865931936538694,0.0865931936538694,2.80659415363698,13.3644859813084,6.68224299065421,7.77090414683888,26.7289719626168,13.3644859813084,38.0913580246914,0.571398909940306,0.391487152867895,0.577123633305298,0.935047238372093,0.0371139371917986,1,0.750090843023256,0.960713084149368,0.554450225688222,0.5,0.577123633305298,0.970338262889135,1,0.333292963546082,0.548504299382342,0.576449228375049,0.412043969737061,0.72842725155176,0.41927343153941,0.972832532346136,1.26364511512162,"quantor",3600,"memout" "texas.ifetch1^9.E-f4-shuffled",1,2835,107,2942,8257,19265,2,1,3,1,5504,2752,6158,4529,2.15998546687659,0.173186387307739,1417.5,0.0865931936538694,0.0865931936538694,2.80659415363698,13.3644859813084,6.68224299065421,7.77090414683888,26.7289719626168,13.3644859813084,38.0913580246914,0.571398909940306,0.391487152867895,0.577123633305298,0.935047238372093,0.0371139371917986,1,0.750090843023256,0.960713084149368,0.554450225688222,0.5,0.577123633305298,0.970338262889135,1,0.333292963546082,0.548504299382342,0.576449228375049,0.412043969737061,0.72842725155176,0.41927343153941,0.972832532346136,1.26364511512162,"QuBE",3600,"timeout" "texas.ifetch1^9.E-f4-shuffled",1,2835,107,2942,8257,19265,2,1,3,1,5504,2752,6158,4529,2.15998546687659,0.173186387307739,1417.5,0.0865931936538694,0.0865931936538694,2.80659415363698,13.3644859813084,6.68224299065421,7.77090414683888,26.7289719626168,13.3644859813084,38.0913580246914,0.571398909940306,0.391487152867895,0.577123633305298,0.935047238372093,0.0371139371917986,1,0.750090843023256,0.960713084149368,0.554450225688222,0.5,0.577123633305298,0.970338262889135,1,0.333292963546082,0.548504299382342,0.576449228375049,0.412043969737061,0.72842725155176,0.41927343153941,0.972832532346136,1.26364511512162,"sKizzo",3600,"memout" "texas.ifetch1^9.E-f4-shuffled",1,2835,107,2942,8257,19265,2,1,3,1,5504,2752,6158,4529,2.15998546687659,0.173186387307739,1417.5,0.0865931936538694,0.0865931936538694,2.80659415363698,13.3644859813084,6.68224299065421,7.77090414683888,26.7289719626168,13.3644859813084,38.0913580246914,0.571398909940306,0.391487152867895,0.577123633305298,0.935047238372093,0.0371139371917986,1,0.750090843023256,0.960713084149368,0.554450225688222,0.5,0.577123633305298,0.970338262889135,1,0.333292963546082,0.548504299382342,0.576449228375049,0.412043969737061,0.72842725155176,0.41927343153941,0.972832532346136,1.26364511512162,"sSolve",3600,"timeout" "toilet_a_02_01.2-shuffled",1,16,2,18,39,86,2,1,3,5,22,12,28,25,1.8974358974359,0.307692307692308,8,0.205128205128205,0.102564102564103,1.88888888888889,6,4,4.44444444444444,12,8,10.75,0.604651162790698,0.302325581395349,0.648648648648649,0.923076923076923,0.0465116279069767,2,0.653846153846154,0.968023255813954,0.627906976744186,0.666666666666667,0.648648648648649,1.03846153846154,2,0.307692307692308,0.641025641025641,0.565217391304348,0.391304347826087,0.391304347826087,0.4,0.9,0.692307692307692,"X2clsQ",3600,"memout" "toilet_a_02_01.2-shuffled",1,16,2,18,39,86,2,1,3,5,22,12,28,25,1.8974358974359,0.307692307692308,8,0.205128205128205,0.102564102564103,1.88888888888889,6,4,4.44444444444444,12,8,10.75,0.604651162790698,0.302325581395349,0.648648648648649,0.923076923076923,0.0465116279069767,2,0.653846153846154,0.968023255813954,0.627906976744186,0.666666666666667,0.648648648648649,1.03846153846154,2,0.307692307692308,0.641025641025641,0.565217391304348,0.391304347826087,0.391304347826087,0.4,0.9,0.692307692307692,"quantor",0,"ok" "toilet_a_02_01.2-shuffled",1,16,2,18,39,86,2,1,3,5,22,12,28,25,1.8974358974359,0.307692307692308,8,0.205128205128205,0.102564102564103,1.88888888888889,6,4,4.44444444444444,12,8,10.75,0.604651162790698,0.302325581395349,0.648648648648649,0.923076923076923,0.0465116279069767,2,0.653846153846154,0.968023255813954,0.627906976744186,0.666666666666667,0.648648648648649,1.03846153846154,2,0.307692307692308,0.641025641025641,0.565217391304348,0.391304347826087,0.391304347826087,0.4,0.9,0.692307692307692,"QuBE",0.05,"ok" "toilet_a_02_01.2-shuffled",1,16,2,18,39,86,2,1,3,5,22,12,28,25,1.8974358974359,0.307692307692308,8,0.205128205128205,0.102564102564103,1.88888888888889,6,4,4.44444444444444,12,8,10.75,0.604651162790698,0.302325581395349,0.648648648648649,0.923076923076923,0.0465116279069767,2,0.653846153846154,0.968023255813954,0.627906976744186,0.666666666666667,0.648648648648649,1.03846153846154,2,0.307692307692308,0.641025641025641,0.565217391304348,0.391304347826087,0.391304347826087,0.4,0.9,0.692307692307692,"sKizzo",0,"ok" "toilet_a_02_01.2-shuffled",1,16,2,18,39,86,2,1,3,5,22,12,28,25,1.8974358974359,0.307692307692308,8,0.205128205128205,0.102564102564103,1.88888888888889,6,4,4.44444444444444,12,8,10.75,0.604651162790698,0.302325581395349,0.648648648648649,0.923076923076923,0.0465116279069767,2,0.653846153846154,0.968023255813954,0.627906976744186,0.666666666666667,0.648648648648649,1.03846153846154,2,0.307692307692308,0.641025641025641,0.565217391304348,0.391304347826087,0.391304347826087,0.4,0.9,0.692307692307692,"sSolve",0.85,"ok" "toilet_a_02_10.2-shuffled",1,88,2,90,408,878,2,1,3,14,364,30,379,97,2.12254901960784,0.0294117647058824,44,0.0196078431372549,0.00980392156862745,1.77777777777778,6,4,3.68888888888889,12,8,18.9318181818182,0.817767653758542,0.173120728929385,0.824480369515012,0.994428969359331,0.00455580865603645,2,0.222841225626741,1.00874922344171,0.831693932491199,0.666666666666667,0.824480369515011,1.01702962775386,2,0.0735294117647059,0.237745098039216,0.741029641185647,0.252091901857892,0.280811232449298,0.251192368839428,0.973439211391019,0.378947368421053,"X2clsQ",0,"ok" "toilet_a_02_10.2-shuffled",1,88,2,90,408,878,2,1,3,14,364,30,379,97,2.12254901960784,0.0294117647058824,44,0.0196078431372549,0.00980392156862745,1.77777777777778,6,4,3.68888888888889,12,8,18.9318181818182,0.817767653758542,0.173120728929385,0.824480369515012,0.994428969359331,0.00455580865603645,2,0.222841225626741,1.00874922344171,0.831693932491199,0.666666666666667,0.824480369515011,1.01702962775386,2,0.0735294117647059,0.237745098039216,0.741029641185647,0.252091901857892,0.280811232449298,0.251192368839428,0.973439211391019,0.378947368421053,"quantor",0,"ok" "toilet_a_02_10.2-shuffled",1,88,2,90,408,878,2,1,3,14,364,30,379,97,2.12254901960784,0.0294117647058824,44,0.0196078431372549,0.00980392156862745,1.77777777777778,6,4,3.68888888888889,12,8,18.9318181818182,0.817767653758542,0.173120728929385,0.824480369515012,0.994428969359331,0.00455580865603645,2,0.222841225626741,1.00874922344171,0.831693932491199,0.666666666666667,0.824480369515011,1.01702962775386,2,0.0735294117647059,0.237745098039216,0.741029641185647,0.252091901857892,0.280811232449298,0.251192368839428,0.973439211391019,0.378947368421053,"QuBE",0.06,"ok" "toilet_a_02_10.2-shuffled",1,88,2,90,408,878,2,1,3,14,364,30,379,97,2.12254901960784,0.0294117647058824,44,0.0196078431372549,0.00980392156862745,1.77777777777778,6,4,3.68888888888889,12,8,18.9318181818182,0.817767653758542,0.173120728929385,0.824480369515012,0.994428969359331,0.00455580865603645,2,0.222841225626741,1.00874922344171,0.831693932491199,0.666666666666667,0.824480369515011,1.01702962775386,2,0.0735294117647059,0.237745098039216,0.741029641185647,0.252091901857892,0.280811232449298,0.251192368839428,0.973439211391019,0.378947368421053,"sKizzo",0,"ok" "toilet_a_02_10.2-shuffled",1,88,2,90,408,878,2,1,3,14,364,30,379,97,2.12254901960784,0.0294117647058824,44,0.0196078431372549,0.00980392156862745,1.77777777777778,6,4,3.68888888888889,12,8,18.9318181818182,0.817767653758542,0.173120728929385,0.824480369515012,0.994428969359331,0.00455580865603645,2,0.222841225626741,1.00874922344171,0.831693932491199,0.666666666666667,0.824480369515011,1.01702962775386,2,0.0735294117647059,0.237745098039216,0.741029641185647,0.252091901857892,0.280811232449298,0.251192368839428,0.973439211391019,0.378947368421053,"sSolve",0.94,"ok" "toilet_a_04_10.2-shuffled",1,136,4,140,894,2080,2,1,3,18,788,88,812,155,2.05816554809843,0.268456375838926,68,0.143176733780761,0.125279642058166,2.97142857142857,60,32,6.14285714285714,120,64,30.6176470588235,0.8,0.138461538461538,0.843478260869565,0.932692307692308,0.0538461538461538,1.14285714285714,0.25,0.910633484162896,0.768099547511312,0.533333333333333,0.843478260869565,0.96012443438914,1.14285714285714,0.0984340044742729,0.17337807606264,0.718954248366013,0.20319108035371,2.56209150326797,0.234108527131783,0.722982216142271,3.56363636363636,"X2clsQ",0,"ok" "toilet_a_04_10.2-shuffled",1,136,4,140,894,2080,2,1,3,18,788,88,812,155,2.05816554809843,0.268456375838926,68,0.143176733780761,0.125279642058166,2.97142857142857,60,32,6.14285714285714,120,64,30.6176470588235,0.8,0.138461538461538,0.843478260869565,0.932692307692308,0.0538461538461538,1.14285714285714,0.25,0.910633484162896,0.768099547511312,0.533333333333333,0.843478260869565,0.96012443438914,1.14285714285714,0.0984340044742729,0.17337807606264,0.718954248366013,0.20319108035371,2.56209150326797,0.234108527131783,0.722982216142271,3.56363636363636,"quantor",0,"ok" "toilet_a_04_10.2-shuffled",1,136,4,140,894,2080,2,1,3,18,788,88,812,155,2.05816554809843,0.268456375838926,68,0.143176733780761,0.125279642058166,2.97142857142857,60,32,6.14285714285714,120,64,30.6176470588235,0.8,0.138461538461538,0.843478260869565,0.932692307692308,0.0538461538461538,1.14285714285714,0.25,0.910633484162896,0.768099547511312,0.533333333333333,0.843478260869565,0.96012443438914,1.14285714285714,0.0984340044742729,0.17337807606264,0.718954248366013,0.20319108035371,2.56209150326797,0.234108527131783,0.722982216142271,3.56363636363636,"QuBE",0.05,"ok" "toilet_a_04_10.2-shuffled",1,136,4,140,894,2080,2,1,3,18,788,88,812,155,2.05816554809843,0.268456375838926,68,0.143176733780761,0.125279642058166,2.97142857142857,60,32,6.14285714285714,120,64,30.6176470588235,0.8,0.138461538461538,0.843478260869565,0.932692307692308,0.0538461538461538,1.14285714285714,0.25,0.910633484162896,0.768099547511312,0.533333333333333,0.843478260869565,0.96012443438914,1.14285714285714,0.0984340044742729,0.17337807606264,0.718954248366013,0.20319108035371,2.56209150326797,0.234108527131783,0.722982216142271,3.56363636363636,"sKizzo",0.01,"ok" "toilet_a_04_10.2-shuffled",1,136,4,140,894,2080,2,1,3,18,788,88,812,155,2.05816554809843,0.268456375838926,68,0.143176733780761,0.125279642058166,2.97142857142857,60,32,6.14285714285714,120,64,30.6176470588235,0.8,0.138461538461538,0.843478260869565,0.932692307692308,0.0538461538461538,1.14285714285714,0.25,0.910633484162896,0.768099547511312,0.533333333333333,0.843478260869565,0.96012443438914,1.14285714285714,0.0984340044742729,0.17337807606264,0.718954248366013,0.20319108035371,2.56209150326797,0.234108527131783,0.722982216142271,3.56363636363636,"sSolve",0.77,"ok" "toilet_a_06_01.4-shuffled",1,80,6,86,649,3232,2,1,3,13,216,420,244,147,1.48536209553159,3.49460708782743,40,1.77503852080123,1.71956856702619,17.6511627906977,378,192,38.2325581395349,756,384,261.075,0.530321782178218,0.113242574257426,0.620331950207469,0.348891481913652,0.34529702970297,1.03225806451613,0.885647607934656,0.320637376237624,0.198901608910891,0.507936507936508,0.620331950207469,0.375058343057176,1.03225806451613,0.647149460708783,0.226502311248074,0.515473032714412,0.155879752431477,4.71441202475685,0.437333333333333,0.321715328467153,9.14579759862779,"X2clsQ",0,"ok" "toilet_a_06_01.4-shuffled",1,80,6,86,649,3232,2,1,3,13,216,420,244,147,1.48536209553159,3.49460708782743,40,1.77503852080123,1.71956856702619,17.6511627906977,378,192,38.2325581395349,756,384,261.075,0.530321782178218,0.113242574257426,0.620331950207469,0.348891481913652,0.34529702970297,1.03225806451613,0.885647607934656,0.320637376237624,0.198901608910891,0.507936507936508,0.620331950207469,0.375058343057176,1.03225806451613,0.647149460708783,0.226502311248074,0.515473032714412,0.155879752431477,4.71441202475685,0.437333333333333,0.321715328467153,9.14579759862779,"quantor",0,"ok" "toilet_a_06_01.4-shuffled",1,80,6,86,649,3232,2,1,3,13,216,420,244,147,1.48536209553159,3.49460708782743,40,1.77503852080123,1.71956856702619,17.6511627906977,378,192,38.2325581395349,756,384,261.075,0.530321782178218,0.113242574257426,0.620331950207469,0.348891481913652,0.34529702970297,1.03225806451613,0.885647607934656,0.320637376237624,0.198901608910891,0.507936507936508,0.620331950207469,0.375058343057176,1.03225806451613,0.647149460708783,0.226502311248074,0.515473032714412,0.155879752431477,4.71441202475685,0.437333333333333,0.321715328467153,9.14579759862779,"QuBE",0.04,"ok" "toilet_a_06_01.4-shuffled",1,80,6,86,649,3232,2,1,3,13,216,420,244,147,1.48536209553159,3.49460708782743,40,1.77503852080123,1.71956856702619,17.6511627906977,378,192,38.2325581395349,756,384,261.075,0.530321782178218,0.113242574257426,0.620331950207469,0.348891481913652,0.34529702970297,1.03225806451613,0.885647607934656,0.320637376237624,0.198901608910891,0.507936507936508,0.620331950207469,0.375058343057176,1.03225806451613,0.647149460708783,0.226502311248074,0.515473032714412,0.155879752431477,4.71441202475685,0.437333333333333,0.321715328467153,9.14579759862779,"sKizzo",0,"ok" "toilet_a_06_01.4-shuffled",1,80,6,86,649,3232,2,1,3,13,216,420,244,147,1.48536209553159,3.49460708782743,40,1.77503852080123,1.71956856702619,17.6511627906977,378,192,38.2325581395349,756,384,261.075,0.530321782178218,0.113242574257426,0.620331950207469,0.348891481913652,0.34529702970297,1.03225806451613,0.885647607934656,0.320637376237624,0.198901608910891,0.507936507936508,0.620331950207469,0.375058343057176,1.03225806451613,0.647149460708783,0.226502311248074,0.515473032714412,0.155879752431477,4.71441202475685,0.437333333333333,0.321715328467153,9.14579759862779,"sSolve",0.84,"ok" "toilet_a_06_10.2-shuffled",1,184,6,190,1724,5506,2,1,3,22,1292,410,1329,213,1.87819025522042,1.31554524361949,92,0.668213457076566,0.647331786542923,8.98947368421053,378,192,18.9052631578947,756,384,131.619565217391,0.689792953142027,0.100980748274609,0.82828906732551,0.706161137440758,0.202687976752633,1.03225806451613,0.44971037388099,0.60726243307696,0.502988834315134,0.507936507936508,0.828289067325509,0.729188131052957,1.03225806451613,0.237819025522042,0.123549883990719,0.617465388711395,0.141640042598509,7.52715654952077,0.265348166460651,0.370267260579065,12.1904104863746,"X2clsQ",0.01,"ok" "toilet_a_06_10.2-shuffled",1,184,6,190,1724,5506,2,1,3,22,1292,410,1329,213,1.87819025522042,1.31554524361949,92,0.668213457076566,0.647331786542923,8.98947368421053,378,192,18.9052631578947,756,384,131.619565217391,0.689792953142027,0.100980748274609,0.82828906732551,0.706161137440758,0.202687976752633,1.03225806451613,0.44971037388099,0.60726243307696,0.502988834315134,0.507936507936508,0.828289067325509,0.729188131052957,1.03225806451613,0.237819025522042,0.123549883990719,0.617465388711395,0.141640042598509,7.52715654952077,0.265348166460651,0.370267260579065,12.1904104863746,"quantor",0,"ok" "toilet_a_06_10.2-shuffled",1,184,6,190,1724,5506,2,1,3,22,1292,410,1329,213,1.87819025522042,1.31554524361949,92,0.668213457076566,0.647331786542923,8.98947368421053,378,192,18.9052631578947,756,384,131.619565217391,0.689792953142027,0.100980748274609,0.82828906732551,0.706161137440758,0.202687976752633,1.03225806451613,0.44971037388099,0.60726243307696,0.502988834315134,0.507936507936508,0.828289067325509,0.729188131052957,1.03225806451613,0.237819025522042,0.123549883990719,0.617465388711395,0.141640042598509,7.52715654952077,0.265348166460651,0.370267260579065,12.1904104863746,"QuBE",0.05,"ok" "toilet_a_06_10.2-shuffled",1,184,6,190,1724,5506,2,1,3,22,1292,410,1329,213,1.87819025522042,1.31554524361949,92,0.668213457076566,0.647331786542923,8.98947368421053,378,192,18.9052631578947,756,384,131.619565217391,0.689792953142027,0.100980748274609,0.82828906732551,0.706161137440758,0.202687976752633,1.03225806451613,0.44971037388099,0.60726243307696,0.502988834315134,0.507936507936508,0.828289067325509,0.729188131052957,1.03225806451613,0.237819025522042,0.123549883990719,0.617465388711395,0.141640042598509,7.52715654952077,0.265348166460651,0.370267260579065,12.1904104863746,"sKizzo",0.02,"ok" "toilet_a_06_10.2-shuffled",1,184,6,190,1724,5506,2,1,3,22,1292,410,1329,213,1.87819025522042,1.31554524361949,92,0.668213457076566,0.647331786542923,8.98947368421053,378,192,18.9052631578947,756,384,131.619565217391,0.689792953142027,0.100980748274609,0.82828906732551,0.706161137440758,0.202687976752633,1.03225806451613,0.44971037388099,0.60726243307696,0.502988834315134,0.507936507936508,0.828289067325509,0.729188131052957,1.03225806451613,0.237819025522042,0.123549883990719,0.617465388711395,0.141640042598509,7.52715654952077,0.265348166460651,0.370267260579065,12.1904104863746,"sSolve",0.87,"ok" "toilet_a_08_01.4-shuffled",1,104,8,112,2429,19196,2,1,3,17,318,2094,363,193,1.18402634829148,6.71881432688349,52,3.37258130918073,3.34623301770276,84.3214285714286,2040,1024,178.482142857143,4080,2048,3836.59615384615,0.508022504688477,0.0652219212335903,0.564673157162726,0.166529942575882,0.423421546155449,1.00787401574803,0.968416735028712,0.161347716671743,0.0911087245740298,0.501960784313725,0.564673157162726,0.179339938158642,1.00787401574803,0.862083161794977,0.0794565664882668,0.503847108463639,0.0963858182026462,5.64864730702408,0.471372549019608,0.194266363951206,11.2110344827586,"X2clsQ",0.01,"ok" "toilet_a_08_01.4-shuffled",1,104,8,112,2429,19196,2,1,3,17,318,2094,363,193,1.18402634829148,6.71881432688349,52,3.37258130918073,3.34623301770276,84.3214285714286,2040,1024,178.482142857143,4080,2048,3836.59615384615,0.508022504688477,0.0652219212335903,0.564673157162726,0.166529942575882,0.423421546155449,1.00787401574803,0.968416735028712,0.161347716671743,0.0911087245740298,0.501960784313725,0.564673157162726,0.179339938158642,1.00787401574803,0.862083161794977,0.0794565664882668,0.503847108463639,0.0963858182026462,5.64864730702408,0.471372549019608,0.194266363951206,11.2110344827586,"quantor",0.04,"ok" "toilet_a_08_01.4-shuffled",1,104,8,112,2429,19196,2,1,3,17,318,2094,363,193,1.18402634829148,6.71881432688349,52,3.37258130918073,3.34623301770276,84.3214285714286,2040,1024,178.482142857143,4080,2048,3836.59615384615,0.508022504688477,0.0652219212335903,0.564673157162726,0.166529942575882,0.423421546155449,1.00787401574803,0.968416735028712,0.161347716671743,0.0911087245740298,0.501960784313725,0.564673157162726,0.179339938158642,1.00787401574803,0.862083161794977,0.0794565664882668,0.503847108463639,0.0963858182026462,5.64864730702408,0.471372549019608,0.194266363951206,11.2110344827586,"QuBE",0.07,"ok" "toilet_a_08_01.4-shuffled",1,104,8,112,2429,19196,2,1,3,17,318,2094,363,193,1.18402634829148,6.71881432688349,52,3.37258130918073,3.34623301770276,84.3214285714286,2040,1024,178.482142857143,4080,2048,3836.59615384615,0.508022504688477,0.0652219212335903,0.564673157162726,0.166529942575882,0.423421546155449,1.00787401574803,0.968416735028712,0.161347716671743,0.0911087245740298,0.501960784313725,0.564673157162726,0.179339938158642,1.00787401574803,0.862083161794977,0.0794565664882668,0.503847108463639,0.0963858182026462,5.64864730702408,0.471372549019608,0.194266363951206,11.2110344827586,"sKizzo",0.03,"ok" "toilet_a_08_01.4-shuffled",1,104,8,112,2429,19196,2,1,3,17,318,2094,363,193,1.18402634829148,6.71881432688349,52,3.37258130918073,3.34623301770276,84.3214285714286,2040,1024,178.482142857143,4080,2048,3836.59615384615,0.508022504688477,0.0652219212335903,0.564673157162726,0.166529942575882,0.423421546155449,1.00787401574803,0.968416735028712,0.161347716671743,0.0911087245740298,0.501960784313725,0.564673157162726,0.179339938158642,1.00787401574803,0.862083161794977,0.0794565664882668,0.503847108463639,0.0963858182026462,5.64864730702408,0.471372549019608,0.194266363951206,11.2110344827586,"sSolve",0.78,"ok" "toilet_a_08_10.2-shuffled",1,232,8,240,3978,22460,2,1,3,26,1876,2076,1930,271,1.54348919054801,4.1025641025641,116,2.05932629462041,2.04323780794369,40.3666666666667,2040,1024,84.8833333333333,4080,2048,1739.86206896552,0.568655387355298,0.0666073018699911,0.756351791530945,0.363607892264328,0.361887800534283,1.00787401574803,0.758534293767617,0.282801608990696,0.213897503607947,0.501960784313725,0.756351791530945,0.376146095445857,1.00787401574803,0.521870286576169,0.0681246857717446,0.536578707916288,0.0938470710049889,11.0937215650591,0.352296819787986,0.202509191114241,20.6749194505681,"X2clsQ",0.14,"ok" "toilet_a_08_10.2-shuffled",1,232,8,240,3978,22460,2,1,3,26,1876,2076,1930,271,1.54348919054801,4.1025641025641,116,2.05932629462041,2.04323780794369,40.3666666666667,2040,1024,84.8833333333333,4080,2048,1739.86206896552,0.568655387355298,0.0666073018699911,0.756351791530945,0.363607892264328,0.361887800534283,1.00787401574803,0.758534293767617,0.282801608990696,0.213897503607947,0.501960784313725,0.756351791530945,0.376146095445857,1.00787401574803,0.521870286576169,0.0681246857717446,0.536578707916288,0.0938470710049889,11.0937215650591,0.352296819787986,0.202509191114241,20.6749194505681,"quantor",0.05,"ok" "toilet_a_08_10.2-shuffled",1,232,8,240,3978,22460,2,1,3,26,1876,2076,1930,271,1.54348919054801,4.1025641025641,116,2.05932629462041,2.04323780794369,40.3666666666667,2040,1024,84.8833333333333,4080,2048,1739.86206896552,0.568655387355298,0.0666073018699911,0.756351791530945,0.363607892264328,0.361887800534283,1.00787401574803,0.758534293767617,0.282801608990696,0.213897503607947,0.501960784313725,0.756351791530945,0.376146095445857,1.00787401574803,0.521870286576169,0.0681246857717446,0.536578707916288,0.0938470710049889,11.0937215650591,0.352296819787986,0.202509191114241,20.6749194505681,"QuBE",0.22,"ok" "toilet_a_08_10.2-shuffled",1,232,8,240,3978,22460,2,1,3,26,1876,2076,1930,271,1.54348919054801,4.1025641025641,116,2.05932629462041,2.04323780794369,40.3666666666667,2040,1024,84.8833333333333,4080,2048,1739.86206896552,0.568655387355298,0.0666073018699911,0.756351791530945,0.363607892264328,0.361887800534283,1.00787401574803,0.758534293767617,0.282801608990696,0.213897503607947,0.501960784313725,0.756351791530945,0.376146095445857,1.00787401574803,0.521870286576169,0.0681246857717446,0.536578707916288,0.0938470710049889,11.0937215650591,0.352296819787986,0.202509191114241,20.6749194505681,"sKizzo",0.05,"ok" "toilet_a_08_10.2-shuffled",1,232,8,240,3978,22460,2,1,3,26,1876,2076,1930,271,1.54348919054801,4.1025641025641,116,2.05932629462041,2.04323780794369,40.3666666666667,2040,1024,84.8833333333333,4080,2048,1739.86206896552,0.568655387355298,0.0666073018699911,0.756351791530945,0.363607892264328,0.361887800534283,1.00787401574803,0.758534293767617,0.282801608990696,0.213897503607947,0.501960784313725,0.756351791530945,0.376146095445857,1.00787401574803,0.521870286576169,0.0681246857717446,0.536578707916288,0.0938470710049889,11.0937215650591,0.352296819787986,0.202509191114241,20.6749194505681,"sSolve",1.23,"ok" "toilet_a_10_01.11-shuffled",1,352,10,362,11796,115951,2,1,3,21,1325,10450,1391,680,1.15725669718549,8.67243133265514,176,4.34045439131909,4.33197694133605,158.176795580111,10230,5120,331.381215469613,20460,10240,22424.1221590909,0.506170710041311,0.0522634561150831,0.556076477913706,0.129338399413879,0.440703400574381,1.00195694716243,0.975618067506091,0.121075389604229,0.0673271762131496,0.500488758553275,0.556076477913706,0.133012785760865,1.00195694716243,0.885893523228213,0.0576466598847067,0.50321568042804,0.0747862644534322,15.3211332115806,0.476229219211889,0.150540710539877,30.4464542888415,"X2clsQ",23.15,"ok" "toilet_a_10_01.11-shuffled",1,352,10,362,11796,115951,2,1,3,21,1325,10450,1391,680,1.15725669718549,8.67243133265514,176,4.34045439131909,4.33197694133605,158.176795580111,10230,5120,331.381215469613,20460,10240,22424.1221590909,0.506170710041311,0.0522634561150831,0.556076477913706,0.129338399413879,0.440703400574381,1.00195694716243,0.975618067506091,0.121075389604229,0.0673271762131496,0.500488758553275,0.556076477913706,0.133012785760865,1.00195694716243,0.885893523228213,0.0576466598847067,0.50321568042804,0.0747862644534322,15.3211332115806,0.476229219211889,0.150540710539877,30.4464542888415,"quantor",1.22,"ok" "toilet_a_10_01.11-shuffled",1,352,10,362,11796,115951,2,1,3,21,1325,10450,1391,680,1.15725669718549,8.67243133265514,176,4.34045439131909,4.33197694133605,158.176795580111,10230,5120,331.381215469613,20460,10240,22424.1221590909,0.506170710041311,0.0522634561150831,0.556076477913706,0.129338399413879,0.440703400574381,1.00195694716243,0.975618067506091,0.121075389604229,0.0673271762131496,0.500488758553275,0.556076477913706,0.133012785760865,1.00195694716243,0.885893523228213,0.0576466598847067,0.50321568042804,0.0747862644534322,15.3211332115806,0.476229219211889,0.150540710539877,30.4464542888415,"QuBE",1.17,"ok" "toilet_a_10_01.11-shuffled",1,352,10,362,11796,115951,2,1,3,21,1325,10450,1391,680,1.15725669718549,8.67243133265514,176,4.34045439131909,4.33197694133605,158.176795580111,10230,5120,331.381215469613,20460,10240,22424.1221590909,0.506170710041311,0.0522634561150831,0.556076477913706,0.129338399413879,0.440703400574381,1.00195694716243,0.975618067506091,0.121075389604229,0.0673271762131496,0.500488758553275,0.556076477913706,0.133012785760865,1.00195694716243,0.885893523228213,0.0576466598847067,0.50321568042804,0.0747862644534322,15.3211332115806,0.476229219211889,0.150540710539877,30.4464542888415,"sKizzo",0.84,"ok" "toilet_a_10_01.11-shuffled",1,352,10,362,11796,115951,2,1,3,21,1325,10450,1391,680,1.15725669718549,8.67243133265514,176,4.34045439131909,4.33197694133605,158.176795580111,10230,5120,331.381215469613,20460,10240,22424.1221590909,0.506170710041311,0.0522634561150831,0.556076477913706,0.129338399413879,0.440703400574381,1.00195694716243,0.975618067506091,0.121075389604229,0.0673271762131496,0.500488758553275,0.556076477913706,0.133012785760865,1.00195694716243,0.885893523228213,0.0576466598847067,0.50321568042804,0.0747862644534322,15.3211332115806,0.476229219211889,0.150540710539877,30.4464542888415,"sSolve",30.97,"ok" "toilet_a_10_01.5-shuffled",1,160,10,170,10902,113977,2,1,3,21,563,10318,629,302,1.07108787378463,9.38359933957072,80,4.69638598422308,4.68721335534764,333.505882352941,10230,5120,697.882352941176,20460,10240,49279.85625,0.502566307237425,0.0482202549637208,0.529331163826325,0.107906635708175,0.448336067803153,1.00195694716243,0.989787189469458,0.108853650297867,0.0576196293989138,0.500488758553275,0.529331163826325,0.114650800439936,1.00195694716243,0.946431847367456,0.0277013392038158,0.501233882800052,0.0725405373591125,7.30408169271063,0.488171461207804,0.145439986513823,14.5722026051146,"X2clsQ",0.16,"ok" "toilet_a_10_01.5-shuffled",1,160,10,170,10902,113977,2,1,3,21,563,10318,629,302,1.07108787378463,9.38359933957072,80,4.69638598422308,4.68721335534764,333.505882352941,10230,5120,697.882352941176,20460,10240,49279.85625,0.502566307237425,0.0482202549637208,0.529331163826325,0.107906635708175,0.448336067803153,1.00195694716243,0.989787189469458,0.108853650297867,0.0576196293989138,0.500488758553275,0.529331163826325,0.114650800439936,1.00195694716243,0.946431847367456,0.0277013392038158,0.501233882800052,0.0725405373591125,7.30408169271063,0.488171461207804,0.145439986513823,14.5722026051146,"quantor",1.39,"ok" "toilet_a_10_01.5-shuffled",1,160,10,170,10902,113977,2,1,3,21,563,10318,629,302,1.07108787378463,9.38359933957072,80,4.69638598422308,4.68721335534764,333.505882352941,10230,5120,697.882352941176,20460,10240,49279.85625,0.502566307237425,0.0482202549637208,0.529331163826325,0.107906635708175,0.448336067803153,1.00195694716243,0.989787189469458,0.108853650297867,0.0576196293989138,0.500488758553275,0.529331163826325,0.114650800439936,1.00195694716243,0.946431847367456,0.0277013392038158,0.501233882800052,0.0725405373591125,7.30408169271063,0.488171461207804,0.145439986513823,14.5722026051146,"QuBE",0.21,"ok" "toilet_a_10_01.5-shuffled",1,160,10,170,10902,113977,2,1,3,21,563,10318,629,302,1.07108787378463,9.38359933957072,80,4.69638598422308,4.68721335534764,333.505882352941,10230,5120,697.882352941176,20460,10240,49279.85625,0.502566307237425,0.0482202549637208,0.529331163826325,0.107906635708175,0.448336067803153,1.00195694716243,0.989787189469458,0.108853650297867,0.0576196293989138,0.500488758553275,0.529331163826325,0.114650800439936,1.00195694716243,0.946431847367456,0.0277013392038158,0.501233882800052,0.0725405373591125,7.30408169271063,0.488171461207804,0.145439986513823,14.5722026051146,"sKizzo",0.64,"ok" "toilet_a_10_01.5-shuffled",1,160,10,170,10902,113977,2,1,3,21,563,10318,629,302,1.07108787378463,9.38359933957072,80,4.69638598422308,4.68721335534764,333.505882352941,10230,5120,697.882352941176,20460,10240,49279.85625,0.502566307237425,0.0482202549637208,0.529331163826325,0.107906635708175,0.448336067803153,1.00195694716243,0.989787189469458,0.108853650297867,0.0576196293989138,0.500488758553275,0.529331163826325,0.114650800439936,1.00195694716243,0.946431847367456,0.0277013392038158,0.501233882800052,0.0725405373591125,7.30408169271063,0.488171461207804,0.145439986513823,14.5722026051146,"sSolve",1.17,"ok" "toilet_a_10_10.2-shuffled",1,280,10,290,12840,118030,2,1,3,30,2540,10270,2615,329,1.22507788161994,7.96728971962617,140,3.98753894080997,3.9797507788162,196.206896551724,10230,5120,409.931034482759,20460,10240,28176.5357142857,0.517919173091587,0.0482928069134966,0.637635092180547,0.164076558154752,0.432940777768364,1.00195694716243,0.930803206281695,0.138030888030888,0.088013338013338,0.500488758553275,0.637635092180547,0.169936435231708,1.00195694716243,0.799844236760125,0.0256230529595016,0.509024077974642,0.0704934185295974,12.240531945649,0.439115374367173,0.14357815804653,24.0470588235294,"X2clsQ",1.26,"ok" "toilet_a_10_10.2-shuffled",1,280,10,290,12840,118030,2,1,3,30,2540,10270,2615,329,1.22507788161994,7.96728971962617,140,3.98753894080997,3.9797507788162,196.206896551724,10230,5120,409.931034482759,20460,10240,28176.5357142857,0.517919173091587,0.0482928069134966,0.637635092180547,0.164076558154752,0.432940777768364,1.00195694716243,0.930803206281695,0.138030888030888,0.088013338013338,0.500488758553275,0.637635092180547,0.169936435231708,1.00195694716243,0.799844236760125,0.0256230529595016,0.509024077974642,0.0704934185295974,12.240531945649,0.439115374367173,0.14357815804653,24.0470588235294,"quantor",0.8,"ok" "toilet_a_10_10.2-shuffled",1,280,10,290,12840,118030,2,1,3,30,2540,10270,2615,329,1.22507788161994,7.96728971962617,140,3.98753894080997,3.9797507788162,196.206896551724,10230,5120,409.931034482759,20460,10240,28176.5357142857,0.517919173091587,0.0482928069134966,0.637635092180547,0.164076558154752,0.432940777768364,1.00195694716243,0.930803206281695,0.138030888030888,0.088013338013338,0.500488758553275,0.637635092180547,0.169936435231708,1.00195694716243,0.799844236760125,0.0256230529595016,0.509024077974642,0.0704934185295974,12.240531945649,0.439115374367173,0.14357815804653,24.0470588235294,"QuBE",2.43,"ok" "toilet_a_10_10.2-shuffled",1,280,10,290,12840,118030,2,1,3,30,2540,10270,2615,329,1.22507788161994,7.96728971962617,140,3.98753894080997,3.9797507788162,196.206896551724,10230,5120,409.931034482759,20460,10240,28176.5357142857,0.517919173091587,0.0482928069134966,0.637635092180547,0.164076558154752,0.432940777768364,1.00195694716243,0.930803206281695,0.138030888030888,0.088013338013338,0.500488758553275,0.637635092180547,0.169936435231708,1.00195694716243,0.799844236760125,0.0256230529595016,0.509024077974642,0.0704934185295974,12.240531945649,0.439115374367173,0.14357815804653,24.0470588235294,"sKizzo",0.63,"ok" "toilet_a_10_10.2-shuffled",1,280,10,290,12840,118030,2,1,3,30,2540,10270,2615,329,1.22507788161994,7.96728971962617,140,3.98753894080997,3.9797507788162,196.206896551724,10230,5120,409.931034482759,20460,10240,28176.5357142857,0.517919173091587,0.0482928069134966,0.637635092180547,0.164076558154752,0.432940777768364,1.00195694716243,0.930803206281695,0.138030888030888,0.088013338013338,0.500488758553275,0.637635092180547,0.169936435231708,1.00195694716243,0.799844236760125,0.0256230529595016,0.509024077974642,0.0704934185295974,12.240531945649,0.439115374367173,0.14357815804653,24.0470588235294,"sSolve",2.36,"ok" "toilet_c_02_01.2-shuffled",1,16,1,17,37,76,2,1,3,5,26,6,30,23,1.94594594594595,0.108108108108108,8,0.0540540540540541,0.0540540540540541,1.52941176470588,4,2,3.64705882352941,8,4,10,0.657894736842105,0.315789473684211,0.666666666666667,0.96,0.0263157894736842,1,0.52,1.00657894736842,0.671052631578947,0.5,0.666666666666667,1.02,1,0.162162162162162,0.621621621621622,0.617283950617284,0.380401234567901,0.419753086419753,0.376623376623377,0.993951612903226,0.68,"X2clsQ",0,"ok" "toilet_c_02_01.2-shuffled",1,16,1,17,37,76,2,1,3,5,26,6,30,23,1.94594594594595,0.108108108108108,8,0.0540540540540541,0.0540540540540541,1.52941176470588,4,2,3.64705882352941,8,4,10,0.657894736842105,0.315789473684211,0.666666666666667,0.96,0.0263157894736842,1,0.52,1.00657894736842,0.671052631578947,0.5,0.666666666666667,1.02,1,0.162162162162162,0.621621621621622,0.617283950617284,0.380401234567901,0.419753086419753,0.376623376623377,0.993951612903226,0.68,"quantor",0,"ok" "toilet_c_02_01.2-shuffled",1,16,1,17,37,76,2,1,3,5,26,6,30,23,1.94594594594595,0.108108108108108,8,0.0540540540540541,0.0540540540540541,1.52941176470588,4,2,3.64705882352941,8,4,10,0.657894736842105,0.315789473684211,0.666666666666667,0.96,0.0263157894736842,1,0.52,1.00657894736842,0.671052631578947,0.5,0.666666666666667,1.02,1,0.162162162162162,0.621621621621622,0.617283950617284,0.380401234567901,0.419753086419753,0.376623376623377,0.993951612903226,0.68,"QuBE",0.06,"ok" "toilet_c_02_01.2-shuffled",1,16,1,17,37,76,2,1,3,5,26,6,30,23,1.94594594594595,0.108108108108108,8,0.0540540540540541,0.0540540540540541,1.52941176470588,4,2,3.64705882352941,8,4,10,0.657894736842105,0.315789473684211,0.666666666666667,0.96,0.0263157894736842,1,0.52,1.00657894736842,0.671052631578947,0.5,0.666666666666667,1.02,1,0.162162162162162,0.621621621621622,0.617283950617284,0.380401234567901,0.419753086419753,0.376623376623377,0.993951612903226,0.68,"sKizzo",0,"ok" "toilet_c_02_01.2-shuffled",1,16,1,17,37,76,2,1,3,5,26,6,30,23,1.94594594594595,0.108108108108108,8,0.0540540540540541,0.0540540540540541,1.52941176470588,4,2,3.64705882352941,8,4,10,0.657894736842105,0.315789473684211,0.666666666666667,0.96,0.0263157894736842,1,0.52,1.00657894736842,0.671052631578947,0.5,0.666666666666667,1.02,1,0.162162162162162,0.621621621621622,0.617283950617284,0.380401234567901,0.419753086419753,0.376623376623377,0.993951612903226,0.68,"sSolve",0.8,"ok" "toilet_c_04_01.4-shuffled",1,56,2,58,185,416,2,1,3,9,130,46,149,96,2.07567567567568,0.172972972972973,28,0.0864864864864865,0.0864864864864865,2.41379310344828,16,8,5.62068965517241,32,16,22.3928571428571,0.663461538461538,0.298076923076923,0.677083333333333,0.942028985507246,0.0384615384615385,1,0.507246376811594,0.956043956043956,0.647321428571429,0.5,0.677083333333333,0.975672877846791,1,0.248648648648649,0.518918918918919,0.614657210401891,0.359929078014184,1.09692671394799,0.375959079283887,0.934049079754601,1.78461538461538,"X2clsQ",0,"ok" "toilet_c_04_01.4-shuffled",1,56,2,58,185,416,2,1,3,9,130,46,149,96,2.07567567567568,0.172972972972973,28,0.0864864864864865,0.0864864864864865,2.41379310344828,16,8,5.62068965517241,32,16,22.3928571428571,0.663461538461538,0.298076923076923,0.677083333333333,0.942028985507246,0.0384615384615385,1,0.507246376811594,0.956043956043956,0.647321428571429,0.5,0.677083333333333,0.975672877846791,1,0.248648648648649,0.518918918918919,0.614657210401891,0.359929078014184,1.09692671394799,0.375959079283887,0.934049079754601,1.78461538461538,"quantor",0,"ok" "toilet_c_04_01.4-shuffled",1,56,2,58,185,416,2,1,3,9,130,46,149,96,2.07567567567568,0.172972972972973,28,0.0864864864864865,0.0864864864864865,2.41379310344828,16,8,5.62068965517241,32,16,22.3928571428571,0.663461538461538,0.298076923076923,0.677083333333333,0.942028985507246,0.0384615384615385,1,0.507246376811594,0.956043956043956,0.647321428571429,0.5,0.677083333333333,0.975672877846791,1,0.248648648648649,0.518918918918919,0.614657210401891,0.359929078014184,1.09692671394799,0.375959079283887,0.934049079754601,1.78461538461538,"QuBE",0.05,"ok" "toilet_c_04_01.4-shuffled",1,56,2,58,185,416,2,1,3,9,130,46,149,96,2.07567567567568,0.172972972972973,28,0.0864864864864865,0.0864864864864865,2.41379310344828,16,8,5.62068965517241,32,16,22.3928571428571,0.663461538461538,0.298076923076923,0.677083333333333,0.942028985507246,0.0384615384615385,1,0.507246376811594,0.956043956043956,0.647321428571429,0.5,0.677083333333333,0.975672877846791,1,0.248648648648649,0.518918918918919,0.614657210401891,0.359929078014184,1.09692671394799,0.375959079283887,0.934049079754601,1.78461538461538,"sKizzo",0,"ok" "toilet_c_04_01.4-shuffled",1,56,2,58,185,416,2,1,3,9,130,46,149,96,2.07567567567568,0.172972972972973,28,0.0864864864864865,0.0864864864864865,2.41379310344828,16,8,5.62068965517241,32,16,22.3928571428571,0.663461538461538,0.298076923076923,0.677083333333333,0.942028985507246,0.0384615384615385,1,0.507246376811594,0.956043956043956,0.647321428571429,0.5,0.677083333333333,0.975672877846791,1,0.248648648648649,0.518918918918919,0.614657210401891,0.359929078014184,1.09692671394799,0.375959079283887,0.934049079754601,1.78461538461538,"sSolve",1.08,"ok" "toilet_c_04_10.2-shuffled",1,136,2,138,850,1828,2,1,3,18,788,44,816,150,2.11294117647059,0.0376470588235294,68,0.0188235294117647,0.0188235294117647,2,16,8,4,32,16,24.9705882352941,0.849015317286652,0.142231947483589,0.855233853006682,0.989690721649485,0.0087527352297593,1,0.177835051546392,0.996942978504312,0.8526193847342,0.5,0.855233853006682,1.00424499696786,1,0.0517647058823529,0.176470588235294,0.780254777070064,0.210050580741851,0.878980891719745,0.212418300653595,0.955882352941177,1.1265306122449,"X2clsQ",0,"ok" "toilet_c_04_10.2-shuffled",1,136,2,138,850,1828,2,1,3,18,788,44,816,150,2.11294117647059,0.0376470588235294,68,0.0188235294117647,0.0188235294117647,2,16,8,4,32,16,24.9705882352941,0.849015317286652,0.142231947483589,0.855233853006682,0.989690721649485,0.0087527352297593,1,0.177835051546392,0.996942978504312,0.8526193847342,0.5,0.855233853006682,1.00424499696786,1,0.0517647058823529,0.176470588235294,0.780254777070064,0.210050580741851,0.878980891719745,0.212418300653595,0.955882352941177,1.1265306122449,"quantor",0,"ok" "toilet_c_04_10.2-shuffled",1,136,2,138,850,1828,2,1,3,18,788,44,816,150,2.11294117647059,0.0376470588235294,68,0.0188235294117647,0.0188235294117647,2,16,8,4,32,16,24.9705882352941,0.849015317286652,0.142231947483589,0.855233853006682,0.989690721649485,0.0087527352297593,1,0.177835051546392,0.996942978504312,0.8526193847342,0.5,0.855233853006682,1.00424499696786,1,0.0517647058823529,0.176470588235294,0.780254777070064,0.210050580741851,0.878980891719745,0.212418300653595,0.955882352941177,1.1265306122449,"QuBE",0.03,"ok" "toilet_c_04_10.2-shuffled",1,136,2,138,850,1828,2,1,3,18,788,44,816,150,2.11294117647059,0.0376470588235294,68,0.0188235294117647,0.0188235294117647,2,16,8,4,32,16,24.9705882352941,0.849015317286652,0.142231947483589,0.855233853006682,0.989690721649485,0.0087527352297593,1,0.177835051546392,0.996942978504312,0.8526193847342,0.5,0.855233853006682,1.00424499696786,1,0.0517647058823529,0.176470588235294,0.780254777070064,0.210050580741851,0.878980891719745,0.212418300653595,0.955882352941177,1.1265306122449,"sKizzo",0.01,"ok" "toilet_c_04_10.2-shuffled",1,136,2,138,850,1828,2,1,3,18,788,44,816,150,2.11294117647059,0.0376470588235294,68,0.0188235294117647,0.0188235294117647,2,16,8,4,32,16,24.9705882352941,0.849015317286652,0.142231947483589,0.855233853006682,0.989690721649485,0.0087527352297593,1,0.177835051546392,0.996942978504312,0.8526193847342,0.5,0.855233853006682,1.00424499696786,1,0.0517647058823529,0.176470588235294,0.780254777070064,0.210050580741851,0.878980891719745,0.212418300653595,0.955882352941177,1.1265306122449,"sSolve",0.87,"ok" "toilet_c_06_01.5-shuffled",1,100,3,103,386,907,2,1,3,13,281,92,304,178,2.0699481865285,0.27979274611399,50,0.170984455958549,0.10880829015544,2.95145631067961,36,22,6.7378640776699,72,44,28.13,0.664829106945976,0.262403528114664,0.702127659574468,0.930348258706468,0.0463065049614112,1.57142857142857,0.504145936981758,0.907353914002205,0.637078280044101,0.611111111111111,0.702127659574468,0.958258706467662,1.57142857142857,0.238341968911917,0.461139896373057,0.611204481792717,0.324291316526611,1.6156862745098,0.358189929891651,0.834092219020173,2.64344637946838,"X2clsQ",0,"ok" "toilet_c_06_01.5-shuffled",1,100,3,103,386,907,2,1,3,13,281,92,304,178,2.0699481865285,0.27979274611399,50,0.170984455958549,0.10880829015544,2.95145631067961,36,22,6.7378640776699,72,44,28.13,0.664829106945976,0.262403528114664,0.702127659574468,0.930348258706468,0.0463065049614112,1.57142857142857,0.504145936981758,0.907353914002205,0.637078280044101,0.611111111111111,0.702127659574468,0.958258706467662,1.57142857142857,0.238341968911917,0.461139896373057,0.611204481792717,0.324291316526611,1.6156862745098,0.358189929891651,0.834092219020173,2.64344637946838,"quantor",0,"ok" "toilet_c_06_01.5-shuffled",1,100,3,103,386,907,2,1,3,13,281,92,304,178,2.0699481865285,0.27979274611399,50,0.170984455958549,0.10880829015544,2.95145631067961,36,22,6.7378640776699,72,44,28.13,0.664829106945976,0.262403528114664,0.702127659574468,0.930348258706468,0.0463065049614112,1.57142857142857,0.504145936981758,0.907353914002205,0.637078280044101,0.611111111111111,0.702127659574468,0.958258706467662,1.57142857142857,0.238341968911917,0.461139896373057,0.611204481792717,0.324291316526611,1.6156862745098,0.358189929891651,0.834092219020173,2.64344637946838,"QuBE",0.05,"ok" "toilet_c_06_01.5-shuffled",1,100,3,103,386,907,2,1,3,13,281,92,304,178,2.0699481865285,0.27979274611399,50,0.170984455958549,0.10880829015544,2.95145631067961,36,22,6.7378640776699,72,44,28.13,0.664829106945976,0.262403528114664,0.702127659574468,0.930348258706468,0.0463065049614112,1.57142857142857,0.504145936981758,0.907353914002205,0.637078280044101,0.611111111111111,0.702127659574468,0.958258706467662,1.57142857142857,0.238341968911917,0.461139896373057,0.611204481792717,0.324291316526611,1.6156862745098,0.358189929891651,0.834092219020173,2.64344637946838,"sKizzo",0,"ok" "toilet_c_06_01.5-shuffled",1,100,3,103,386,907,2,1,3,13,281,92,304,178,2.0699481865285,0.27979274611399,50,0.170984455958549,0.10880829015544,2.95145631067961,36,22,6.7378640776699,72,44,28.13,0.664829106945976,0.262403528114664,0.702127659574468,0.930348258706468,0.0463065049614112,1.57142857142857,0.504145936981758,0.907353914002205,0.637078280044101,0.611111111111111,0.702127659574468,0.958258706467662,1.57142857142857,0.238341968911917,0.461139896373057,0.611204481792717,0.324291316526611,1.6156862745098,0.358189929891651,0.834092219020173,2.64344637946838,"sSolve",0.78,"ok" "toilet_c_06_10.2-shuffled",1,184,3,187,1382,3004,2,1,3,22,1292,68,1324,205,2.09551374819103,0.0781476121562952,92,0.0477568740955137,0.0303907380607815,2.33155080213904,36,22,4.6096256684492,72,44,29.4891304347826,0.854860186418109,0.123169107856192,0.872237569060774,0.983644859813084,0.0139813581890812,1.57142857142857,0.169781931464174,0.979766108956175,0.854588809124067,0.611111111111111,0.872237569060773,0.999682547744819,1.57142857142857,0.0492040520984081,0.148335745296671,0.786844708209693,0.183457510858814,1.29475766567755,0.190700104493208,0.860675375769192,1.64550597108737,"X2clsQ",0,"ok" "toilet_c_06_10.2-shuffled",1,184,3,187,1382,3004,2,1,3,22,1292,68,1324,205,2.09551374819103,0.0781476121562952,92,0.0477568740955137,0.0303907380607815,2.33155080213904,36,22,4.6096256684492,72,44,29.4891304347826,0.854860186418109,0.123169107856192,0.872237569060774,0.983644859813084,0.0139813581890812,1.57142857142857,0.169781931464174,0.979766108956175,0.854588809124067,0.611111111111111,0.872237569060773,0.999682547744819,1.57142857142857,0.0492040520984081,0.148335745296671,0.786844708209693,0.183457510858814,1.29475766567755,0.190700104493208,0.860675375769192,1.64550597108737,"quantor",0,"ok" "toilet_c_06_10.2-shuffled",1,184,3,187,1382,3004,2,1,3,22,1292,68,1324,205,2.09551374819103,0.0781476121562952,92,0.0477568740955137,0.0303907380607815,2.33155080213904,36,22,4.6096256684492,72,44,29.4891304347826,0.854860186418109,0.123169107856192,0.872237569060774,0.983644859813084,0.0139813581890812,1.57142857142857,0.169781931464174,0.979766108956175,0.854588809124067,0.611111111111111,0.872237569060773,0.999682547744819,1.57142857142857,0.0492040520984081,0.148335745296671,0.786844708209693,0.183457510858814,1.29475766567755,0.190700104493208,0.860675375769192,1.64550597108737,"QuBE",0.04,"ok" "toilet_c_06_10.2-shuffled",1,184,3,187,1382,3004,2,1,3,22,1292,68,1324,205,2.09551374819103,0.0781476121562952,92,0.0477568740955137,0.0303907380607815,2.33155080213904,36,22,4.6096256684492,72,44,29.4891304347826,0.854860186418109,0.123169107856192,0.872237569060774,0.983644859813084,0.0139813581890812,1.57142857142857,0.169781931464174,0.979766108956175,0.854588809124067,0.611111111111111,0.872237569060773,0.999682547744819,1.57142857142857,0.0492040520984081,0.148335745296671,0.786844708209693,0.183457510858814,1.29475766567755,0.190700104493208,0.860675375769192,1.64550597108737,"sKizzo",0.02,"ok" "toilet_c_06_10.2-shuffled",1,184,3,187,1382,3004,2,1,3,22,1292,68,1324,205,2.09551374819103,0.0781476121562952,92,0.0477568740955137,0.0303907380607815,2.33155080213904,36,22,4.6096256684492,72,44,29.4891304347826,0.854860186418109,0.123169107856192,0.872237569060774,0.983644859813084,0.0139813581890812,1.57142857142857,0.169781931464174,0.979766108956175,0.854588809124067,0.611111111111111,0.872237569060773,0.999682547744819,1.57142857142857,0.0492040520984081,0.148335745296671,0.786844708209693,0.183457510858814,1.29475766567755,0.190700104493208,0.860675375769192,1.64550597108737,"sSolve",1.32,"ok" "toilet_c_08_01.13-shuffled",1,338,3,341,1461,3333,2,1,3,17,1164,280,1210,640,2.14989733059548,0.131416837782341,169,0.0657084188911704,0.0657084188911704,2.97947214076246,64,32,6.89736070381232,128,64,37.0029585798817,0.695169516951695,0.276027602760276,0.70709964979306,0.958567112645663,0.0288028802880288,1,0.438498057833405,0.950758685335989,0.672281133438788,0.5,0.70709964979306,0.967075104769736,1,0.191649555099247,0.438056125941136,0.628259838786155,0.344424150092166,3.44934408092303,0.363452801615346,0.926518536408646,5.49031446540881,"X2clsQ",3.79,"ok" "toilet_c_08_01.13-shuffled",1,338,3,341,1461,3333,2,1,3,17,1164,280,1210,640,2.14989733059548,0.131416837782341,169,0.0657084188911704,0.0657084188911704,2.97947214076246,64,32,6.89736070381232,128,64,37.0029585798817,0.695169516951695,0.276027602760276,0.70709964979306,0.958567112645663,0.0288028802880288,1,0.438498057833405,0.950758685335989,0.672281133438788,0.5,0.70709964979306,0.967075104769736,1,0.191649555099247,0.438056125941136,0.628259838786155,0.344424150092166,3.44934408092303,0.363452801615346,0.926518536408646,5.49031446540881,"quantor",0.52,"ok" "toilet_c_08_01.13-shuffled",1,338,3,341,1461,3333,2,1,3,17,1164,280,1210,640,2.14989733059548,0.131416837782341,169,0.0657084188911704,0.0657084188911704,2.97947214076246,64,32,6.89736070381232,128,64,37.0029585798817,0.695169516951695,0.276027602760276,0.70709964979306,0.958567112645663,0.0288028802880288,1,0.438498057833405,0.950758685335989,0.672281133438788,0.5,0.70709964979306,0.967075104769736,1,0.191649555099247,0.438056125941136,0.628259838786155,0.344424150092166,3.44934408092303,0.363452801615346,0.926518536408646,5.49031446540881,"QuBE",1.53,"ok" "toilet_c_08_01.13-shuffled",1,338,3,341,1461,3333,2,1,3,17,1164,280,1210,640,2.14989733059548,0.131416837782341,169,0.0657084188911704,0.0657084188911704,2.97947214076246,64,32,6.89736070381232,128,64,37.0029585798817,0.695169516951695,0.276027602760276,0.70709964979306,0.958567112645663,0.0288028802880288,1,0.438498057833405,0.950758685335989,0.672281133438788,0.5,0.70709964979306,0.967075104769736,1,0.191649555099247,0.438056125941136,0.628259838786155,0.344424150092166,3.44934408092303,0.363452801615346,0.926518536408646,5.49031446540881,"sKizzo",0.12,"ok" "toilet_c_08_01.13-shuffled",1,338,3,341,1461,3333,2,1,3,17,1164,280,1210,640,2.14989733059548,0.131416837782341,169,0.0657084188911704,0.0657084188911704,2.97947214076246,64,32,6.89736070381232,128,64,37.0029585798817,0.695169516951695,0.276027602760276,0.70709964979306,0.958567112645663,0.0288028802880288,1,0.438498057833405,0.950758685335989,0.672281133438788,0.5,0.70709964979306,0.967075104769736,1,0.191649555099247,0.438056125941136,0.628259838786155,0.344424150092166,3.44934408092303,0.363452801615346,0.926518536408646,5.49031446540881,"sSolve",3.54,"ok" "toilet_c_08_01.16-shuffled",1,416,3,419,1797,4080,2,1,3,17,1446,334,1492,793,2.16360601001669,0.106844741235392,208,0.0534223706176962,0.0534223706176962,2.96897374701671,64,32,6.88782816229117,128,64,37.6009615384615,0.695098039215686,0.281372549019608,0.704732510288066,0.966149506346968,0.0235294117647059,1,0.438645980253879,0.95981334841629,0.676411670437406,0.5,0.704732510288066,0.973116930671585,1,0.185865331107401,0.441291040623261,0.626842513576416,0.350844050247658,3.46728730281872,0.366530612244898,0.940203702222933,5.53135313531353,"X2clsQ",3.32,"ok" "toilet_c_08_01.16-shuffled",1,416,3,419,1797,4080,2,1,3,17,1446,334,1492,793,2.16360601001669,0.106844741235392,208,0.0534223706176962,0.0534223706176962,2.96897374701671,64,32,6.88782816229117,128,64,37.6009615384615,0.695098039215686,0.281372549019608,0.704732510288066,0.966149506346968,0.0235294117647059,1,0.438645980253879,0.95981334841629,0.676411670437406,0.5,0.704732510288066,0.973116930671585,1,0.185865331107401,0.441291040623261,0.626842513576416,0.350844050247658,3.46728730281872,0.366530612244898,0.940203702222933,5.53135313531353,"quantor",0.01,"ok" "toilet_c_08_01.16-shuffled",1,416,3,419,1797,4080,2,1,3,17,1446,334,1492,793,2.16360601001669,0.106844741235392,208,0.0534223706176962,0.0534223706176962,2.96897374701671,64,32,6.88782816229117,128,64,37.6009615384615,0.695098039215686,0.281372549019608,0.704732510288066,0.966149506346968,0.0235294117647059,1,0.438645980253879,0.95981334841629,0.676411670437406,0.5,0.704732510288066,0.973116930671585,1,0.185865331107401,0.441291040623261,0.626842513576416,0.350844050247658,3.46728730281872,0.366530612244898,0.940203702222933,5.53135313531353,"QuBE",0.05,"ok" "toilet_c_08_01.16-shuffled",1,416,3,419,1797,4080,2,1,3,17,1446,334,1492,793,2.16360601001669,0.106844741235392,208,0.0534223706176962,0.0534223706176962,2.96897374701671,64,32,6.88782816229117,128,64,37.6009615384615,0.695098039215686,0.281372549019608,0.704732510288066,0.966149506346968,0.0235294117647059,1,0.438645980253879,0.95981334841629,0.676411670437406,0.5,0.704732510288066,0.973116930671585,1,0.185865331107401,0.441291040623261,0.626842513576416,0.350844050247658,3.46728730281872,0.366530612244898,0.940203702222933,5.53135313531353,"sKizzo",0.02,"ok" "toilet_c_08_01.16-shuffled",1,416,3,419,1797,4080,2,1,3,17,1446,334,1492,793,2.16360601001669,0.106844741235392,208,0.0534223706176962,0.0534223706176962,2.96897374701671,64,32,6.88782816229117,128,64,37.6009615384615,0.695098039215686,0.281372549019608,0.704732510288066,0.966149506346968,0.0235294117647059,1,0.438645980253879,0.95981334841629,0.676411670437406,0.5,0.704732510288066,0.973116930671585,1,0.185865331107401,0.441291040623261,0.626842513576416,0.350844050247658,3.46728730281872,0.366530612244898,0.940203702222933,5.53135313531353,"sSolve",0.82,"ok" "toilet_c_08_01.4-shuffled",1,104,3,107,453,1092,2,1,3,17,318,118,364,181,1.98675496688742,0.423841059602649,52,0.211920529801325,0.211920529801325,3.10280373831776,64,32,7.00934579439252,128,64,29.8269230769231,0.695970695970696,0.216117216117216,0.737777777777778,0.873684210526316,0.0879120879120879,1,0.436842105263158,0.847950126796281,0.625598760214145,0.5,0.737777777777778,0.898886639676113,1,0.260485651214128,0.399558498896247,0.643874643874644,0.272600262984878,3.25166191832859,0.32404181184669,0.765461538461538,5.05014749262537,"X2clsQ",0,"ok" "toilet_c_08_01.4-shuffled",1,104,3,107,453,1092,2,1,3,17,318,118,364,181,1.98675496688742,0.423841059602649,52,0.211920529801325,0.211920529801325,3.10280373831776,64,32,7.00934579439252,128,64,29.8269230769231,0.695970695970696,0.216117216117216,0.737777777777778,0.873684210526316,0.0879120879120879,1,0.436842105263158,0.847950126796281,0.625598760214145,0.5,0.737777777777778,0.898886639676113,1,0.260485651214128,0.399558498896247,0.643874643874644,0.272600262984878,3.25166191832859,0.32404181184669,0.765461538461538,5.05014749262537,"quantor",0,"ok" "toilet_c_08_01.4-shuffled",1,104,3,107,453,1092,2,1,3,17,318,118,364,181,1.98675496688742,0.423841059602649,52,0.211920529801325,0.211920529801325,3.10280373831776,64,32,7.00934579439252,128,64,29.8269230769231,0.695970695970696,0.216117216117216,0.737777777777778,0.873684210526316,0.0879120879120879,1,0.436842105263158,0.847950126796281,0.625598760214145,0.5,0.737777777777778,0.898886639676113,1,0.260485651214128,0.399558498896247,0.643874643874644,0.272600262984878,3.25166191832859,0.32404181184669,0.765461538461538,5.05014749262537,"QuBE",0.03,"ok" "toilet_c_08_01.4-shuffled",1,104,3,107,453,1092,2,1,3,17,318,118,364,181,1.98675496688742,0.423841059602649,52,0.211920529801325,0.211920529801325,3.10280373831776,64,32,7.00934579439252,128,64,29.8269230769231,0.695970695970696,0.216117216117216,0.737777777777778,0.873684210526316,0.0879120879120879,1,0.436842105263158,0.847950126796281,0.625598760214145,0.5,0.737777777777778,0.898886639676113,1,0.260485651214128,0.399558498896247,0.643874643874644,0.272600262984878,3.25166191832859,0.32404181184669,0.765461538461538,5.05014749262537,"sKizzo",0.01,"ok" "toilet_c_08_01.4-shuffled",1,104,3,107,453,1092,2,1,3,17,318,118,364,181,1.98675496688742,0.423841059602649,52,0.211920529801325,0.211920529801325,3.10280373831776,64,32,7.00934579439252,128,64,29.8269230769231,0.695970695970696,0.216117216117216,0.737777777777778,0.873684210526316,0.0879120879120879,1,0.436842105263158,0.847950126796281,0.625598760214145,0.5,0.737777777777778,0.898886639676113,1,0.260485651214128,0.399558498896247,0.643874643874644,0.272600262984878,3.25166191832859,0.32404181184669,0.765461538461538,5.05014749262537,"sSolve",0.76,"ok" "toilet_c_08_05.10-shuffled",1,660,3,663,4953,11138,2,1,3,21,4634,298,4684,1175,2.20997375328084,0.0387643852210781,330,0.0193821926105391,0.0193821926105391,3.57767722473605,64,32,7.19457013574661,128,64,71,0.787035374393967,0.204345483928892,0.792070162616481,0.989048596851472,0.00861914167714132,1,0.270590919461556,0.987228815357743,0.781954488320084,0.5,0.792070162616481,0.993544272291706,1,0.0601655562285484,0.23722996163941,0.715936160076227,0.273869050197064,2.5269175797999,0.27901023890785,0.964110920526015,3.52952919647313,"X2clsQ",0.06,"ok" "toilet_c_08_05.10-shuffled",1,660,3,663,4953,11138,2,1,3,21,4634,298,4684,1175,2.20997375328084,0.0387643852210781,330,0.0193821926105391,0.0193821926105391,3.57767722473605,64,32,7.19457013574661,128,64,71,0.787035374393967,0.204345483928892,0.792070162616481,0.989048596851472,0.00861914167714132,1,0.270590919461556,0.987228815357743,0.781954488320084,0.5,0.792070162616481,0.993544272291706,1,0.0601655562285484,0.23722996163941,0.715936160076227,0.273869050197064,2.5269175797999,0.27901023890785,0.964110920526015,3.52952919647313,"quantor",0.01,"ok" "toilet_c_08_05.10-shuffled",1,660,3,663,4953,11138,2,1,3,21,4634,298,4684,1175,2.20997375328084,0.0387643852210781,330,0.0193821926105391,0.0193821926105391,3.57767722473605,64,32,7.19457013574661,128,64,71,0.787035374393967,0.204345483928892,0.792070162616481,0.989048596851472,0.00861914167714132,1,0.270590919461556,0.987228815357743,0.781954488320084,0.5,0.792070162616481,0.993544272291706,1,0.0601655562285484,0.23722996163941,0.715936160076227,0.273869050197064,2.5269175797999,0.27901023890785,0.964110920526015,3.52952919647313,"QuBE",0.08,"ok" "toilet_c_08_05.10-shuffled",1,660,3,663,4953,11138,2,1,3,21,4634,298,4684,1175,2.20997375328084,0.0387643852210781,330,0.0193821926105391,0.0193821926105391,3.57767722473605,64,32,7.19457013574661,128,64,71,0.787035374393967,0.204345483928892,0.792070162616481,0.989048596851472,0.00861914167714132,1,0.270590919461556,0.987228815357743,0.781954488320084,0.5,0.792070162616481,0.993544272291706,1,0.0601655562285484,0.23722996163941,0.715936160076227,0.273869050197064,2.5269175797999,0.27901023890785,0.964110920526015,3.52952919647313,"sKizzo",0.04,"ok" "toilet_c_08_05.10-shuffled",1,660,3,663,4953,11138,2,1,3,21,4634,298,4684,1175,2.20997375328084,0.0387643852210781,330,0.0193821926105391,0.0193821926105391,3.57767722473605,64,32,7.19457013574661,128,64,71,0.787035374393967,0.204345483928892,0.792070162616481,0.989048596851472,0.00861914167714132,1,0.270590919461556,0.987228815357743,0.781954488320084,0.5,0.792070162616481,0.993544272291706,1,0.0601655562285484,0.23722996163941,0.715936160076227,0.273869050197064,2.5269175797999,0.27901023890785,0.964110920526015,3.52952919647313,"sSolve",1.05,"ok" "toilet_c_08_05.6-shuffled",1,396,3,399,2905,6542,2,1,3,21,2690,194,2740,667,2.18588640275387,0.0660929432013769,198,0.0330464716006885,0.0330464716006885,3.41854636591479,64,32,6.88220551378446,128,64,63.6161616161616,0.791501070009171,0.193824518495873,0.800314960629921,0.981460023174971,0.0146744114949557,1,0.263422170722287,0.978004595017741,0.782711708957505,0.5,0.800314960629921,0.988895326380842,1,0.0667814113597246,0.22960413080895,0.721048354327509,0.261412889562016,2.59406745225518,0.269978858350951,0.937126178022027,3.59763313609467,"X2clsQ",0.02,"ok" "toilet_c_08_05.6-shuffled",1,396,3,399,2905,6542,2,1,3,21,2690,194,2740,667,2.18588640275387,0.0660929432013769,198,0.0330464716006885,0.0330464716006885,3.41854636591479,64,32,6.88220551378446,128,64,63.6161616161616,0.791501070009171,0.193824518495873,0.800314960629921,0.981460023174971,0.0146744114949557,1,0.263422170722287,0.978004595017741,0.782711708957505,0.5,0.800314960629921,0.988895326380842,1,0.0667814113597246,0.22960413080895,0.721048354327509,0.261412889562016,2.59406745225518,0.269978858350951,0.937126178022027,3.59763313609467,"quantor",0,"ok" "toilet_c_08_05.6-shuffled",1,396,3,399,2905,6542,2,1,3,21,2690,194,2740,667,2.18588640275387,0.0660929432013769,198,0.0330464716006885,0.0330464716006885,3.41854636591479,64,32,6.88220551378446,128,64,63.6161616161616,0.791501070009171,0.193824518495873,0.800314960629921,0.981460023174971,0.0146744114949557,1,0.263422170722287,0.978004595017741,0.782711708957505,0.5,0.800314960629921,0.988895326380842,1,0.0667814113597246,0.22960413080895,0.721048354327509,0.261412889562016,2.59406745225518,0.269978858350951,0.937126178022027,3.59763313609467,"QuBE",0.07,"ok" "toilet_c_08_05.6-shuffled",1,396,3,399,2905,6542,2,1,3,21,2690,194,2740,667,2.18588640275387,0.0660929432013769,198,0.0330464716006885,0.0330464716006885,3.41854636591479,64,32,6.88220551378446,128,64,63.6161616161616,0.791501070009171,0.193824518495873,0.800314960629921,0.981460023174971,0.0146744114949557,1,0.263422170722287,0.978004595017741,0.782711708957505,0.5,0.800314960629921,0.988895326380842,1,0.0667814113597246,0.22960413080895,0.721048354327509,0.261412889562016,2.59406745225518,0.269978858350951,0.937126178022027,3.59763313609467,"sKizzo",0.02,"ok" "toilet_c_08_05.6-shuffled",1,396,3,399,2905,6542,2,1,3,21,2690,194,2740,667,2.18588640275387,0.0660929432013769,198,0.0330464716006885,0.0330464716006885,3.41854636591479,64,32,6.88220551378446,128,64,63.6161616161616,0.791501070009171,0.193824518495873,0.800314960629921,0.981460023174971,0.0146744114949557,1,0.263422170722287,0.978004595017741,0.782711708957505,0.5,0.800314960629921,0.988895326380842,1,0.0667814113597246,0.22960413080895,0.721048354327509,0.261412889562016,2.59406745225518,0.269978858350951,0.937126178022027,3.59763313609467,"sSolve",0.87,"ok" "toilet_c_08_05.7-shuffled",1,462,3,465,3417,7691,2,1,3,21,3176,220,3226,794,2.19461515949663,0.0561896400351185,231,0.0280948200175593,0.0280948200175593,3.4752688172043,64,32,6.99354838709677,128,64,66.2532467532468,0.789884280327656,0.197633597711611,0.797306307507668,0.984197530864198,0.0124821219607333,1,0.266008230452675,0.98136715709203,0.782450224330344,0.5,0.797306307507668,0.990588423921757,1,0.06438396254024,0.23236757389523,0.71919523357223,0.265941639743557,2.56972627579656,0.273287487719925,0.947069535630421,3.57305799015488,"X2clsQ",0.03,"ok" "toilet_c_08_05.7-shuffled",1,462,3,465,3417,7691,2,1,3,21,3176,220,3226,794,2.19461515949663,0.0561896400351185,231,0.0280948200175593,0.0280948200175593,3.4752688172043,64,32,6.99354838709677,128,64,66.2532467532468,0.789884280327656,0.197633597711611,0.797306307507668,0.984197530864198,0.0124821219607333,1,0.266008230452675,0.98136715709203,0.782450224330344,0.5,0.797306307507668,0.990588423921757,1,0.06438396254024,0.23236757389523,0.71919523357223,0.265941639743557,2.56972627579656,0.273287487719925,0.947069535630421,3.57305799015488,"quantor",0,"ok" "toilet_c_08_05.7-shuffled",1,462,3,465,3417,7691,2,1,3,21,3176,220,3226,794,2.19461515949663,0.0561896400351185,231,0.0280948200175593,0.0280948200175593,3.4752688172043,64,32,6.99354838709677,128,64,66.2532467532468,0.789884280327656,0.197633597711611,0.797306307507668,0.984197530864198,0.0124821219607333,1,0.266008230452675,0.98136715709203,0.782450224330344,0.5,0.797306307507668,0.990588423921757,1,0.06438396254024,0.23236757389523,0.71919523357223,0.265941639743557,2.56972627579656,0.273287487719925,0.947069535630421,3.57305799015488,"QuBE",0.07,"ok" "toilet_c_08_05.7-shuffled",1,462,3,465,3417,7691,2,1,3,21,3176,220,3226,794,2.19461515949663,0.0561896400351185,231,0.0280948200175593,0.0280948200175593,3.4752688172043,64,32,6.99354838709677,128,64,66.2532467532468,0.789884280327656,0.197633597711611,0.797306307507668,0.984197530864198,0.0124821219607333,1,0.266008230452675,0.98136715709203,0.782450224330344,0.5,0.797306307507668,0.990588423921757,1,0.06438396254024,0.23236757389523,0.71919523357223,0.265941639743557,2.56972627579656,0.273287487719925,0.947069535630421,3.57305799015488,"sKizzo",0.03,"ok" "toilet_c_08_05.7-shuffled",1,462,3,465,3417,7691,2,1,3,21,3176,220,3226,794,2.19461515949663,0.0561896400351185,231,0.0280948200175593,0.0280948200175593,3.4752688172043,64,32,6.99354838709677,128,64,66.2532467532468,0.789884280327656,0.197633597711611,0.797306307507668,0.984197530864198,0.0124821219607333,1,0.266008230452675,0.98136715709203,0.782450224330344,0.5,0.797306307507668,0.990588423921757,1,0.06438396254024,0.23236757389523,0.71919523357223,0.265941639743557,2.56972627579656,0.273287487719925,0.947069535630421,3.57305799015488,"sSolve",0.91,"ok" "toilet_c_08_05.8-shuffled",1,528,3,531,3929,8840,2,1,3,21,3662,246,3712,921,2.20106897429371,0.0488673962840417,264,0.0244336981420209,0.0244336981420209,3.51789077212806,64,32,7.07721280602637,128,64,68.2310606060606,0.78868778280543,0.200452488687783,0.795097132284921,0.986230636833047,0.0108597285067873,1,0.267928858290304,0.983838955162485,0.782247531879885,0.5,0.795097132284921,0.991834219996871,1,0.0626113514889285,0.234410791550013,0.717825499324223,0.26927927343718,2.55173449466887,0.27570743776094,0.954300522521651,3.55481171548117,"X2clsQ",0.03,"ok" "toilet_c_08_05.8-shuffled",1,528,3,531,3929,8840,2,1,3,21,3662,246,3712,921,2.20106897429371,0.0488673962840417,264,0.0244336981420209,0.0244336981420209,3.51789077212806,64,32,7.07721280602637,128,64,68.2310606060606,0.78868778280543,0.200452488687783,0.795097132284921,0.986230636833047,0.0108597285067873,1,0.267928858290304,0.983838955162485,0.782247531879885,0.5,0.795097132284921,0.991834219996871,1,0.0626113514889285,0.234410791550013,0.717825499324223,0.26927927343718,2.55173449466887,0.27570743776094,0.954300522521651,3.55481171548117,"quantor",0,"ok" "toilet_c_08_05.8-shuffled",1,528,3,531,3929,8840,2,1,3,21,3662,246,3712,921,2.20106897429371,0.0488673962840417,264,0.0244336981420209,0.0244336981420209,3.51789077212806,64,32,7.07721280602637,128,64,68.2310606060606,0.78868778280543,0.200452488687783,0.795097132284921,0.986230636833047,0.0108597285067873,1,0.267928858290304,0.983838955162485,0.782247531879885,0.5,0.795097132284921,0.991834219996871,1,0.0626113514889285,0.234410791550013,0.717825499324223,0.26927927343718,2.55173449466887,0.27570743776094,0.954300522521651,3.55481171548117,"QuBE",0.07,"ok" "toilet_c_08_05.8-shuffled",1,528,3,531,3929,8840,2,1,3,21,3662,246,3712,921,2.20106897429371,0.0488673962840417,264,0.0244336981420209,0.0244336981420209,3.51789077212806,64,32,7.07721280602637,128,64,68.2310606060606,0.78868778280543,0.200452488687783,0.795097132284921,0.986230636833047,0.0108597285067873,1,0.267928858290304,0.983838955162485,0.782247531879885,0.5,0.795097132284921,0.991834219996871,1,0.0626113514889285,0.234410791550013,0.717825499324223,0.26927927343718,2.55173449466887,0.27570743776094,0.954300522521651,3.55481171548117,"sKizzo",0.03,"ok" "toilet_c_08_05.8-shuffled",1,528,3,531,3929,8840,2,1,3,21,3662,246,3712,921,2.20106897429371,0.0488673962840417,264,0.0244336981420209,0.0244336981420209,3.51789077212806,64,32,7.07721280602637,128,64,68.2310606060606,0.78868778280543,0.200452488687783,0.795097132284921,0.986230636833047,0.0108597285067873,1,0.267928858290304,0.983838955162485,0.782247531879885,0.5,0.795097132284921,0.991834219996871,1,0.0626113514889285,0.234410791550013,0.717825499324223,0.26927927343718,2.55173449466887,0.27570743776094,0.954300522521651,3.55481171548117,"sSolve",1.08,"ok" "toilet_c_08_05.9-shuffled",1,594,3,597,4441,9989,2,1,3,21,4148,272,4198,1048,2.20603467687458,0.0432335059671245,297,0.0216167529835623,0.0216167529835623,3.55108877721943,64,32,7.14237855946399,128,64,69.7693602693603,0.787766543197517,0.202622885173691,0.793406144738185,0.987800228745711,0.00961057162879167,1,0.269411615198882,0.985732285311823,0.782086052233214,0.5,0.793406144738185,0.992789118789881,1,0.0612474667867597,0.235982886737221,0.716771836599136,0.271840960250127,2.53789438724676,0.277554358939404,0.959794947599826,3.54072838476508,"X2clsQ",0.04,"ok" "toilet_c_08_05.9-shuffled",1,594,3,597,4441,9989,2,1,3,21,4148,272,4198,1048,2.20603467687458,0.0432335059671245,297,0.0216167529835623,0.0216167529835623,3.55108877721943,64,32,7.14237855946399,128,64,69.7693602693603,0.787766543197517,0.202622885173691,0.793406144738185,0.987800228745711,0.00961057162879167,1,0.269411615198882,0.985732285311823,0.782086052233214,0.5,0.793406144738185,0.992789118789881,1,0.0612474667867597,0.235982886737221,0.716771836599136,0.271840960250127,2.53789438724676,0.277554358939404,0.959794947599826,3.54072838476508,"quantor",0.01,"ok" "toilet_c_08_05.9-shuffled",1,594,3,597,4441,9989,2,1,3,21,4148,272,4198,1048,2.20603467687458,0.0432335059671245,297,0.0216167529835623,0.0216167529835623,3.55108877721943,64,32,7.14237855946399,128,64,69.7693602693603,0.787766543197517,0.202622885173691,0.793406144738185,0.987800228745711,0.00961057162879167,1,0.269411615198882,0.985732285311823,0.782086052233214,0.5,0.793406144738185,0.992789118789881,1,0.0612474667867597,0.235982886737221,0.716771836599136,0.271840960250127,2.53789438724676,0.277554358939404,0.959794947599826,3.54072838476508,"QuBE",0.07,"ok" "toilet_c_08_05.9-shuffled",1,594,3,597,4441,9989,2,1,3,21,4148,272,4198,1048,2.20603467687458,0.0432335059671245,297,0.0216167529835623,0.0216167529835623,3.55108877721943,64,32,7.14237855946399,128,64,69.7693602693603,0.787766543197517,0.202622885173691,0.793406144738185,0.987800228745711,0.00961057162879167,1,0.269411615198882,0.985732285311823,0.782086052233214,0.5,0.793406144738185,0.992789118789881,1,0.0612474667867597,0.235982886737221,0.716771836599136,0.271840960250127,2.53789438724676,0.277554358939404,0.959794947599826,3.54072838476508,"sKizzo",0.04,"ok" "toilet_c_08_05.9-shuffled",1,594,3,597,4441,9989,2,1,3,21,4148,272,4198,1048,2.20603467687458,0.0432335059671245,297,0.0216167529835623,0.0216167529835623,3.55108877721943,64,32,7.14237855946399,128,64,69.7693602693603,0.787766543197517,0.202622885173691,0.793406144738185,0.987800228745711,0.00961057162879167,1,0.269411615198882,0.985732285311823,0.782086052233214,0.5,0.793406144738185,0.992789118789881,1,0.0612474667867597,0.235982886737221,0.716771836599136,0.271840960250127,2.53789438724676,0.277554358939404,0.959794947599826,3.54072838476508,"sSolve",0.99,"ok" "toilet_c_08_10.2-shuffled",1,232,3,235,2002,4356,2,1,3,26,1876,100,1931,259,2.07992007992008,0.0959040959040959,116,0.047952047952048,0.047952047952048,2.45106382978723,64,32,4.81702127659574,128,64,33.3793103448276,0.867768595041322,0.110192837465565,0.884726224783862,0.974603174603175,0.0220385674931129,1,0.152380952380952,0.968283936544125,0.85666619169754,0.5,0.884726224783862,0.987205801860974,1,0.04995004995005,0.129370629370629,0.804016620498615,0.164846809628427,2.60387811634349,0.17433234421365,0.841126477397344,3.23858742463394,"X2clsQ",0,"ok" "toilet_c_08_10.2-shuffled",1,232,3,235,2002,4356,2,1,3,26,1876,100,1931,259,2.07992007992008,0.0959040959040959,116,0.047952047952048,0.047952047952048,2.45106382978723,64,32,4.81702127659574,128,64,33.3793103448276,0.867768595041322,0.110192837465565,0.884726224783862,0.974603174603175,0.0220385674931129,1,0.152380952380952,0.968283936544125,0.85666619169754,0.5,0.884726224783862,0.987205801860974,1,0.04995004995005,0.129370629370629,0.804016620498615,0.164846809628427,2.60387811634349,0.17433234421365,0.841126477397344,3.23858742463394,"quantor",0,"ok" "toilet_c_08_10.2-shuffled",1,232,3,235,2002,4356,2,1,3,26,1876,100,1931,259,2.07992007992008,0.0959040959040959,116,0.047952047952048,0.047952047952048,2.45106382978723,64,32,4.81702127659574,128,64,33.3793103448276,0.867768595041322,0.110192837465565,0.884726224783862,0.974603174603175,0.0220385674931129,1,0.152380952380952,0.968283936544125,0.85666619169754,0.5,0.884726224783862,0.987205801860974,1,0.04995004995005,0.129370629370629,0.804016620498615,0.164846809628427,2.60387811634349,0.17433234421365,0.841126477397344,3.23858742463394,"QuBE",0.06,"ok" "toilet_c_08_10.2-shuffled",1,232,3,235,2002,4356,2,1,3,26,1876,100,1931,259,2.07992007992008,0.0959040959040959,116,0.047952047952048,0.047952047952048,2.45106382978723,64,32,4.81702127659574,128,64,33.3793103448276,0.867768595041322,0.110192837465565,0.884726224783862,0.974603174603175,0.0220385674931129,1,0.152380952380952,0.968283936544125,0.85666619169754,0.5,0.884726224783862,0.987205801860974,1,0.04995004995005,0.129370629370629,0.804016620498615,0.164846809628427,2.60387811634349,0.17433234421365,0.841126477397344,3.23858742463394,"sKizzo",0.01,"ok" "toilet_c_08_10.2-shuffled",1,232,3,235,2002,4356,2,1,3,26,1876,100,1931,259,2.07992007992008,0.0959040959040959,116,0.047952047952048,0.047952047952048,2.45106382978723,64,32,4.81702127659574,128,64,33.3793103448276,0.867768595041322,0.110192837465565,0.884726224783862,0.974603174603175,0.0220385674931129,1,0.152380952380952,0.968283936544125,0.85666619169754,0.5,0.884726224783862,0.987205801860974,1,0.04995004995005,0.129370629370629,0.804016620498615,0.164846809628427,2.60387811634349,0.17433234421365,0.841126477397344,3.23858742463394,"sSolve",0.78,"ok" "toilet_c_10_01.11-shuffled",1,352,4,356,1666,3921,2,1,3,21,1325,320,1355,665,2.11344537815126,0.240096038415366,176,0.150060024009604,0.0900360144057623,3.37078651685393,100,62.5,7.6685393258427,200,125,40.3721590909091,0.693955623565417,0.242285131344045,0.730190286850327,0.944873208379272,0.0382555470543229,1.66666666666667,0.441014332965821,0.908189585217129,0.663151213744175,0.625,0.730190286850327,0.955610403929037,1.66666666666667,0.192076830732293,0.399159663865546,0.625154469312097,0.309671963351766,3.66607167376081,0.343976554064476,0.826132201132201,5.86426531956951,"X2clsQ",1.2,"ok" "toilet_c_10_01.11-shuffled",1,352,4,356,1666,3921,2,1,3,21,1325,320,1355,665,2.11344537815126,0.240096038415366,176,0.150060024009604,0.0900360144057623,3.37078651685393,100,62.5,7.6685393258427,200,125,40.3721590909091,0.693955623565417,0.242285131344045,0.730190286850327,0.944873208379272,0.0382555470543229,1.66666666666667,0.441014332965821,0.908189585217129,0.663151213744175,0.625,0.730190286850327,0.955610403929037,1.66666666666667,0.192076830732293,0.399159663865546,0.625154469312097,0.309671963351766,3.66607167376081,0.343976554064476,0.826132201132201,5.86426531956951,"quantor",0.03,"ok" "toilet_c_10_01.11-shuffled",1,352,4,356,1666,3921,2,1,3,21,1325,320,1355,665,2.11344537815126,0.240096038415366,176,0.150060024009604,0.0900360144057623,3.37078651685393,100,62.5,7.6685393258427,200,125,40.3721590909091,0.693955623565417,0.242285131344045,0.730190286850327,0.944873208379272,0.0382555470543229,1.66666666666667,0.441014332965821,0.908189585217129,0.663151213744175,0.625,0.730190286850327,0.955610403929037,1.66666666666667,0.192076830732293,0.399159663865546,0.625154469312097,0.309671963351766,3.66607167376081,0.343976554064476,0.826132201132201,5.86426531956951,"QuBE",0.17,"ok" "toilet_c_10_01.11-shuffled",1,352,4,356,1666,3921,2,1,3,21,1325,320,1355,665,2.11344537815126,0.240096038415366,176,0.150060024009604,0.0900360144057623,3.37078651685393,100,62.5,7.6685393258427,200,125,40.3721590909091,0.693955623565417,0.242285131344045,0.730190286850327,0.944873208379272,0.0382555470543229,1.66666666666667,0.441014332965821,0.908189585217129,0.663151213744175,0.625,0.730190286850327,0.955610403929037,1.66666666666667,0.192076830732293,0.399159663865546,0.625154469312097,0.309671963351766,3.66607167376081,0.343976554064476,0.826132201132201,5.86426531956951,"sKizzo",0.03,"ok" "toilet_c_10_01.11-shuffled",1,352,4,356,1666,3921,2,1,3,21,1325,320,1355,665,2.11344537815126,0.240096038415366,176,0.150060024009604,0.0900360144057623,3.37078651685393,100,62.5,7.6685393258427,200,125,40.3721590909091,0.693955623565417,0.242285131344045,0.730190286850327,0.944873208379272,0.0382555470543229,1.66666666666667,0.441014332965821,0.908189585217129,0.663151213744175,0.625,0.730190286850327,0.955610403929037,1.66666666666667,0.192076830732293,0.399159663865546,0.625154469312097,0.309671963351766,3.66607167376081,0.343976554064476,0.826132201132201,5.86426531956951,"sSolve",1.37,"ok" "toilet_c_10_01.15-shuffled",1,480,4,484,2262,5237,2,1,3,21,1833,408,1863,917,2.13837312113174,0.17683465959328,240,0.1105216622458,0.0663129973474801,3.25619834710744,100,62.5,7.45867768595041,200,125,41.43125,0.699064349818598,0.25319839602826,0.725863138308869,0.959027588090686,0.0286423524918847,1.66666666666667,0.43048347446053,0.931317229966266,0.676008847304436,0.625,0.725863138308869,0.967019484658108,1.66666666666667,0.180371352785146,0.405393457117595,0.627335604418293,0.323724235229345,3.74729018271911,0.349949364239901,0.868674976915974,5.97334210959355,"X2clsQ",327.26,"ok" "toilet_c_10_01.15-shuffled",1,480,4,484,2262,5237,2,1,3,21,1833,408,1863,917,2.13837312113174,0.17683465959328,240,0.1105216622458,0.0663129973474801,3.25619834710744,100,62.5,7.45867768595041,200,125,41.43125,0.699064349818598,0.25319839602826,0.725863138308869,0.959027588090686,0.0286423524918847,1.66666666666667,0.43048347446053,0.931317229966266,0.676008847304436,0.625,0.725863138308869,0.967019484658108,1.66666666666667,0.180371352785146,0.405393457117595,0.627335604418293,0.323724235229345,3.74729018271911,0.349949364239901,0.868674976915974,5.97334210959355,"quantor",4.83,"ok" "toilet_c_10_01.15-shuffled",1,480,4,484,2262,5237,2,1,3,21,1833,408,1863,917,2.13837312113174,0.17683465959328,240,0.1105216622458,0.0663129973474801,3.25619834710744,100,62.5,7.45867768595041,200,125,41.43125,0.699064349818598,0.25319839602826,0.725863138308869,0.959027588090686,0.0286423524918847,1.66666666666667,0.43048347446053,0.931317229966266,0.676008847304436,0.625,0.725863138308869,0.967019484658108,1.66666666666667,0.180371352785146,0.405393457117595,0.627335604418293,0.323724235229345,3.74729018271911,0.349949364239901,0.868674976915974,5.97334210959355,"QuBE",34.37,"ok" "toilet_c_10_01.15-shuffled",1,480,4,484,2262,5237,2,1,3,21,1833,408,1863,917,2.13837312113174,0.17683465959328,240,0.1105216622458,0.0663129973474801,3.25619834710744,100,62.5,7.45867768595041,200,125,41.43125,0.699064349818598,0.25319839602826,0.725863138308869,0.959027588090686,0.0286423524918847,1.66666666666667,0.43048347446053,0.931317229966266,0.676008847304436,0.625,0.725863138308869,0.967019484658108,1.66666666666667,0.180371352785146,0.405393457117595,0.627335604418293,0.323724235229345,3.74729018271911,0.349949364239901,0.868674976915974,5.97334210959355,"sKizzo",1.04,"ok" "toilet_c_10_01.15-shuffled",1,480,4,484,2262,5237,2,1,3,21,1833,408,1863,917,2.13837312113174,0.17683465959328,240,0.1105216622458,0.0663129973474801,3.25619834710744,100,62.5,7.45867768595041,200,125,41.43125,0.699064349818598,0.25319839602826,0.725863138308869,0.959027588090686,0.0286423524918847,1.66666666666667,0.43048347446053,0.931317229966266,0.676008847304436,0.625,0.725863138308869,0.967019484658108,1.66666666666667,0.180371352785146,0.405393457117595,0.627335604418293,0.323724235229345,3.74729018271911,0.349949364239901,0.868674976915974,5.97334210959355,"sSolve",51.56,"ok" "toilet_c_10_01.20-shuffled",1,640,4,644,3007,6882,2,1,3,21,2468,518,2498,1232,2.15563684735617,0.133022946458264,320,0.083139341536415,0.049883604921849,3.17701863354037,100,62.5,7.31366459627329,200,125,42.159375,0.702702702702703,0.260970648067422,0.722925023141006,0.968982630272953,0.021795989537925,1.66666666666667,0.423076923076923,0.947764094739901,0.685162380122058,0.625,0.722925023141006,0.975038771712159,1.66666666666667,0.172264715663452,0.409710675091453,0.628900094547747,0.333778167349511,3.80554680113457,0.354019508913555,0.89942940552017,6.05111500876973,"X2clsQ",3600,"timeout" "toilet_c_10_01.20-shuffled",1,640,4,644,3007,6882,2,1,3,21,2468,518,2498,1232,2.15563684735617,0.133022946458264,320,0.083139341536415,0.049883604921849,3.17701863354037,100,62.5,7.31366459627329,200,125,42.159375,0.702702702702703,0.260970648067422,0.722925023141006,0.968982630272953,0.021795989537925,1.66666666666667,0.423076923076923,0.947764094739901,0.685162380122058,0.625,0.722925023141006,0.975038771712159,1.66666666666667,0.172264715663452,0.409710675091453,0.628900094547747,0.333778167349511,3.80554680113457,0.354019508913555,0.89942940552017,6.05111500876973,"quantor",0.01,"ok" "toilet_c_10_01.20-shuffled",1,640,4,644,3007,6882,2,1,3,21,2468,518,2498,1232,2.15563684735617,0.133022946458264,320,0.083139341536415,0.049883604921849,3.17701863354037,100,62.5,7.31366459627329,200,125,42.159375,0.702702702702703,0.260970648067422,0.722925023141006,0.968982630272953,0.021795989537925,1.66666666666667,0.423076923076923,0.947764094739901,0.685162380122058,0.625,0.722925023141006,0.975038771712159,1.66666666666667,0.172264715663452,0.409710675091453,0.628900094547747,0.333778167349511,3.80554680113457,0.354019508913555,0.89942940552017,6.05111500876973,"QuBE",0.04,"ok" "toilet_c_10_01.20-shuffled",1,640,4,644,3007,6882,2,1,3,21,2468,518,2498,1232,2.15563684735617,0.133022946458264,320,0.083139341536415,0.049883604921849,3.17701863354037,100,62.5,7.31366459627329,200,125,42.159375,0.702702702702703,0.260970648067422,0.722925023141006,0.968982630272953,0.021795989537925,1.66666666666667,0.423076923076923,0.947764094739901,0.685162380122058,0.625,0.722925023141006,0.975038771712159,1.66666666666667,0.172264715663452,0.409710675091453,0.628900094547747,0.333778167349511,3.80554680113457,0.354019508913555,0.89942940552017,6.05111500876973,"sKizzo",0.04,"ok" "toilet_c_10_01.20-shuffled",1,640,4,644,3007,6882,2,1,3,21,2468,518,2498,1232,2.15563684735617,0.133022946458264,320,0.083139341536415,0.049883604921849,3.17701863354037,100,62.5,7.31366459627329,200,125,42.159375,0.702702702702703,0.260970648067422,0.722925023141006,0.968982630272953,0.021795989537925,1.66666666666667,0.423076923076923,0.947764094739901,0.685162380122058,0.625,0.722925023141006,0.975038771712159,1.66666666666667,0.172264715663452,0.409710675091453,0.628900094547747,0.333778167349511,3.80554680113457,0.354019508913555,0.89942940552017,6.05111500876973,"sSolve",0.77,"ok" "toilet_c_10_01.4-shuffled",1,128,4,132,623,1618,2,1,3,21,436,166,466,224,1.95505617977528,0.642054574638844,64,0.401284109149278,0.240770465489567,4.10606060606061,100,62.5,9.01515151515152,200,125,33.421875,0.665018541409147,0.180469715698393,0.760262725779967,0.860594795539034,0.0927070457354759,1.66666666666667,0.503717472118959,0.776305624227441,0.590196229913473,0.625,0.760262725779967,0.887488382899628,1.66666666666667,0.26645264847512,0.359550561797753,0.613133940182055,0.231327210663199,3.2184655396619,0.303163444639719,0.597951680672269,5.2492046659597,"X2clsQ",0,"ok" "toilet_c_10_01.4-shuffled",1,128,4,132,623,1618,2,1,3,21,436,166,466,224,1.95505617977528,0.642054574638844,64,0.401284109149278,0.240770465489567,4.10606060606061,100,62.5,9.01515151515152,200,125,33.421875,0.665018541409147,0.180469715698393,0.760262725779967,0.860594795539034,0.0927070457354759,1.66666666666667,0.503717472118959,0.776305624227441,0.590196229913473,0.625,0.760262725779967,0.887488382899628,1.66666666666667,0.26645264847512,0.359550561797753,0.613133940182055,0.231327210663199,3.2184655396619,0.303163444639719,0.597951680672269,5.2492046659597,"quantor",0,"ok" "toilet_c_10_01.4-shuffled",1,128,4,132,623,1618,2,1,3,21,436,166,466,224,1.95505617977528,0.642054574638844,64,0.401284109149278,0.240770465489567,4.10606060606061,100,62.5,9.01515151515152,200,125,33.421875,0.665018541409147,0.180469715698393,0.760262725779967,0.860594795539034,0.0927070457354759,1.66666666666667,0.503717472118959,0.776305624227441,0.590196229913473,0.625,0.760262725779967,0.887488382899628,1.66666666666667,0.26645264847512,0.359550561797753,0.613133940182055,0.231327210663199,3.2184655396619,0.303163444639719,0.597951680672269,5.2492046659597,"QuBE",0.03,"ok" "toilet_c_10_01.4-shuffled",1,128,4,132,623,1618,2,1,3,21,436,166,466,224,1.95505617977528,0.642054574638844,64,0.401284109149278,0.240770465489567,4.10606060606061,100,62.5,9.01515151515152,200,125,33.421875,0.665018541409147,0.180469715698393,0.760262725779967,0.860594795539034,0.0927070457354759,1.66666666666667,0.503717472118959,0.776305624227441,0.590196229913473,0.625,0.760262725779967,0.887488382899628,1.66666666666667,0.26645264847512,0.359550561797753,0.613133940182055,0.231327210663199,3.2184655396619,0.303163444639719,0.597951680672269,5.2492046659597,"sKizzo",0,"ok" "toilet_c_10_01.4-shuffled",1,128,4,132,623,1618,2,1,3,21,436,166,466,224,1.95505617977528,0.642054574638844,64,0.401284109149278,0.240770465489567,4.10606060606061,100,62.5,9.01515151515152,200,125,33.421875,0.665018541409147,0.180469715698393,0.760262725779967,0.860594795539034,0.0927070457354759,1.66666666666667,0.503717472118959,0.776305624227441,0.590196229913473,0.625,0.760262725779967,0.887488382899628,1.66666666666667,0.26645264847512,0.359550561797753,0.613133940182055,0.231327210663199,3.2184655396619,0.303163444639719,0.597951680672269,5.2492046659597,"sSolve",0.79,"ok" "toilet_c_10_05.11-shuffled",1,880,4,884,7350,16525,2,1,3,25,6925,400,6959,1589,2.19387755102041,0.054421768707483,440,0.0340136054421769,0.0204081632653061,3.80090497737557,100,62.5,7.6131221719457,200,125,80.4715909090909,0.796671709531014,0.188199697428139,0.807131782945737,0.988606152677554,0.00907715582450832,1.66666666666667,0.255222180022788,0.980229679548893,0.791174528950626,0.625,0.807131782945736,0.993099817007907,1.66666666666667,0.054421768707483,0.216190476190476,0.723897435897436,0.256751515151515,2.72,0.264262990455991,0.929913548561394,3.75743836780958,"X2clsQ",0.09,"ok" "toilet_c_10_05.11-shuffled",1,880,4,884,7350,16525,2,1,3,25,6925,400,6959,1589,2.19387755102041,0.054421768707483,440,0.0340136054421769,0.0204081632653061,3.80090497737557,100,62.5,7.6131221719457,200,125,80.4715909090909,0.796671709531014,0.188199697428139,0.807131782945737,0.988606152677554,0.00907715582450832,1.66666666666667,0.255222180022788,0.980229679548893,0.791174528950626,0.625,0.807131782945736,0.993099817007907,1.66666666666667,0.054421768707483,0.216190476190476,0.723897435897436,0.256751515151515,2.72,0.264262990455991,0.929913548561394,3.75743836780958,"quantor",0.01,"ok" "toilet_c_10_05.11-shuffled",1,880,4,884,7350,16525,2,1,3,25,6925,400,6959,1589,2.19387755102041,0.054421768707483,440,0.0340136054421769,0.0204081632653061,3.80090497737557,100,62.5,7.6131221719457,200,125,80.4715909090909,0.796671709531014,0.188199697428139,0.807131782945737,0.988606152677554,0.00907715582450832,1.66666666666667,0.255222180022788,0.980229679548893,0.791174528950626,0.625,0.807131782945736,0.993099817007907,1.66666666666667,0.054421768707483,0.216190476190476,0.723897435897436,0.256751515151515,2.72,0.264262990455991,0.929913548561394,3.75743836780958,"QuBE",0.08,"ok" "toilet_c_10_05.11-shuffled",1,880,4,884,7350,16525,2,1,3,25,6925,400,6959,1589,2.19387755102041,0.054421768707483,440,0.0340136054421769,0.0204081632653061,3.80090497737557,100,62.5,7.6131221719457,200,125,80.4715909090909,0.796671709531014,0.188199697428139,0.807131782945737,0.988606152677554,0.00907715582450832,1.66666666666667,0.255222180022788,0.980229679548893,0.791174528950626,0.625,0.807131782945736,0.993099817007907,1.66666666666667,0.054421768707483,0.216190476190476,0.723897435897436,0.256751515151515,2.72,0.264262990455991,0.929913548561394,3.75743836780958,"sKizzo",0.05,"ok" "toilet_c_10_05.11-shuffled",1,880,4,884,7350,16525,2,1,3,25,6925,400,6959,1589,2.19387755102041,0.054421768707483,440,0.0340136054421769,0.0204081632653061,3.80090497737557,100,62.5,7.6131221719457,200,125,80.4715909090909,0.796671709531014,0.188199697428139,0.807131782945737,0.988606152677554,0.00907715582450832,1.66666666666667,0.255222180022788,0.980229679548893,0.791174528950626,0.625,0.807131782945736,0.993099817007907,1.66666666666667,0.054421768707483,0.216190476190476,0.723897435897436,0.256751515151515,2.72,0.264262990455991,0.929913548561394,3.75743836780958,"sSolve",1.77,"ok" "toilet_c_10_05.12-shuffled",1,960,4,964,8035,18050,2,1,3,25,7580,430,7614,1744,2.19663970130678,0.0497822028624767,480,0.0311138767890479,0.0186683260734287,3.80705394190871,100,62.5,7.62448132780083,200,125,81.40625,0.796675900277008,0.189473684210526,0.806232294617564,0.989568845618915,0.00831024930747922,1.66666666666667,0.255215577190542,0.981913665743306,0.791650507848569,0.625,0.806232294617564,0.993692049142327,1.66666666666667,0.0535158680771624,0.217050404480398,0.72389181066867,0.258397508139244,2.7160030052592,0.265298218435321,0.935856009070295,3.7519460300986,"X2clsQ",0.1,"ok" "toilet_c_10_05.12-shuffled",1,960,4,964,8035,18050,2,1,3,25,7580,430,7614,1744,2.19663970130678,0.0497822028624767,480,0.0311138767890479,0.0186683260734287,3.80705394190871,100,62.5,7.62448132780083,200,125,81.40625,0.796675900277008,0.189473684210526,0.806232294617564,0.989568845618915,0.00831024930747922,1.66666666666667,0.255215577190542,0.981913665743306,0.791650507848569,0.625,0.806232294617564,0.993692049142327,1.66666666666667,0.0535158680771624,0.217050404480398,0.72389181066867,0.258397508139244,2.7160030052592,0.265298218435321,0.935856009070295,3.7519460300986,"quantor",0.01,"ok" "toilet_c_10_05.12-shuffled",1,960,4,964,8035,18050,2,1,3,25,7580,430,7614,1744,2.19663970130678,0.0497822028624767,480,0.0311138767890479,0.0186683260734287,3.80705394190871,100,62.5,7.62448132780083,200,125,81.40625,0.796675900277008,0.189473684210526,0.806232294617564,0.989568845618915,0.00831024930747922,1.66666666666667,0.255215577190542,0.981913665743306,0.791650507848569,0.625,0.806232294617564,0.993692049142327,1.66666666666667,0.0535158680771624,0.217050404480398,0.72389181066867,0.258397508139244,2.7160030052592,0.265298218435321,0.935856009070295,3.7519460300986,"QuBE",0.07,"ok" "toilet_c_10_05.12-shuffled",1,960,4,964,8035,18050,2,1,3,25,7580,430,7614,1744,2.19663970130678,0.0497822028624767,480,0.0311138767890479,0.0186683260734287,3.80705394190871,100,62.5,7.62448132780083,200,125,81.40625,0.796675900277008,0.189473684210526,0.806232294617564,0.989568845618915,0.00831024930747922,1.66666666666667,0.255215577190542,0.981913665743306,0.791650507848569,0.625,0.806232294617564,0.993692049142327,1.66666666666667,0.0535158680771624,0.217050404480398,0.72389181066867,0.258397508139244,2.7160030052592,0.265298218435321,0.935856009070295,3.7519460300986,"sKizzo",0.05,"ok" "toilet_c_10_05.12-shuffled",1,960,4,964,8035,18050,2,1,3,25,7580,430,7614,1744,2.19663970130678,0.0497822028624767,480,0.0311138767890479,0.0186683260734287,3.80705394190871,100,62.5,7.62448132780083,200,125,81.40625,0.796675900277008,0.189473684210526,0.806232294617564,0.989568845618915,0.00831024930747922,1.66666666666667,0.255215577190542,0.981913665743306,0.791650507848569,0.625,0.806232294617564,0.993692049142327,1.66666666666667,0.0535158680771624,0.217050404480398,0.72389181066867,0.258397508139244,2.7160030052592,0.265298218435321,0.935856009070295,3.7519460300986,"sSolve",1.95,"ok" "toilet_c_10_05.7-shuffled",1,560,4,564,4610,10425,2,1,3,25,4305,280,4339,969,2.17462039045553,0.0867678958785249,280,0.0542299349240781,0.0325379609544469,3.75886524822695,100,62.5,7.5354609929078,200,125,74.0625,0.796642685851319,0.179376498800959,0.813466334164589,0.981938591210115,0.0143884892086331,1.66666666666667,0.25526791089705,0.968499486125386,0.787841726618705,0.625,0.813466334164588,0.988952438290187,1.66666666666667,0.0607375271149675,0.210195227765727,0.723936342968496,0.245325476731777,2.74764533939591,0.25693730729702,0.888655462184874,3.79542395693136,"X2clsQ",0.04,"ok" "toilet_c_10_05.7-shuffled",1,560,4,564,4610,10425,2,1,3,25,4305,280,4339,969,2.17462039045553,0.0867678958785249,280,0.0542299349240781,0.0325379609544469,3.75886524822695,100,62.5,7.5354609929078,200,125,74.0625,0.796642685851319,0.179376498800959,0.813466334164589,0.981938591210115,0.0143884892086331,1.66666666666667,0.25526791089705,0.968499486125386,0.787841726618705,0.625,0.813466334164588,0.988952438290187,1.66666666666667,0.0607375271149675,0.210195227765727,0.723936342968496,0.245325476731777,2.74764533939591,0.25693730729702,0.888655462184874,3.79542395693136,"quantor",0.01,"ok" "toilet_c_10_05.7-shuffled",1,560,4,564,4610,10425,2,1,3,25,4305,280,4339,969,2.17462039045553,0.0867678958785249,280,0.0542299349240781,0.0325379609544469,3.75886524822695,100,62.5,7.5354609929078,200,125,74.0625,0.796642685851319,0.179376498800959,0.813466334164589,0.981938591210115,0.0143884892086331,1.66666666666667,0.25526791089705,0.968499486125386,0.787841726618705,0.625,0.813466334164588,0.988952438290187,1.66666666666667,0.0607375271149675,0.210195227765727,0.723936342968496,0.245325476731777,2.74764533939591,0.25693730729702,0.888655462184874,3.79542395693136,"QuBE",0.05,"ok" "toilet_c_10_05.7-shuffled",1,560,4,564,4610,10425,2,1,3,25,4305,280,4339,969,2.17462039045553,0.0867678958785249,280,0.0542299349240781,0.0325379609544469,3.75886524822695,100,62.5,7.5354609929078,200,125,74.0625,0.796642685851319,0.179376498800959,0.813466334164589,0.981938591210115,0.0143884892086331,1.66666666666667,0.25526791089705,0.968499486125386,0.787841726618705,0.625,0.813466334164588,0.988952438290187,1.66666666666667,0.0607375271149675,0.210195227765727,0.723936342968496,0.245325476731777,2.74764533939591,0.25693730729702,0.888655462184874,3.79542395693136,"sKizzo",0.03,"ok" "toilet_c_10_05.7-shuffled",1,560,4,564,4610,10425,2,1,3,25,4305,280,4339,969,2.17462039045553,0.0867678958785249,280,0.0542299349240781,0.0325379609544469,3.75886524822695,100,62.5,7.5354609929078,200,125,74.0625,0.796642685851319,0.179376498800959,0.813466334164589,0.981938591210115,0.0143884892086331,1.66666666666667,0.25526791089705,0.968499486125386,0.787841726618705,0.625,0.813466334164588,0.988952438290187,1.66666666666667,0.0607375271149675,0.210195227765727,0.723936342968496,0.245325476731777,2.74764533939591,0.25693730729702,0.888655462184874,3.79542395693136,"sSolve",1.07,"ok" "toilet_c_10_05.9-shuffled",1,720,4,724,5980,13475,2,1,3,25,5615,340,5649,1279,2.18645484949833,0.0668896321070234,360,0.0418060200668896,0.0250836120401338,3.78453038674033,100,62.5,7.5828729281768,200,125,77.9791666666667,0.796660482374768,0.184786641929499,0.809560229445507,0.986027014438752,0.0111317254174397,1.66666666666667,0.255239869585468,0.975706039991754,0.789892805607091,0.625,0.809560229445507,0.991504942296745,1.66666666666667,0.0568561872909699,0.213879598662207,0.723912496856927,0.252337049143687,2.73070153381946,0.261461881058423,0.913974903865614,3.77214310524488,"X2clsQ",0.05,"ok" "toilet_c_10_05.9-shuffled",1,720,4,724,5980,13475,2,1,3,25,5615,340,5649,1279,2.18645484949833,0.0668896321070234,360,0.0418060200668896,0.0250836120401338,3.78453038674033,100,62.5,7.5828729281768,200,125,77.9791666666667,0.796660482374768,0.184786641929499,0.809560229445507,0.986027014438752,0.0111317254174397,1.66666666666667,0.255239869585468,0.975706039991754,0.789892805607091,0.625,0.809560229445507,0.991504942296745,1.66666666666667,0.0568561872909699,0.213879598662207,0.723912496856927,0.252337049143687,2.73070153381946,0.261461881058423,0.913974903865614,3.77214310524488,"quantor",0.01,"ok" "toilet_c_10_05.9-shuffled",1,720,4,724,5980,13475,2,1,3,25,5615,340,5649,1279,2.18645484949833,0.0668896321070234,360,0.0418060200668896,0.0250836120401338,3.78453038674033,100,62.5,7.5828729281768,200,125,77.9791666666667,0.796660482374768,0.184786641929499,0.809560229445507,0.986027014438752,0.0111317254174397,1.66666666666667,0.255239869585468,0.975706039991754,0.789892805607091,0.625,0.809560229445507,0.991504942296745,1.66666666666667,0.0568561872909699,0.213879598662207,0.723912496856927,0.252337049143687,2.73070153381946,0.261461881058423,0.913974903865614,3.77214310524488,"QuBE",0.09,"ok" "toilet_c_10_05.9-shuffled",1,720,4,724,5980,13475,2,1,3,25,5615,340,5649,1279,2.18645484949833,0.0668896321070234,360,0.0418060200668896,0.0250836120401338,3.78453038674033,100,62.5,7.5828729281768,200,125,77.9791666666667,0.796660482374768,0.184786641929499,0.809560229445507,0.986027014438752,0.0111317254174397,1.66666666666667,0.255239869585468,0.975706039991754,0.789892805607091,0.625,0.809560229445507,0.991504942296745,1.66666666666667,0.0568561872909699,0.213879598662207,0.723912496856927,0.252337049143687,2.73070153381946,0.261461881058423,0.913974903865614,3.77214310524488,"sKizzo",0.03,"ok" "toilet_c_10_05.9-shuffled",1,720,4,724,5980,13475,2,1,3,25,5615,340,5649,1279,2.18645484949833,0.0668896321070234,360,0.0418060200668896,0.0250836120401338,3.78453038674033,100,62.5,7.5828729281768,200,125,77.9791666666667,0.796660482374768,0.184786641929499,0.809560229445507,0.986027014438752,0.0111317254174397,1.66666666666667,0.255239869585468,0.975706039991754,0.789892805607091,0.625,0.809560229445507,0.991504942296745,1.66666666666667,0.0568561872909699,0.213879598662207,0.723912496856927,0.252337049143687,2.73070153381946,0.261461881058423,0.913974903865614,3.77214310524488,"sSolve",1.27,"ok" "toilet_c_10_10.2-shuffled",1,280,4,284,2710,6000,2,1,3,30,2540,140,2579,314,2.06642066420664,0.14760147601476,140,0.0922509225092251,0.0553505535055351,2.95774647887324,100,62.5,5.80985915492958,200,125,36.9642857142857,0.86,0.0983333333333333,0.894642857142857,0.97093023255814,0.025,1.66666666666667,0.162790697674419,0.946666666666667,0.846928571428571,0.625,0.894642857142857,0.984800664451827,1.66666666666667,0.0516605166051661,0.115867158671587,0.792191435768262,0.146905361640878,2.68261964735516,0.161064425770308,0.706926406926407,3.38632750397456,"X2clsQ",0.01,"ok" "toilet_c_10_10.2-shuffled",1,280,4,284,2710,6000,2,1,3,30,2540,140,2579,314,2.06642066420664,0.14760147601476,140,0.0922509225092251,0.0553505535055351,2.95774647887324,100,62.5,5.80985915492958,200,125,36.9642857142857,0.86,0.0983333333333333,0.894642857142857,0.97093023255814,0.025,1.66666666666667,0.162790697674419,0.946666666666667,0.846928571428571,0.625,0.894642857142857,0.984800664451827,1.66666666666667,0.0516605166051661,0.115867158671587,0.792191435768262,0.146905361640878,2.68261964735516,0.161064425770308,0.706926406926407,3.38632750397456,"quantor",0,"ok" "toilet_c_10_10.2-shuffled",1,280,4,284,2710,6000,2,1,3,30,2540,140,2579,314,2.06642066420664,0.14760147601476,140,0.0922509225092251,0.0553505535055351,2.95774647887324,100,62.5,5.80985915492958,200,125,36.9642857142857,0.86,0.0983333333333333,0.894642857142857,0.97093023255814,0.025,1.66666666666667,0.162790697674419,0.946666666666667,0.846928571428571,0.625,0.894642857142857,0.984800664451827,1.66666666666667,0.0516605166051661,0.115867158671587,0.792191435768262,0.146905361640878,2.68261964735516,0.161064425770308,0.706926406926407,3.38632750397456,"QuBE",0.06,"ok" "toilet_c_10_10.2-shuffled",1,280,4,284,2710,6000,2,1,3,30,2540,140,2579,314,2.06642066420664,0.14760147601476,140,0.0922509225092251,0.0553505535055351,2.95774647887324,100,62.5,5.80985915492958,200,125,36.9642857142857,0.86,0.0983333333333333,0.894642857142857,0.97093023255814,0.025,1.66666666666667,0.162790697674419,0.946666666666667,0.846928571428571,0.625,0.894642857142857,0.984800664451827,1.66666666666667,0.0516605166051661,0.115867158671587,0.792191435768262,0.146905361640878,2.68261964735516,0.161064425770308,0.706926406926407,3.38632750397456,"sKizzo",0.01,"ok" "toilet_c_10_10.2-shuffled",1,280,4,284,2710,6000,2,1,3,30,2540,140,2579,314,2.06642066420664,0.14760147601476,140,0.0922509225092251,0.0553505535055351,2.95774647887324,100,62.5,5.80985915492958,200,125,36.9642857142857,0.86,0.0983333333333333,0.894642857142857,0.97093023255814,0.025,1.66666666666667,0.162790697674419,0.946666666666667,0.846928571428571,0.625,0.894642857142857,0.984800664451827,1.66666666666667,0.0516605166051661,0.115867158671587,0.792191435768262,0.146905361640878,2.68261964735516,0.161064425770308,0.706926406926407,3.38632750397456,"sSolve",0.86,"ok" "toilet_g_02_01.2-shuffled",1,10,1,11,22,44,2,1,3,4,14,4,17,17,1.81818181818182,0.181818181818182,5,0.0909090909090909,0.0909090909090909,1.63636363636364,4,2,4,8,4,8.4,0.590909090909091,0.363636363636364,0.6,0.923076923076923,0.0454545454545455,1,0.692307692307692,1,0.6,0.5,0.6,1.01538461538462,1,0.181818181818182,0.772727272727273,0.592592592592593,0.407407407407407,0.407407407407407,0.4,1,0.6875,"X2clsQ",0,"ok" "toilet_g_02_01.2-shuffled",1,10,1,11,22,44,2,1,3,4,14,4,17,17,1.81818181818182,0.181818181818182,5,0.0909090909090909,0.0909090909090909,1.63636363636364,4,2,4,8,4,8.4,0.590909090909091,0.363636363636364,0.6,0.923076923076923,0.0454545454545455,1,0.692307692307692,1,0.6,0.5,0.6,1.01538461538462,1,0.181818181818182,0.772727272727273,0.592592592592593,0.407407407407407,0.407407407407407,0.4,1,0.6875,"quantor",0,"ok" "toilet_g_02_01.2-shuffled",1,10,1,11,22,44,2,1,3,4,14,4,17,17,1.81818181818182,0.181818181818182,5,0.0909090909090909,0.0909090909090909,1.63636363636364,4,2,4,8,4,8.4,0.590909090909091,0.363636363636364,0.6,0.923076923076923,0.0454545454545455,1,0.692307692307692,1,0.6,0.5,0.6,1.01538461538462,1,0.181818181818182,0.772727272727273,0.592592592592593,0.407407407407407,0.407407407407407,0.4,1,0.6875,"QuBE",0.02,"ok" "toilet_g_02_01.2-shuffled",1,10,1,11,22,44,2,1,3,4,14,4,17,17,1.81818181818182,0.181818181818182,5,0.0909090909090909,0.0909090909090909,1.63636363636364,4,2,4,8,4,8.4,0.590909090909091,0.363636363636364,0.6,0.923076923076923,0.0454545454545455,1,0.692307692307692,1,0.6,0.5,0.6,1.01538461538462,1,0.181818181818182,0.772727272727273,0.592592592592593,0.407407407407407,0.407407407407407,0.4,1,0.6875,"sKizzo",0,"ok" "toilet_g_02_01.2-shuffled",1,10,1,11,22,44,2,1,3,4,14,4,17,17,1.81818181818182,0.181818181818182,5,0.0909090909090909,0.0909090909090909,1.63636363636364,4,2,4,8,4,8.4,0.590909090909091,0.363636363636364,0.6,0.923076923076923,0.0454545454545455,1,0.692307692307692,1,0.6,0.5,0.6,1.01538461538462,1,0.181818181818182,0.772727272727273,0.592592592592593,0.407407407407407,0.407407407407407,0.4,1,0.6875,"sSolve",0.77,"ok" "toilet_g_04_01.2-shuffled",1,20,2,22,52,120,2,1,3,8,20,24,38,34,1.69230769230769,0.615384615384615,10,0.307692307692308,0.307692307692308,2.18181818181818,16,8,5.09090909090909,32,16,10.8,0.6,0.266666666666667,0.636363636363636,0.777777777777778,0.133333333333333,1,0.666666666666667,0.806666666666667,0.513333333333333,0.5,0.636363636363636,0.855555555555555,1,0.461538461538462,0.653846153846154,0.611111111111111,0.305555555555556,1.22222222222222,0.357142857142857,0.785714285714286,2,"X2clsQ",0,"ok" "toilet_g_04_01.2-shuffled",1,20,2,22,52,120,2,1,3,8,20,24,38,34,1.69230769230769,0.615384615384615,10,0.307692307692308,0.307692307692308,2.18181818181818,16,8,5.09090909090909,32,16,10.8,0.6,0.266666666666667,0.636363636363636,0.777777777777778,0.133333333333333,1,0.666666666666667,0.806666666666667,0.513333333333333,0.5,0.636363636363636,0.855555555555555,1,0.461538461538462,0.653846153846154,0.611111111111111,0.305555555555556,1.22222222222222,0.357142857142857,0.785714285714286,2,"quantor",0,"ok" "toilet_g_04_01.2-shuffled",1,20,2,22,52,120,2,1,3,8,20,24,38,34,1.69230769230769,0.615384615384615,10,0.307692307692308,0.307692307692308,2.18181818181818,16,8,5.09090909090909,32,16,10.8,0.6,0.266666666666667,0.636363636363636,0.777777777777778,0.133333333333333,1,0.666666666666667,0.806666666666667,0.513333333333333,0.5,0.636363636363636,0.855555555555555,1,0.461538461538462,0.653846153846154,0.611111111111111,0.305555555555556,1.22222222222222,0.357142857142857,0.785714285714286,2,"QuBE",0.05,"ok" "toilet_g_04_01.2-shuffled",1,20,2,22,52,120,2,1,3,8,20,24,38,34,1.69230769230769,0.615384615384615,10,0.307692307692308,0.307692307692308,2.18181818181818,16,8,5.09090909090909,32,16,10.8,0.6,0.266666666666667,0.636363636363636,0.777777777777778,0.133333333333333,1,0.666666666666667,0.806666666666667,0.513333333333333,0.5,0.636363636363636,0.855555555555555,1,0.461538461538462,0.653846153846154,0.611111111111111,0.305555555555556,1.22222222222222,0.357142857142857,0.785714285714286,2,"sKizzo",0,"ok" "toilet_g_04_01.2-shuffled",1,20,2,22,52,120,2,1,3,8,20,24,38,34,1.69230769230769,0.615384615384615,10,0.307692307692308,0.307692307692308,2.18181818181818,16,8,5.09090909090909,32,16,10.8,0.6,0.266666666666667,0.636363636363636,0.777777777777778,0.133333333333333,1,0.666666666666667,0.806666666666667,0.513333333333333,0.5,0.636363636363636,0.855555555555555,1,0.461538461538462,0.653846153846154,0.611111111111111,0.305555555555556,1.22222222222222,0.357142857142857,0.785714285714286,2,"sSolve",0.75,"ok" "toilet_g_08_01.2-shuffled",1,40,3,43,136,400,2,1,3,16,40,80,85,67,1.52941176470588,1.41176470588235,20,0.705882352941177,0.705882352941177,3.72093023255814,64,32,8.18604651162791,128,64,15.6,0.6,0.16,0.692307692307692,0.6,0.24,1,0.666666666666667,0.559,0.387,0.5,0.692307692307692,0.645,1,0.588235294117647,0.492647058823529,0.620689655172414,0.185344827586207,2.96551724137931,0.294117647058824,0.488636363636364,4.77777777777778,"X2clsQ",0,"ok" "toilet_g_08_01.2-shuffled",1,40,3,43,136,400,2,1,3,16,40,80,85,67,1.52941176470588,1.41176470588235,20,0.705882352941177,0.705882352941177,3.72093023255814,64,32,8.18604651162791,128,64,15.6,0.6,0.16,0.692307692307692,0.6,0.24,1,0.666666666666667,0.559,0.387,0.5,0.692307692307692,0.645,1,0.588235294117647,0.492647058823529,0.620689655172414,0.185344827586207,2.96551724137931,0.294117647058824,0.488636363636364,4.77777777777778,"quantor",0,"ok" "toilet_g_08_01.2-shuffled",1,40,3,43,136,400,2,1,3,16,40,80,85,67,1.52941176470588,1.41176470588235,20,0.705882352941177,0.705882352941177,3.72093023255814,64,32,8.18604651162791,128,64,15.6,0.6,0.16,0.692307692307692,0.6,0.24,1,0.666666666666667,0.559,0.387,0.5,0.692307692307692,0.645,1,0.588235294117647,0.492647058823529,0.620689655172414,0.185344827586207,2.96551724137931,0.294117647058824,0.488636363636364,4.77777777777778,"QuBE",0.03,"ok" "toilet_g_08_01.2-shuffled",1,40,3,43,136,400,2,1,3,16,40,80,85,67,1.52941176470588,1.41176470588235,20,0.705882352941177,0.705882352941177,3.72093023255814,64,32,8.18604651162791,128,64,15.6,0.6,0.16,0.692307692307692,0.6,0.24,1,0.666666666666667,0.559,0.387,0.5,0.692307692307692,0.645,1,0.588235294117647,0.492647058823529,0.620689655172414,0.185344827586207,2.96551724137931,0.294117647058824,0.488636363636364,4.77777777777778,"sKizzo",0,"ok" "toilet_g_08_01.2-shuffled",1,40,3,43,136,400,2,1,3,16,40,80,85,67,1.52941176470588,1.41176470588235,20,0.705882352941177,0.705882352941177,3.72093023255814,64,32,8.18604651162791,128,64,15.6,0.6,0.16,0.692307692307692,0.6,0.24,1,0.666666666666667,0.559,0.387,0.5,0.692307692307692,0.645,1,0.588235294117647,0.492647058823529,0.620689655172414,0.185344827586207,2.96551724137931,0.294117647058824,0.488636363636364,4.77777777777778,"sSolve",0.75,"ok" "toilet_g_20_01.2-shuffled",1,100,5,105,580,2760,2,1,3,40,100,440,159,165,1.31034482758621,3.44827586206897,50,2.06896551724138,1.37931034482759,12.952380952381,400,240,26.6666666666667,800,480,30,0.507246376811594,0.0579710144927536,0.789473684210526,0.428571428571429,0.289855072463768,1.5,0.971428571428571,0.289130434782609,0.228260869565217,0.6,0.789473684210526,0.45,1.5,0.758620689655172,0.28448275862069,0.539473684210526,0.069078947368421,5.52631578947368,0.192307692307692,0.15,10.2439024390244,"X2clsQ",0,"ok" "toilet_g_20_01.2-shuffled",1,100,5,105,580,2760,2,1,3,40,100,440,159,165,1.31034482758621,3.44827586206897,50,2.06896551724138,1.37931034482759,12.952380952381,400,240,26.6666666666667,800,480,30,0.507246376811594,0.0579710144927536,0.789473684210526,0.428571428571429,0.289855072463768,1.5,0.971428571428571,0.289130434782609,0.228260869565217,0.6,0.789473684210526,0.45,1.5,0.758620689655172,0.28448275862069,0.539473684210526,0.069078947368421,5.52631578947368,0.192307692307692,0.15,10.2439024390244,"quantor",0,"ok" "toilet_g_20_01.2-shuffled",1,100,5,105,580,2760,2,1,3,40,100,440,159,165,1.31034482758621,3.44827586206897,50,2.06896551724138,1.37931034482759,12.952380952381,400,240,26.6666666666667,800,480,30,0.507246376811594,0.0579710144927536,0.789473684210526,0.428571428571429,0.289855072463768,1.5,0.971428571428571,0.289130434782609,0.228260869565217,0.6,0.789473684210526,0.45,1.5,0.758620689655172,0.28448275862069,0.539473684210526,0.069078947368421,5.52631578947368,0.192307692307692,0.15,10.2439024390244,"QuBE",0.04,"ok" "toilet_g_20_01.2-shuffled",1,100,5,105,580,2760,2,1,3,40,100,440,159,165,1.31034482758621,3.44827586206897,50,2.06896551724138,1.37931034482759,12.952380952381,400,240,26.6666666666667,800,480,30,0.507246376811594,0.0579710144927536,0.789473684210526,0.428571428571429,0.289855072463768,1.5,0.971428571428571,0.289130434782609,0.228260869565217,0.6,0.789473684210526,0.45,1.5,0.758620689655172,0.28448275862069,0.539473684210526,0.069078947368421,5.52631578947368,0.192307692307692,0.15,10.2439024390244,"sKizzo",0,"ok" "toilet_g_20_01.2-shuffled",1,100,5,105,580,2760,2,1,3,40,100,440,159,165,1.31034482758621,3.44827586206897,50,2.06896551724138,1.37931034482759,12.952380952381,400,240,26.6666666666667,800,480,30,0.507246376811594,0.0579710144927536,0.789473684210526,0.428571428571429,0.289855072463768,1.5,0.971428571428571,0.289130434782609,0.228260869565217,0.6,0.789473684210526,0.45,1.5,0.758620689655172,0.28448275862069,0.539473684210526,0.069078947368421,5.52631578947368,0.192307692307692,0.15,10.2439024390244,"sSolve",0.72,"ok" "TOILET10.1.iv.20-shuffled",1,850,4,854,3588,8432,2,1,3,13,2848,727,3250,1623,2.23857302118172,0.111482720178372,425,0.0696767001114827,0.0418060200668896,3.08665105386417,100,62.5,7.58782201405152,200,125,40.0858823529412,0.687381404174573,0.282969639468691,0.702938247011952,0.974120082815735,0.0177893738140417,1.66666666666667,0.45479641131815,0.95704431298136,0.672743051679875,0.625,0.702938247011952,0.978704177323103,1.66666666666667,0.202619843924192,0.452341137123746,0.618823529411765,0.353420069204152,3.76764705882353,0.369135802469136,0.927182280319535,6.08840304182509,"X2clsQ",3600,"timeout" "TOILET10.1.iv.20-shuffled",1,850,4,854,3588,8432,2,1,3,13,2848,727,3250,1623,2.23857302118172,0.111482720178372,425,0.0696767001114827,0.0418060200668896,3.08665105386417,100,62.5,7.58782201405152,200,125,40.0858823529412,0.687381404174573,0.282969639468691,0.702938247011952,0.974120082815735,0.0177893738140417,1.66666666666667,0.45479641131815,0.95704431298136,0.672743051679875,0.625,0.702938247011952,0.978704177323103,1.66666666666667,0.202619843924192,0.452341137123746,0.618823529411765,0.353420069204152,3.76764705882353,0.369135802469136,0.927182280319535,6.08840304182509,"quantor",0.1,"ok" "TOILET10.1.iv.20-shuffled",1,850,4,854,3588,8432,2,1,3,13,2848,727,3250,1623,2.23857302118172,0.111482720178372,425,0.0696767001114827,0.0418060200668896,3.08665105386417,100,62.5,7.58782201405152,200,125,40.0858823529412,0.687381404174573,0.282969639468691,0.702938247011952,0.974120082815735,0.0177893738140417,1.66666666666667,0.45479641131815,0.95704431298136,0.672743051679875,0.625,0.702938247011952,0.978704177323103,1.66666666666667,0.202619843924192,0.452341137123746,0.618823529411765,0.353420069204152,3.76764705882353,0.369135802469136,0.927182280319535,6.08840304182509,"QuBE",0.08,"ok" "TOILET10.1.iv.20-shuffled",1,850,4,854,3588,8432,2,1,3,13,2848,727,3250,1623,2.23857302118172,0.111482720178372,425,0.0696767001114827,0.0418060200668896,3.08665105386417,100,62.5,7.58782201405152,200,125,40.0858823529412,0.687381404174573,0.282969639468691,0.702938247011952,0.974120082815735,0.0177893738140417,1.66666666666667,0.45479641131815,0.95704431298136,0.672743051679875,0.625,0.702938247011952,0.978704177323103,1.66666666666667,0.202619843924192,0.452341137123746,0.618823529411765,0.353420069204152,3.76764705882353,0.369135802469136,0.927182280319535,6.08840304182509,"sKizzo",0.06,"ok" "TOILET10.1.iv.20-shuffled",1,850,4,854,3588,8432,2,1,3,13,2848,727,3250,1623,2.23857302118172,0.111482720178372,425,0.0696767001114827,0.0418060200668896,3.08665105386417,100,62.5,7.58782201405152,200,125,40.0858823529412,0.687381404174573,0.282969639468691,0.702938247011952,0.974120082815735,0.0177893738140417,1.66666666666667,0.45479641131815,0.95704431298136,0.672743051679875,0.625,0.702938247011952,0.978704177323103,1.66666666666667,0.202619843924192,0.452341137123746,0.618823529411765,0.353420069204152,3.76764705882353,0.369135802469136,0.927182280319535,6.08840304182509,"sSolve",1.12,"ok" "TOILET16.1.iv.32-shuffled",1,2128,4,2132,10734,24728,2,1,3,19,8878,1837,9965,4131,2.20831004285448,0.0953978013787963,1064,0.0476989006893982,0.0476989006893982,3.1125703564728,256,128,7.67260787992495,512,256,50.0803571428571,0.731640245875121,0.247654480750566,0.741646979412757,0.971700198982976,0.0207052733743125,1,0.366791952244086,0.960391313125908,0.712271316434081,0.5,0.741646979412757,0.973526703116403,1,0.171138438606298,0.3848518725545,0.649721627408994,0.328968379192092,11.6871948608137,0.343411269372033,0.939162691543629,17.9880034275921,"X2clsQ",3600,"timeout" "TOILET16.1.iv.32-shuffled",1,2128,4,2132,10734,24728,2,1,3,19,8878,1837,9965,4131,2.20831004285448,0.0953978013787963,1064,0.0476989006893982,0.0476989006893982,3.1125703564728,256,128,7.67260787992495,512,256,50.0803571428571,0.731640245875121,0.247654480750566,0.741646979412757,0.971700198982976,0.0207052733743125,1,0.366791952244086,0.960391313125908,0.712271316434081,0.5,0.741646979412757,0.973526703116403,1,0.171138438606298,0.3848518725545,0.649721627408994,0.328968379192092,11.6871948608137,0.343411269372033,0.939162691543629,17.9880034275921,"quantor",1.27,"ok" "TOILET16.1.iv.32-shuffled",1,2128,4,2132,10734,24728,2,1,3,19,8878,1837,9965,4131,2.20831004285448,0.0953978013787963,1064,0.0476989006893982,0.0476989006893982,3.1125703564728,256,128,7.67260787992495,512,256,50.0803571428571,0.731640245875121,0.247654480750566,0.741646979412757,0.971700198982976,0.0207052733743125,1,0.366791952244086,0.960391313125908,0.712271316434081,0.5,0.741646979412757,0.973526703116403,1,0.171138438606298,0.3848518725545,0.649721627408994,0.328968379192092,11.6871948608137,0.343411269372033,0.939162691543629,17.9880034275921,"QuBE",0.16,"ok" "TOILET16.1.iv.32-shuffled",1,2128,4,2132,10734,24728,2,1,3,19,8878,1837,9965,4131,2.20831004285448,0.0953978013787963,1064,0.0476989006893982,0.0476989006893982,3.1125703564728,256,128,7.67260787992495,512,256,50.0803571428571,0.731640245875121,0.247654480750566,0.741646979412757,0.971700198982976,0.0207052733743125,1,0.366791952244086,0.960391313125908,0.712271316434081,0.5,0.741646979412757,0.973526703116403,1,0.171138438606298,0.3848518725545,0.649721627408994,0.328968379192092,11.6871948608137,0.343411269372033,0.939162691543629,17.9880034275921,"sKizzo",0.55,"ok" "TOILET16.1.iv.32-shuffled",1,2128,4,2132,10734,24728,2,1,3,19,8878,1837,9965,4131,2.20831004285448,0.0953978013787963,1064,0.0476989006893982,0.0476989006893982,3.1125703564728,256,128,7.67260787992495,512,256,50.0803571428571,0.731640245875121,0.247654480750566,0.741646979412757,0.971700198982976,0.0207052733743125,1,0.366791952244086,0.960391313125908,0.712271316434081,0.5,0.741646979412757,0.973526703116403,1,0.171138438606298,0.3848518725545,0.649721627408994,0.328968379192092,11.6871948608137,0.343411269372033,0.939162691543629,17.9880034275921,"sSolve",1.88,"ok" "TOILET7.1.iv.13-shuffled",1,396,3,399,1491,3502,2,1,3,10,1144,337,1340,740,2.25016767270288,0.0985915492957746,198,0.0563380281690141,0.0422535211267606,2.90726817042607,49,28,7.18796992481203,98,56,34.3333333333333,0.668760708166762,0.307252998286693,0.679284649776453,0.973099914602904,0.0179897201599086,1.33333333333333,0.49530315969257,0.965281743765467,0.655701071249329,0.571428571428571,0.679284649776453,0.980471883652925,1.33333333333333,0.226022803487592,0.496311200536553,0.60942394116846,0.370482710806829,2.28217349857007,0.383033054333948,0.948554583491822,3.74480446927374,"X2clsQ",583.75,"ok" "TOILET7.1.iv.13-shuffled",1,396,3,399,1491,3502,2,1,3,10,1144,337,1340,740,2.25016767270288,0.0985915492957746,198,0.0563380281690141,0.0422535211267606,2.90726817042607,49,28,7.18796992481203,98,56,34.3333333333333,0.668760708166762,0.307252998286693,0.679284649776453,0.973099914602904,0.0179897201599086,1.33333333333333,0.49530315969257,0.965281743765467,0.655701071249329,0.571428571428571,0.679284649776453,0.980471883652925,1.33333333333333,0.226022803487592,0.496311200536553,0.60942394116846,0.370482710806829,2.28217349857007,0.383033054333948,0.948554583491822,3.74480446927374,"quantor",0.08,"ok" "TOILET7.1.iv.13-shuffled",1,396,3,399,1491,3502,2,1,3,10,1144,337,1340,740,2.25016767270288,0.0985915492957746,198,0.0563380281690141,0.0422535211267606,2.90726817042607,49,28,7.18796992481203,98,56,34.3333333333333,0.668760708166762,0.307252998286693,0.679284649776453,0.973099914602904,0.0179897201599086,1.33333333333333,0.49530315969257,0.965281743765467,0.655701071249329,0.571428571428571,0.679284649776453,0.980471883652925,1.33333333333333,0.226022803487592,0.496311200536553,0.60942394116846,0.370482710806829,2.28217349857007,0.383033054333948,0.948554583491822,3.74480446927374,"QuBE",1176.91,"ok" "TOILET7.1.iv.13-shuffled",1,396,3,399,1491,3502,2,1,3,10,1144,337,1340,740,2.25016767270288,0.0985915492957746,198,0.0563380281690141,0.0422535211267606,2.90726817042607,49,28,7.18796992481203,98,56,34.3333333333333,0.668760708166762,0.307252998286693,0.679284649776453,0.973099914602904,0.0179897201599086,1.33333333333333,0.49530315969257,0.965281743765467,0.655701071249329,0.571428571428571,0.679284649776453,0.980471883652925,1.33333333333333,0.226022803487592,0.496311200536553,0.60942394116846,0.370482710806829,2.28217349857007,0.383033054333948,0.948554583491822,3.74480446927374,"sKizzo",0.05,"ok" "TOILET7.1.iv.13-shuffled",1,396,3,399,1491,3502,2,1,3,10,1144,337,1340,740,2.25016767270288,0.0985915492957746,198,0.0563380281690141,0.0422535211267606,2.90726817042607,49,28,7.18796992481203,98,56,34.3333333333333,0.668760708166762,0.307252998286693,0.679284649776453,0.973099914602904,0.0179897201599086,1.33333333333333,0.49530315969257,0.965281743765467,0.655701071249329,0.571428571428571,0.679284649776453,0.980471883652925,1.33333333333333,0.226022803487592,0.496311200536553,0.60942394116846,0.370482710806829,2.28217349857007,0.383033054333948,0.948554583491822,3.74480446927374,"sSolve",7.28,"ok" "TOILET7.1.iv.14-shuffled",1,427,3,430,1608,3772,2,1,3,10,1237,361,1447,800,2.25435323383085,0.0914179104477612,213.5,0.0522388059701493,0.0391791044776119,2.9046511627907,49,28,7.18837209302326,98,56,34.4871194379391,0.668875927889714,0.308854718981972,0.678620689655172,0.975029726516052,0.0167020148462354,1.33333333333333,0.495045580657947,0.967780589627503,0.656755931167906,0.571428571428571,0.678620689655172,0.981880052463472,1.33333333333333,0.224502487562189,0.497512437810945,0.609278220199722,0.372081443529997,2.28289723170269,0.383746881974531,0.952292558966615,3.74688796680498,"X2clsQ",13.35,"ok" "TOILET7.1.iv.14-shuffled",1,427,3,430,1608,3772,2,1,3,10,1237,361,1447,800,2.25435323383085,0.0914179104477612,213.5,0.0522388059701493,0.0391791044776119,2.9046511627907,49,28,7.18837209302326,98,56,34.4871194379391,0.668875927889714,0.308854718981972,0.678620689655172,0.975029726516052,0.0167020148462354,1.33333333333333,0.495045580657947,0.967780589627503,0.656755931167906,0.571428571428571,0.678620689655172,0.981880052463472,1.33333333333333,0.224502487562189,0.497512437810945,0.609278220199722,0.372081443529997,2.28289723170269,0.383746881974531,0.952292558966615,3.74688796680498,"quantor",0.02,"ok" "TOILET7.1.iv.14-shuffled",1,427,3,430,1608,3772,2,1,3,10,1237,361,1447,800,2.25435323383085,0.0914179104477612,213.5,0.0522388059701493,0.0391791044776119,2.9046511627907,49,28,7.18837209302326,98,56,34.4871194379391,0.668875927889714,0.308854718981972,0.678620689655172,0.975029726516052,0.0167020148462354,1.33333333333333,0.495045580657947,0.967780589627503,0.656755931167906,0.571428571428571,0.678620689655172,0.981880052463472,1.33333333333333,0.224502487562189,0.497512437810945,0.609278220199722,0.372081443529997,2.28289723170269,0.383746881974531,0.952292558966615,3.74688796680498,"QuBE",0.07,"ok" "TOILET7.1.iv.14-shuffled",1,427,3,430,1608,3772,2,1,3,10,1237,361,1447,800,2.25435323383085,0.0914179104477612,213.5,0.0522388059701493,0.0391791044776119,2.9046511627907,49,28,7.18837209302326,98,56,34.4871194379391,0.668875927889714,0.308854718981972,0.678620689655172,0.975029726516052,0.0167020148462354,1.33333333333333,0.495045580657947,0.967780589627503,0.656755931167906,0.571428571428571,0.678620689655172,0.981880052463472,1.33333333333333,0.224502487562189,0.497512437810945,0.609278220199722,0.372081443529997,2.28289723170269,0.383746881974531,0.952292558966615,3.74688796680498,"sKizzo",0.03,"ok" "TOILET7.1.iv.14-shuffled",1,427,3,430,1608,3772,2,1,3,10,1237,361,1447,800,2.25435323383085,0.0914179104477612,213.5,0.0522388059701493,0.0391791044776119,2.9046511627907,49,28,7.18837209302326,98,56,34.4871194379391,0.668875927889714,0.308854718981972,0.678620689655172,0.975029726516052,0.0167020148462354,1.33333333333333,0.495045580657947,0.967780589627503,0.656755931167906,0.571428571428571,0.678620689655172,0.981880052463472,1.33333333333333,0.224502487562189,0.497512437810945,0.609278220199722,0.372081443529997,2.28289723170269,0.383746881974531,0.952292558966615,3.74688796680498,"sSolve",0.93,"ok" "uclid-pipe2",1,1357,115,1472,3814,8786,2,2,4,1,2654,1159,3327,2806,1.97325642370215,0.330361824855794,678.5,0.165180912427897,0.165180912427897,2.80774456521739,10.9565217391304,5.47826086956522,10.5991847826087,28.3478260869565,14.1739130434783,33.8747236551216,0.529592533576144,0.398702481220123,0.534546904065905,0.864603481624758,0.0717049852037332,1,0.888244143563292,0.929182404981731,0.496691577895496,0.5,0.534546904065905,0.937874963118382,1,0.303880440482433,0.735710540115364,0.531246244441774,0.455355960720171,0.626847734647278,0.465361044497735,0.971418266671591,1.17995701843683,"X2clsQ",3600,"timeout" "uclid-pipe2",1,1357,115,1472,3814,8786,2,2,4,1,2654,1159,3327,2806,1.97325642370215,0.330361824855794,678.5,0.165180912427897,0.165180912427897,2.80774456521739,10.9565217391304,5.47826086956522,10.5991847826087,28.3478260869565,14.1739130434783,33.8747236551216,0.529592533576144,0.398702481220123,0.534546904065905,0.864603481624758,0.0717049852037332,1,0.888244143563292,0.929182404981731,0.496691577895496,0.5,0.534546904065905,0.937874963118382,1,0.303880440482433,0.735710540115364,0.531246244441774,0.455355960720171,0.626847734647278,0.465361044497735,0.971418266671591,1.17995701843683,"quantor",3600,"memout" "uclid-pipe2",1,1357,115,1472,3814,8786,2,2,4,1,2654,1159,3327,2806,1.97325642370215,0.330361824855794,678.5,0.165180912427897,0.165180912427897,2.80774456521739,10.9565217391304,5.47826086956522,10.5991847826087,28.3478260869565,14.1739130434783,33.8747236551216,0.529592533576144,0.398702481220123,0.534546904065905,0.864603481624758,0.0717049852037332,1,0.888244143563292,0.929182404981731,0.496691577895496,0.5,0.534546904065905,0.937874963118382,1,0.303880440482433,0.735710540115364,0.531246244441774,0.455355960720171,0.626847734647278,0.465361044497735,0.971418266671591,1.17995701843683,"QuBE",3600,"timeout" "uclid-pipe2",1,1357,115,1472,3814,8786,2,2,4,1,2654,1159,3327,2806,1.97325642370215,0.330361824855794,678.5,0.165180912427897,0.165180912427897,2.80774456521739,10.9565217391304,5.47826086956522,10.5991847826087,28.3478260869565,14.1739130434783,33.8747236551216,0.529592533576144,0.398702481220123,0.534546904065905,0.864603481624758,0.0717049852037332,1,0.888244143563292,0.929182404981731,0.496691577895496,0.5,0.534546904065905,0.937874963118382,1,0.303880440482433,0.735710540115364,0.531246244441774,0.455355960720171,0.626847734647278,0.465361044497735,0.971418266671591,1.17995701843683,"sKizzo",3600,"memout" "uclid-pipe2",1,1357,115,1472,3814,8786,2,2,4,1,2654,1159,3327,2806,1.97325642370215,0.330361824855794,678.5,0.165180912427897,0.165180912427897,2.80774456521739,10.9565217391304,5.47826086956522,10.5991847826087,28.3478260869565,14.1739130434783,33.8747236551216,0.529592533576144,0.398702481220123,0.534546904065905,0.864603481624758,0.0717049852037332,1,0.888244143563292,0.929182404981731,0.496691577895496,0.5,0.534546904065905,0.937874963118382,1,0.303880440482433,0.735710540115364,0.531246244441774,0.455355960720171,0.626847734647278,0.465361044497735,0.971418266671591,1.17995701843683,"sSolve",3600,"timeout" "uclid-pipe3a",1,1354,110,1464,3839,8857,2,2,4,1,2658,1180,3361,2839,1.99973951549883,0.307371711383173,677,0.153685855691586,0.153685855691586,2.84699453551913,10.7272727272727,5.36363636363636,10.6502732240437,24.3636363636364,12.1818181818182,35.5214180206795,0.529411764705882,0.403974257649317,0.533932525726195,0.874173597782043,0.0666139776448007,1,0.888888888888889,0.937189271385542,0.500395834754375,0.5,0.533932525726195,0.945192132313819,1,0.307371711383173,0.73951549882782,0.531321389924251,0.46320318104134,0.536076163826555,0.46593435334118,0.988317305469684,1.00894896006912,"X2clsQ",3600,"timeout" "uclid-pipe3a",1,1354,110,1464,3839,8857,2,2,4,1,2658,1180,3361,2839,1.99973951549883,0.307371711383173,677,0.153685855691586,0.153685855691586,2.84699453551913,10.7272727272727,5.36363636363636,10.6502732240437,24.3636363636364,12.1818181818182,35.5214180206795,0.529411764705882,0.403974257649317,0.533932525726195,0.874173597782043,0.0666139776448007,1,0.888888888888889,0.937189271385542,0.500395834754375,0.5,0.533932525726195,0.945192132313819,1,0.307371711383173,0.73951549882782,0.531321389924251,0.46320318104134,0.536076163826555,0.46593435334118,0.988317305469684,1.00894896006912,"quantor",3600,"memout" "uclid-pipe3a",1,1354,110,1464,3839,8857,2,2,4,1,2658,1180,3361,2839,1.99973951549883,0.307371711383173,677,0.153685855691586,0.153685855691586,2.84699453551913,10.7272727272727,5.36363636363636,10.6502732240437,24.3636363636364,12.1818181818182,35.5214180206795,0.529411764705882,0.403974257649317,0.533932525726195,0.874173597782043,0.0666139776448007,1,0.888888888888889,0.937189271385542,0.500395834754375,0.5,0.533932525726195,0.945192132313819,1,0.307371711383173,0.73951549882782,0.531321389924251,0.46320318104134,0.536076163826555,0.46593435334118,0.988317305469684,1.00894896006912,"QuBE",3600,"timeout" "uclid-pipe3a",1,1354,110,1464,3839,8857,2,2,4,1,2658,1180,3361,2839,1.99973951549883,0.307371711383173,677,0.153685855691586,0.153685855691586,2.84699453551913,10.7272727272727,5.36363636363636,10.6502732240437,24.3636363636364,12.1818181818182,35.5214180206795,0.529411764705882,0.403974257649317,0.533932525726195,0.874173597782043,0.0666139776448007,1,0.888888888888889,0.937189271385542,0.500395834754375,0.5,0.533932525726195,0.945192132313819,1,0.307371711383173,0.73951549882782,0.531321389924251,0.46320318104134,0.536076163826555,0.46593435334118,0.988317305469684,1.00894896006912,"sKizzo",3600,"memout" "uclid-pipe3a",1,1354,110,1464,3839,8857,2,2,4,1,2658,1180,3361,2839,1.99973951549883,0.307371711383173,677,0.153685855691586,0.153685855691586,2.84699453551913,10.7272727272727,5.36363636363636,10.6502732240437,24.3636363636364,12.1818181818182,35.5214180206795,0.529411764705882,0.403974257649317,0.533932525726195,0.874173597782043,0.0666139776448007,1,0.888888888888889,0.937189271385542,0.500395834754375,0.5,0.533932525726195,0.945192132313819,1,0.307371711383173,0.73951549882782,0.531321389924251,0.46320318104134,0.536076163826555,0.46593435334118,0.988317305469684,1.00894896006912,"sSolve",3600,"timeout" "Umbrella_tbm_05.tex.module.000011",1,1676,280,1956,5415,14972,10,10,20,0,2672,2743,2977,2684,2.07516158818098,0.689750692520776,167.6,0.494921514312096,0.19482917820868,3.01687116564417,13.3392857142857,9.57142857142857,39.8006134969325,136.696428571429,112.142857142857,79.063245823389,0.605864279989313,0.215134918514561,0.71335765773783,0.883695292690993,0.0704648677531392,2.54028436018957,0.650534670929335,0.875921927773531,0.624845614757731,0.717536813922356,0.71335765773783,1.03132935113579,2.54028436018957,0.506555863342567,0.495660203139427,0.546896371096819,0.315514612799178,0.279526151466902,0.34783585442564,0.696340953090439,0.511113560520254,"X2clsQ",3600,"timeout" "Umbrella_tbm_05.tex.module.000011",1,1676,280,1956,5415,14972,10,10,20,0,2672,2743,2977,2684,2.07516158818098,0.689750692520776,167.6,0.494921514312096,0.19482917820868,3.01687116564417,13.3392857142857,9.57142857142857,39.8006134969325,136.696428571429,112.142857142857,79.063245823389,0.605864279989313,0.215134918514561,0.71335765773783,0.883695292690993,0.0704648677531392,2.54028436018957,0.650534670929335,0.875921927773531,0.624845614757731,0.717536813922356,0.71335765773783,1.03132935113579,2.54028436018957,0.506555863342567,0.495660203139427,0.546896371096819,0.315514612799178,0.279526151466902,0.34783585442564,0.696340953090439,0.511113560520254,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000011",1,1676,280,1956,5415,14972,10,10,20,0,2672,2743,2977,2684,2.07516158818098,0.689750692520776,167.6,0.494921514312096,0.19482917820868,3.01687116564417,13.3392857142857,9.57142857142857,39.8006134969325,136.696428571429,112.142857142857,79.063245823389,0.605864279989313,0.215134918514561,0.71335765773783,0.883695292690993,0.0704648677531392,2.54028436018957,0.650534670929335,0.875921927773531,0.624845614757731,0.717536813922356,0.71335765773783,1.03132935113579,2.54028436018957,0.506555863342567,0.495660203139427,0.546896371096819,0.315514612799178,0.279526151466902,0.34783585442564,0.696340953090439,0.511113560520254,"QuBE",1897.52,"ok" "Umbrella_tbm_05.tex.module.000011",1,1676,280,1956,5415,14972,10,10,20,0,2672,2743,2977,2684,2.07516158818098,0.689750692520776,167.6,0.494921514312096,0.19482917820868,3.01687116564417,13.3392857142857,9.57142857142857,39.8006134969325,136.696428571429,112.142857142857,79.063245823389,0.605864279989313,0.215134918514561,0.71335765773783,0.883695292690993,0.0704648677531392,2.54028436018957,0.650534670929335,0.875921927773531,0.624845614757731,0.717536813922356,0.71335765773783,1.03132935113579,2.54028436018957,0.506555863342567,0.495660203139427,0.546896371096819,0.315514612799178,0.279526151466902,0.34783585442564,0.696340953090439,0.511113560520254,"sKizzo",56.48,"ok" "Umbrella_tbm_05.tex.module.000011",1,1676,280,1956,5415,14972,10,10,20,0,2672,2743,2977,2684,2.07516158818098,0.689750692520776,167.6,0.494921514312096,0.19482917820868,3.01687116564417,13.3392857142857,9.57142857142857,39.8006134969325,136.696428571429,112.142857142857,79.063245823389,0.605864279989313,0.215134918514561,0.71335765773783,0.883695292690993,0.0704648677531392,2.54028436018957,0.650534670929335,0.875921927773531,0.624845614757731,0.717536813922356,0.71335765773783,1.03132935113579,2.54028436018957,0.506555863342567,0.495660203139427,0.546896371096819,0.315514612799178,0.279526151466902,0.34783585442564,0.696340953090439,0.511113560520254,"sSolve",3600,"timeout" "Umbrella_tbm_05.tex.module.000015",1,1661,280,1941,5358,14816,10,10,20,0,2642,2716,2950,2654,2.07652108995894,0.688689809630459,166.1,0.494587532661441,0.194102276969018,3.00927357032457,13.1785714285714,9.46428571428571,39.6548171045853,135,110.714285714286,78.8922335942203,0.60576403887689,0.215375269978402,0.71319431961172,0.884122562674095,0.0701943844492441,2.54807692307692,0.650807799442897,0.877534074817663,0.625852317425684,0.718157181571816,0.71319431961172,1.03316188690573,2.54807692307692,0.506905561776782,0.495334079880552,0.547128736173217,0.316070296810993,0.277350973338264,0.347835956416465,0.697925264986311,0.506920867067119,"X2clsQ",0.45,"ok" "Umbrella_tbm_05.tex.module.000015",1,1661,280,1941,5358,14816,10,10,20,0,2642,2716,2950,2654,2.07652108995894,0.688689809630459,166.1,0.494587532661441,0.194102276969018,3.00927357032457,13.1785714285714,9.46428571428571,39.6548171045853,135,110.714285714286,78.8922335942203,0.60576403887689,0.215375269978402,0.71319431961172,0.884122562674095,0.0701943844492441,2.54807692307692,0.650807799442897,0.877534074817663,0.625852317425684,0.718157181571816,0.71319431961172,1.03316188690573,2.54807692307692,0.506905561776782,0.495334079880552,0.547128736173217,0.316070296810993,0.277350973338264,0.347835956416465,0.697925264986311,0.506920867067119,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000015",1,1661,280,1941,5358,14816,10,10,20,0,2642,2716,2950,2654,2.07652108995894,0.688689809630459,166.1,0.494587532661441,0.194102276969018,3.00927357032457,13.1785714285714,9.46428571428571,39.6548171045853,135,110.714285714286,78.8922335942203,0.60576403887689,0.215375269978402,0.71319431961172,0.884122562674095,0.0701943844492441,2.54807692307692,0.650807799442897,0.877534074817663,0.625852317425684,0.718157181571816,0.71319431961172,1.03316188690573,2.54807692307692,0.506905561776782,0.495334079880552,0.547128736173217,0.316070296810993,0.277350973338264,0.347835956416465,0.697925264986311,0.506920867067119,"QuBE",0.17,"ok" "Umbrella_tbm_05.tex.module.000015",1,1661,280,1941,5358,14816,10,10,20,0,2642,2716,2950,2654,2.07652108995894,0.688689809630459,166.1,0.494587532661441,0.194102276969018,3.00927357032457,13.1785714285714,9.46428571428571,39.6548171045853,135,110.714285714286,78.8922335942203,0.60576403887689,0.215375269978402,0.71319431961172,0.884122562674095,0.0701943844492441,2.54807692307692,0.650807799442897,0.877534074817663,0.625852317425684,0.718157181571816,0.71319431961172,1.03316188690573,2.54807692307692,0.506905561776782,0.495334079880552,0.547128736173217,0.316070296810993,0.277350973338264,0.347835956416465,0.697925264986311,0.506920867067119,"sKizzo",51.1,"ok" "Umbrella_tbm_05.tex.module.000015",1,1661,280,1941,5358,14816,10,10,20,0,2642,2716,2950,2654,2.07652108995894,0.688689809630459,166.1,0.494587532661441,0.194102276969018,3.00927357032457,13.1785714285714,9.46428571428571,39.6548171045853,135,110.714285714286,78.8922335942203,0.60576403887689,0.215375269978402,0.71319431961172,0.884122562674095,0.0701943844492441,2.54807692307692,0.650807799442897,0.877534074817663,0.625852317425684,0.718157181571816,0.71319431961172,1.03316188690573,2.54807692307692,0.506905561776782,0.495334079880552,0.547128736173217,0.316070296810993,0.277350973338264,0.347835956416465,0.697925264986311,0.506920867067119,"sSolve",1092.87,"ok" "Umbrella_tbm_05.tex.module.000025",1,1571,280,1851,5016,13880,10,10,20,0,2462,2554,2788,2474,2.07535885167464,0.691786283891547,157.1,0.492424242424242,0.199362041467305,2.96110210696921,12.3928571428571,8.82142857142857,38.7844408427877,126.071428571429,102.5,77.4793125397836,0.605115273775216,0.21693083573487,0.71075888568684,0.880938206929396,0.0720461095100865,2.47,0.652577687819979,0.883672819859962,0.628078308755414,0.711815561959654,0.71075888568684,1.0379481992529,2.47,0.509170653907496,0.493221690590112,0.547580035291152,0.31995178394896,0.274960387482444,0.349246231155779,0.707200850773381,0.502137349357973,"X2clsQ",3600,"timeout" "Umbrella_tbm_05.tex.module.000025",1,1571,280,1851,5016,13880,10,10,20,0,2462,2554,2788,2474,2.07535885167464,0.691786283891547,157.1,0.492424242424242,0.199362041467305,2.96110210696921,12.3928571428571,8.82142857142857,38.7844408427877,126.071428571429,102.5,77.4793125397836,0.605115273775216,0.21693083573487,0.71075888568684,0.880938206929396,0.0720461095100865,2.47,0.652577687819979,0.883672819859962,0.628078308755414,0.711815561959654,0.71075888568684,1.0379481992529,2.47,0.509170653907496,0.493221690590112,0.547580035291152,0.31995178394896,0.274960387482444,0.349246231155779,0.707200850773381,0.502137349357973,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000025",1,1571,280,1851,5016,13880,10,10,20,0,2462,2554,2788,2474,2.07535885167464,0.691786283891547,157.1,0.492424242424242,0.199362041467305,2.96110210696921,12.3928571428571,8.82142857142857,38.7844408427877,126.071428571429,102.5,77.4793125397836,0.605115273775216,0.21693083573487,0.71075888568684,0.880938206929396,0.0720461095100865,2.47,0.652577687819979,0.883672819859962,0.628078308755414,0.711815561959654,0.71075888568684,1.0379481992529,2.47,0.509170653907496,0.493221690590112,0.547580035291152,0.31995178394896,0.274960387482444,0.349246231155779,0.707200850773381,0.502137349357973,"QuBE",1960.9,"ok" "Umbrella_tbm_05.tex.module.000025",1,1571,280,1851,5016,13880,10,10,20,0,2462,2554,2788,2474,2.07535885167464,0.691786283891547,157.1,0.492424242424242,0.199362041467305,2.96110210696921,12.3928571428571,8.82142857142857,38.7844408427877,126.071428571429,102.5,77.4793125397836,0.605115273775216,0.21693083573487,0.71075888568684,0.880938206929396,0.0720461095100865,2.47,0.652577687819979,0.883672819859962,0.628078308755414,0.711815561959654,0.71075888568684,1.0379481992529,2.47,0.509170653907496,0.493221690590112,0.547580035291152,0.31995178394896,0.274960387482444,0.349246231155779,0.707200850773381,0.502137349357973,"sKizzo",304.25,"ok" "Umbrella_tbm_05.tex.module.000025",1,1571,280,1851,5016,13880,10,10,20,0,2462,2554,2788,2474,2.07535885167464,0.691786283891547,157.1,0.492424242424242,0.199362041467305,2.96110210696921,12.3928571428571,8.82142857142857,38.7844408427877,126.071428571429,102.5,77.4793125397836,0.605115273775216,0.21693083573487,0.71075888568684,0.880938206929396,0.0720461095100865,2.47,0.652577687819979,0.883672819859962,0.628078308755414,0.711815561959654,0.71075888568684,1.0379481992529,2.47,0.509170653907496,0.493221690590112,0.547580035291152,0.31995178394896,0.274960387482444,0.349246231155779,0.707200850773381,0.502137349357973,"sSolve",3600,"timeout" "Umbrella_tbm_05.tex.module.000030",1,1496,280,1776,4731,13100,10,10,20,0,2312,2419,2653,2324,2.0714436694145,0.697526949904883,149.6,0.490382582963433,0.20714436694145,2.91722972972973,11.7857142857143,8.28571428571429,37.9166666666667,118.392857142857,95.1785714285714,77.4264705882353,0.604503816793893,0.218396946564886,0.708061224489796,0.87624700088395,0.0748091603053435,2.36734693877551,0.654249273898219,0.88810874800996,0.628835367596032,0.703030303030303,0.708061224489796,1.04025045024726,2.36734693877551,0.511308391460579,0.491228070175439,0.548296216796351,0.324025861289707,0.276553336655295,0.350987664970241,0.717341482047364,0.504386731448146,"X2clsQ",0.35,"ok" "Umbrella_tbm_05.tex.module.000030",1,1496,280,1776,4731,13100,10,10,20,0,2312,2419,2653,2324,2.0714436694145,0.697526949904883,149.6,0.490382582963433,0.20714436694145,2.91722972972973,11.7857142857143,8.28571428571429,37.9166666666667,118.392857142857,95.1785714285714,77.4264705882353,0.604503816793893,0.218396946564886,0.708061224489796,0.87624700088395,0.0748091603053435,2.36734693877551,0.654249273898219,0.88810874800996,0.628835367596032,0.703030303030303,0.708061224489796,1.04025045024726,2.36734693877551,0.511308391460579,0.491228070175439,0.548296216796351,0.324025861289707,0.276553336655295,0.350987664970241,0.717341482047364,0.504386731448146,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000030",1,1496,280,1776,4731,13100,10,10,20,0,2312,2419,2653,2324,2.0714436694145,0.697526949904883,149.6,0.490382582963433,0.20714436694145,2.91722972972973,11.7857142857143,8.28571428571429,37.9166666666667,118.392857142857,95.1785714285714,77.4264705882353,0.604503816793893,0.218396946564886,0.708061224489796,0.87624700088395,0.0748091603053435,2.36734693877551,0.654249273898219,0.88810874800996,0.628835367596032,0.703030303030303,0.708061224489796,1.04025045024726,2.36734693877551,0.511308391460579,0.491228070175439,0.548296216796351,0.324025861289707,0.276553336655295,0.350987664970241,0.717341482047364,0.504386731448146,"QuBE",0.16,"ok" "Umbrella_tbm_05.tex.module.000030",1,1496,280,1776,4731,13100,10,10,20,0,2312,2419,2653,2324,2.0714436694145,0.697526949904883,149.6,0.490382582963433,0.20714436694145,2.91722972972973,11.7857142857143,8.28571428571429,37.9166666666667,118.392857142857,95.1785714285714,77.4264705882353,0.604503816793893,0.218396946564886,0.708061224489796,0.87624700088395,0.0748091603053435,2.36734693877551,0.654249273898219,0.88810874800996,0.628835367596032,0.703030303030303,0.708061224489796,1.04025045024726,2.36734693877551,0.511308391460579,0.491228070175439,0.548296216796351,0.324025861289707,0.276553336655295,0.350987664970241,0.717341482047364,0.504386731448146,"sKizzo",537.28,"ok" "Umbrella_tbm_05.tex.module.000030",1,1496,280,1776,4731,13100,10,10,20,0,2312,2419,2653,2324,2.0714436694145,0.697526949904883,149.6,0.490382582963433,0.20714436694145,2.91722972972973,11.7857142857143,8.28571428571429,37.9166666666667,118.392857142857,95.1785714285714,77.4264705882353,0.604503816793893,0.218396946564886,0.708061224489796,0.87624700088395,0.0748091603053435,2.36734693877551,0.654249273898219,0.88810874800996,0.628835367596032,0.703030303030303,0.708061224489796,1.04025045024726,2.36734693877551,0.511308391460579,0.491228070175439,0.548296216796351,0.324025861289707,0.276553336655295,0.350987664970241,0.717341482047364,0.504386731448146,"sSolve",678.57,"ok" "Umbrella_tbm_05.tex.module.000039",1,1406,280,1686,4389,12164,10,10,20,0,2132,2257,2491,2144,2.06402369560264,0.70745044429255,140.6,0.487582592845751,0.219867851446799,2.85943060498221,11.0892857142857,7.64285714285714,36.7497034400949,109.196428571429,86.25,78.1863442389758,0.603666557053601,0.220404472213088,0.704051219781433,0.868582323301103,0.0793324564288063,2.21761658031088,0.656543647010759,0.893050664156949,0.628753409426318,0.689210950080515,0.704051219781433,1.04155746592152,2.21761658031088,0.514240145819093,0.488493962178173,0.549299872704128,0.329803453207708,0.281416101628868,0.353695042095416,0.731758065360389,0.512317798734405,"X2clsQ",3600,"timeout" "Umbrella_tbm_05.tex.module.000039",1,1406,280,1686,4389,12164,10,10,20,0,2132,2257,2491,2144,2.06402369560264,0.70745044429255,140.6,0.487582592845751,0.219867851446799,2.85943060498221,11.0892857142857,7.64285714285714,36.7497034400949,109.196428571429,86.25,78.1863442389758,0.603666557053601,0.220404472213088,0.704051219781433,0.868582323301103,0.0793324564288063,2.21761658031088,0.656543647010759,0.893050664156949,0.628753409426318,0.689210950080515,0.704051219781433,1.04155746592152,2.21761658031088,0.514240145819093,0.488493962178173,0.549299872704128,0.329803453207708,0.281416101628868,0.353695042095416,0.731758065360389,0.512317798734405,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000039",1,1406,280,1686,4389,12164,10,10,20,0,2132,2257,2491,2144,2.06402369560264,0.70745044429255,140.6,0.487582592845751,0.219867851446799,2.85943060498221,11.0892857142857,7.64285714285714,36.7497034400949,109.196428571429,86.25,78.1863442389758,0.603666557053601,0.220404472213088,0.704051219781433,0.868582323301103,0.0793324564288063,2.21761658031088,0.656543647010759,0.893050664156949,0.628753409426318,0.689210950080515,0.704051219781433,1.04155746592152,2.21761658031088,0.514240145819093,0.488493962178173,0.549299872704128,0.329803453207708,0.281416101628868,0.353695042095416,0.731758065360389,0.512317798734405,"QuBE",1936.16,"ok" "Umbrella_tbm_05.tex.module.000039",1,1406,280,1686,4389,12164,10,10,20,0,2132,2257,2491,2144,2.06402369560264,0.70745044429255,140.6,0.487582592845751,0.219867851446799,2.85943060498221,11.0892857142857,7.64285714285714,36.7497034400949,109.196428571429,86.25,78.1863442389758,0.603666557053601,0.220404472213088,0.704051219781433,0.868582323301103,0.0793324564288063,2.21761658031088,0.656543647010759,0.893050664156949,0.628753409426318,0.689210950080515,0.704051219781433,1.04155746592152,2.21761658031088,0.514240145819093,0.488493962178173,0.549299872704128,0.329803453207708,0.281416101628868,0.353695042095416,0.731758065360389,0.512317798734405,"sKizzo",312.88,"ok" "Umbrella_tbm_05.tex.module.000039",1,1406,280,1686,4389,12164,10,10,20,0,2132,2257,2491,2144,2.06402369560264,0.70745044429255,140.6,0.487582592845751,0.219867851446799,2.85943060498221,11.0892857142857,7.64285714285714,36.7497034400949,109.196428571429,86.25,78.1863442389758,0.603666557053601,0.220404472213088,0.704051219781433,0.868582323301103,0.0793324564288063,2.21761658031088,0.656543647010759,0.893050664156949,0.628753409426318,0.689210950080515,0.704051219781433,1.04155746592152,2.21761658031088,0.514240145819093,0.488493962178173,0.549299872704128,0.329803453207708,0.281416101628868,0.353695042095416,0.731758065360389,0.512317798734405,"sSolve",3600,"timeout" "Umbrella_tbm_05.tex.module.000043",1,1346,280,1626,4161,11540,10,10,20,0,2012,2149,2383,2024,2.0620043258832,0.711367459745254,134.6,0.485460225907234,0.22590723383802,2.81734317343173,10.5714285714286,7.21428571428571,36.0947109471095,103.571428571429,81.0714285714286,78.2466567607727,0.603032928942808,0.221923743500867,0.701515151515152,0.864923121138095,0.0814558058925477,2.14893617021277,0.658284236240839,0.898166722891628,0.630077564695188,0.682432432432432,0.701515151515152,1.04484769314305,2.14893617021277,0.516462388848834,0.486421533285268,0.549162697803042,0.333974309659708,0.28103395298817,0.355702708045068,0.740786771707288,0.511749895090222,"X2clsQ",0.32,"ok" "Umbrella_tbm_05.tex.module.000043",1,1346,280,1626,4161,11540,10,10,20,0,2012,2149,2383,2024,2.0620043258832,0.711367459745254,134.6,0.485460225907234,0.22590723383802,2.81734317343173,10.5714285714286,7.21428571428571,36.0947109471095,103.571428571429,81.0714285714286,78.2466567607727,0.603032928942808,0.221923743500867,0.701515151515152,0.864923121138095,0.0814558058925477,2.14893617021277,0.658284236240839,0.898166722891628,0.630077564695188,0.682432432432432,0.701515151515152,1.04484769314305,2.14893617021277,0.516462388848834,0.486421533285268,0.549162697803042,0.333974309659708,0.28103395298817,0.355702708045068,0.740786771707288,0.511749895090222,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000043",1,1346,280,1626,4161,11540,10,10,20,0,2012,2149,2383,2024,2.0620043258832,0.711367459745254,134.6,0.485460225907234,0.22590723383802,2.81734317343173,10.5714285714286,7.21428571428571,36.0947109471095,103.571428571429,81.0714285714286,78.2466567607727,0.603032928942808,0.221923743500867,0.701515151515152,0.864923121138095,0.0814558058925477,2.14893617021277,0.658284236240839,0.898166722891628,0.630077564695188,0.682432432432432,0.701515151515152,1.04484769314305,2.14893617021277,0.516462388848834,0.486421533285268,0.549162697803042,0.333974309659708,0.28103395298817,0.355702708045068,0.740786771707288,0.511749895090222,"QuBE",0.15,"ok" "Umbrella_tbm_05.tex.module.000043",1,1346,280,1626,4161,11540,10,10,20,0,2012,2149,2383,2024,2.0620043258832,0.711367459745254,134.6,0.485460225907234,0.22590723383802,2.81734317343173,10.5714285714286,7.21428571428571,36.0947109471095,103.571428571429,81.0714285714286,78.2466567607727,0.603032928942808,0.221923743500867,0.701515151515152,0.864923121138095,0.0814558058925477,2.14893617021277,0.658284236240839,0.898166722891628,0.630077564695188,0.682432432432432,0.701515151515152,1.04484769314305,2.14893617021277,0.516462388848834,0.486421533285268,0.549162697803042,0.333974309659708,0.28103395298817,0.355702708045068,0.740786771707288,0.511749895090222,"sKizzo",3600,"memout" "Umbrella_tbm_05.tex.module.000043",1,1346,280,1626,4161,11540,10,10,20,0,2012,2149,2383,2024,2.0620043258832,0.711367459745254,134.6,0.485460225907234,0.22590723383802,2.81734317343173,10.5714285714286,7.21428571428571,36.0947109471095,103.571428571429,81.0714285714286,78.2466567607727,0.603032928942808,0.221923743500867,0.701515151515152,0.864923121138095,0.0814558058925477,2.14893617021277,0.658284236240839,0.898166722891628,0.630077564695188,0.682432432432432,0.701515151515152,1.04484769314305,2.14893617021277,0.516462388848834,0.486421533285268,0.549162697803042,0.333974309659708,0.28103395298817,0.355702708045068,0.740786771707288,0.511749895090222,"sSolve",789.49,"ok" "Umbrella_tbm_05.tex.module.000053",1,1256,280,1536,3819,10604,10,10,20,0,1832,1987,2221,1844,2.05525006546216,0.721393034825871,125.6,0.481801518722179,0.239591516103692,2.748046875,9.83928571428571,6.57142857142857,34.5442708333333,93.6607142857143,71.6071428571429,78.8296178343949,0.601942663145983,0.224537910222558,0.696649254682125,0.856650477831741,0.0862881931346662,2.01092896174863,0.661287795707348,0.9052034204134,0.630609288166706,0.667876588021779,0.696649254682125,1.04762351429105,2.01092896174863,0.520293270489657,0.482848913328096,0.551574054510881,0.341169805176017,0.286281730101717,0.358414766558089,0.76081638140694,0.519026824703681,"X2clsQ",3600,"timeout" "Umbrella_tbm_05.tex.module.000053",1,1256,280,1536,3819,10604,10,10,20,0,1832,1987,2221,1844,2.05525006546216,0.721393034825871,125.6,0.481801518722179,0.239591516103692,2.748046875,9.83928571428571,6.57142857142857,34.5442708333333,93.6607142857143,71.6071428571429,78.8296178343949,0.601942663145983,0.224537910222558,0.696649254682125,0.856650477831741,0.0862881931346662,2.01092896174863,0.661287795707348,0.9052034204134,0.630609288166706,0.667876588021779,0.696649254682125,1.04762351429105,2.01092896174863,0.520293270489657,0.482848913328096,0.551574054510881,0.341169805176017,0.286281730101717,0.358414766558089,0.76081638140694,0.519026824703681,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000053",1,1256,280,1536,3819,10604,10,10,20,0,1832,1987,2221,1844,2.05525006546216,0.721393034825871,125.6,0.481801518722179,0.239591516103692,2.748046875,9.83928571428571,6.57142857142857,34.5442708333333,93.6607142857143,71.6071428571429,78.8296178343949,0.601942663145983,0.224537910222558,0.696649254682125,0.856650477831741,0.0862881931346662,2.01092896174863,0.661287795707348,0.9052034204134,0.630609288166706,0.667876588021779,0.696649254682125,1.04762351429105,2.01092896174863,0.520293270489657,0.482848913328096,0.551574054510881,0.341169805176017,0.286281730101717,0.358414766558089,0.76081638140694,0.519026824703681,"QuBE",1891.2,"ok" "Umbrella_tbm_05.tex.module.000053",1,1256,280,1536,3819,10604,10,10,20,0,1832,1987,2221,1844,2.05525006546216,0.721393034825871,125.6,0.481801518722179,0.239591516103692,2.748046875,9.83928571428571,6.57142857142857,34.5442708333333,93.6607142857143,71.6071428571429,78.8296178343949,0.601942663145983,0.224537910222558,0.696649254682125,0.856650477831741,0.0862881931346662,2.01092896174863,0.661287795707348,0.9052034204134,0.630609288166706,0.667876588021779,0.696649254682125,1.04762351429105,2.01092896174863,0.520293270489657,0.482848913328096,0.551574054510881,0.341169805176017,0.286281730101717,0.358414766558089,0.76081638140694,0.519026824703681,"sKizzo",307.44,"ok" "Umbrella_tbm_05.tex.module.000053",1,1256,280,1536,3819,10604,10,10,20,0,1832,1987,2221,1844,2.05525006546216,0.721393034825871,125.6,0.481801518722179,0.239591516103692,2.748046875,9.83928571428571,6.57142857142857,34.5442708333333,93.6607142857143,71.6071428571429,78.8296178343949,0.601942663145983,0.224537910222558,0.696649254682125,0.856650477831741,0.0862881931346662,2.01092896174863,0.661287795707348,0.9052034204134,0.630609288166706,0.667876588021779,0.696649254682125,1.04762351429105,2.01092896174863,0.520293270489657,0.482848913328096,0.551574054510881,0.341169805176017,0.286281730101717,0.358414766558089,0.76081638140694,0.519026824703681,"sSolve",3600,"timeout" "Umbrella_tbm_05.tex.module.000064",1,1091,280,1371,3192,8888,10,10,20,0,1502,1690,1924,1514,2.03728070175439,0.74718045112782,109.1,0.473057644110276,0.274122807017544,2.59737417943107,8.51785714285714,5.39285714285714,31.6411378555799,77.2321428571429,55.8928571428571,80.4216315307058,0.599347434743474,0.230760576057606,0.684607104413348,0.835742444152431,0.0984473447344735,1.72571428571429,0.668481321569364,0.919437922252354,0.629453733641008,0.633123689727463,0.684607104413348,1.05023179737212,1.72571428571429,0.529448621553885,0.474310776942356,0.554139472737551,0.358155851813555,0.300695418205311,0.366459627329193,0.80329123103273,0.54263490149839,"X2clsQ",3600,"timeout" "Umbrella_tbm_05.tex.module.000064",1,1091,280,1371,3192,8888,10,10,20,0,1502,1690,1924,1514,2.03728070175439,0.74718045112782,109.1,0.473057644110276,0.274122807017544,2.59737417943107,8.51785714285714,5.39285714285714,31.6411378555799,77.2321428571429,55.8928571428571,80.4216315307058,0.599347434743474,0.230760576057606,0.684607104413348,0.835742444152431,0.0984473447344735,1.72571428571429,0.668481321569364,0.919437922252354,0.629453733641008,0.633123689727463,0.684607104413348,1.05023179737212,1.72571428571429,0.529448621553885,0.474310776942356,0.554139472737551,0.358155851813555,0.300695418205311,0.366459627329193,0.80329123103273,0.54263490149839,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000064",1,1091,280,1371,3192,8888,10,10,20,0,1502,1690,1924,1514,2.03728070175439,0.74718045112782,109.1,0.473057644110276,0.274122807017544,2.59737417943107,8.51785714285714,5.39285714285714,31.6411378555799,77.2321428571429,55.8928571428571,80.4216315307058,0.599347434743474,0.230760576057606,0.684607104413348,0.835742444152431,0.0984473447344735,1.72571428571429,0.668481321569364,0.919437922252354,0.629453733641008,0.633123689727463,0.684607104413348,1.05023179737212,1.72571428571429,0.529448621553885,0.474310776942356,0.554139472737551,0.358155851813555,0.300695418205311,0.366459627329193,0.80329123103273,0.54263490149839,"QuBE",1953.26,"ok" "Umbrella_tbm_05.tex.module.000064",1,1091,280,1371,3192,8888,10,10,20,0,1502,1690,1924,1514,2.03728070175439,0.74718045112782,109.1,0.473057644110276,0.274122807017544,2.59737417943107,8.51785714285714,5.39285714285714,31.6411378555799,77.2321428571429,55.8928571428571,80.4216315307058,0.599347434743474,0.230760576057606,0.684607104413348,0.835742444152431,0.0984473447344735,1.72571428571429,0.668481321569364,0.919437922252354,0.629453733641008,0.633123689727463,0.684607104413348,1.05023179737212,1.72571428571429,0.529448621553885,0.474310776942356,0.554139472737551,0.358155851813555,0.300695418205311,0.366459627329193,0.80329123103273,0.54263490149839,"sKizzo",331.52,"ok" "Umbrella_tbm_05.tex.module.000064",1,1091,280,1371,3192,8888,10,10,20,0,1502,1690,1924,1514,2.03728070175439,0.74718045112782,109.1,0.473057644110276,0.274122807017544,2.59737417943107,8.51785714285714,5.39285714285714,31.6411378555799,77.2321428571429,55.8928571428571,80.4216315307058,0.599347434743474,0.230760576057606,0.684607104413348,0.835742444152431,0.0984473447344735,1.72571428571429,0.668481321569364,0.919437922252354,0.629453733641008,0.633123689727463,0.684607104413348,1.05023179737212,1.72571428571429,0.529448621553885,0.474310776942356,0.554139472737551,0.358155851813555,0.300695418205311,0.366459627329193,0.80329123103273,0.54263490149839,"sSolve",3600,"timeout" "Umbrella_tbm_05.tex.module.000065",1,1076,280,1356,3135,8732,10,10,20,0,1472,1663,1897,1484,2.03891547049442,0.746411483253589,107.6,0.472089314194577,0.274322169059011,2.58185840707965,8.35714285714286,5.28571428571429,31.6371681415929,76.25,55.1785714285714,80.3624535315985,0.599060925332112,0.231447549244159,0.683823529411765,0.835595488434334,0.0984883188273019,1.72093023255814,0.669279296501625,0.922508672114872,0.630833136078552,0.632478632478632,0.683823529411765,1.05303669360312,1.72093023255814,0.530462519936204,0.473365231259968,0.552845528455285,0.360570384371273,0.297820066112749,0.368011797828127,0.806366495957574,0.538703943115708,"X2clsQ",0.34,"ok" "Umbrella_tbm_05.tex.module.000065",1,1076,280,1356,3135,8732,10,10,20,0,1472,1663,1897,1484,2.03891547049442,0.746411483253589,107.6,0.472089314194577,0.274322169059011,2.58185840707965,8.35714285714286,5.28571428571429,31.6371681415929,76.25,55.1785714285714,80.3624535315985,0.599060925332112,0.231447549244159,0.683823529411765,0.835595488434334,0.0984883188273019,1.72093023255814,0.669279296501625,0.922508672114872,0.630833136078552,0.632478632478632,0.683823529411765,1.05303669360312,1.72093023255814,0.530462519936204,0.473365231259968,0.552845528455285,0.360570384371273,0.297820066112749,0.368011797828127,0.806366495957574,0.538703943115708,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000065",1,1076,280,1356,3135,8732,10,10,20,0,1472,1663,1897,1484,2.03891547049442,0.746411483253589,107.6,0.472089314194577,0.274322169059011,2.58185840707965,8.35714285714286,5.28571428571429,31.6371681415929,76.25,55.1785714285714,80.3624535315985,0.599060925332112,0.231447549244159,0.683823529411765,0.835595488434334,0.0984883188273019,1.72093023255814,0.669279296501625,0.922508672114872,0.630833136078552,0.632478632478632,0.683823529411765,1.05303669360312,1.72093023255814,0.530462519936204,0.473365231259968,0.552845528455285,0.360570384371273,0.297820066112749,0.368011797828127,0.806366495957574,0.538703943115708,"QuBE",0.12,"ok" "Umbrella_tbm_05.tex.module.000065",1,1076,280,1356,3135,8732,10,10,20,0,1472,1663,1897,1484,2.03891547049442,0.746411483253589,107.6,0.472089314194577,0.274322169059011,2.58185840707965,8.35714285714286,5.28571428571429,31.6371681415929,76.25,55.1785714285714,80.3624535315985,0.599060925332112,0.231447549244159,0.683823529411765,0.835595488434334,0.0984883188273019,1.72093023255814,0.669279296501625,0.922508672114872,0.630833136078552,0.632478632478632,0.683823529411765,1.05303669360312,1.72093023255814,0.530462519936204,0.473365231259968,0.552845528455285,0.360570384371273,0.297820066112749,0.368011797828127,0.806366495957574,0.538703943115708,"sKizzo",338.14,"ok" "Umbrella_tbm_05.tex.module.000065",1,1076,280,1356,3135,8732,10,10,20,0,1472,1663,1897,1484,2.03891547049442,0.746411483253589,107.6,0.472089314194577,0.274322169059011,2.58185840707965,8.35714285714286,5.28571428571429,31.6371681415929,76.25,55.1785714285714,80.3624535315985,0.599060925332112,0.231447549244159,0.683823529411765,0.835595488434334,0.0984883188273019,1.72093023255814,0.669279296501625,0.922508672114872,0.630833136078552,0.632478632478632,0.683823529411765,1.05303669360312,1.72093023255814,0.530462519936204,0.473365231259968,0.552845528455285,0.360570384371273,0.297820066112749,0.368011797828127,0.806366495957574,0.538703943115708,"sSolve",1012.36,"ok" "Umbrella_tbm_05.tex.module.000079",1,1031,280,1311,2964,8264,10,10,20,0,1382,1582,1816,1394,2.04082321187584,0.747300944669366,103.1,0.468960863697706,0.27834008097166,2.53318077803204,7.91071428571429,4.96428571428571,30.7475209763539,71.875,51.4285714285714,79.1464597478177,0.598136495643756,0.233664085188771,0.680773681600265,0.83309730932632,0.0998305905130687,1.68484848484848,0.671859194820959,0.930759124450833,0.633636315835433,0.627539503386005,0.680773681600265,1.05935070080195,1.68484848484848,0.533738191632928,0.470310391363023,0.553722668142818,0.364756860598502,0.296764659364992,0.369088319088319,0.817332260817655,0.535944573770726,"X2clsQ",0.34,"ok" "Umbrella_tbm_05.tex.module.000079",1,1031,280,1311,2964,8264,10,10,20,0,1382,1582,1816,1394,2.04082321187584,0.747300944669366,103.1,0.468960863697706,0.27834008097166,2.53318077803204,7.91071428571429,4.96428571428571,30.7475209763539,71.875,51.4285714285714,79.1464597478177,0.598136495643756,0.233664085188771,0.680773681600265,0.83309730932632,0.0998305905130687,1.68484848484848,0.671859194820959,0.930759124450833,0.633636315835433,0.627539503386005,0.680773681600265,1.05935070080195,1.68484848484848,0.533738191632928,0.470310391363023,0.553722668142818,0.364756860598502,0.296764659364992,0.369088319088319,0.817332260817655,0.535944573770726,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000079",1,1031,280,1311,2964,8264,10,10,20,0,1382,1582,1816,1394,2.04082321187584,0.747300944669366,103.1,0.468960863697706,0.27834008097166,2.53318077803204,7.91071428571429,4.96428571428571,30.7475209763539,71.875,51.4285714285714,79.1464597478177,0.598136495643756,0.233664085188771,0.680773681600265,0.83309730932632,0.0998305905130687,1.68484848484848,0.671859194820959,0.930759124450833,0.633636315835433,0.627539503386005,0.680773681600265,1.05935070080195,1.68484848484848,0.533738191632928,0.470310391363023,0.553722668142818,0.364756860598502,0.296764659364992,0.369088319088319,0.817332260817655,0.535944573770726,"QuBE",0.12,"ok" "Umbrella_tbm_05.tex.module.000079",1,1031,280,1311,2964,8264,10,10,20,0,1382,1582,1816,1394,2.04082321187584,0.747300944669366,103.1,0.468960863697706,0.27834008097166,2.53318077803204,7.91071428571429,4.96428571428571,30.7475209763539,71.875,51.4285714285714,79.1464597478177,0.598136495643756,0.233664085188771,0.680773681600265,0.83309730932632,0.0998305905130687,1.68484848484848,0.671859194820959,0.930759124450833,0.633636315835433,0.627539503386005,0.680773681600265,1.05935070080195,1.68484848484848,0.533738191632928,0.470310391363023,0.553722668142818,0.364756860598502,0.296764659364992,0.369088319088319,0.817332260817655,0.535944573770726,"sKizzo",476.67,"ok" "Umbrella_tbm_05.tex.module.000079",1,1031,280,1311,2964,8264,10,10,20,0,1382,1582,1816,1394,2.04082321187584,0.747300944669366,103.1,0.468960863697706,0.27834008097166,2.53318077803204,7.91071428571429,4.96428571428571,30.7475209763539,71.875,51.4285714285714,79.1464597478177,0.598136495643756,0.233664085188771,0.680773681600265,0.83309730932632,0.0998305905130687,1.68484848484848,0.671859194820959,0.930759124450833,0.633636315835433,0.627539503386005,0.680773681600265,1.05935070080195,1.68484848484848,0.533738191632928,0.470310391363023,0.553722668142818,0.364756860598502,0.296764659364992,0.369088319088319,0.817332260817655,0.535944573770726,"sSolve",1381.56,"ok" "Umbrella_tbm_05.tex.module.000088",1,1011,280,1291,2870,8002,10,10,20,0,1342,1528,1747,1354,2.03554006968641,0.752613240418119,101.1,0.475609756097561,0.277003484320557,2.50116189000775,7.71428571428571,4.875,30.151045701007,69.4642857142857,50.0892857142857,77.1018793273986,0.596475881029743,0.23294176455886,0.680931187949332,0.833438089252043,0.0993501624593852,1.71698113207547,0.676513723025351,0.932262236122473,0.634806431923177,0.631944444444444,0.680931187949332,1.06426169458396,1.71698113207547,0.532404181184669,0.471777003484321,0.552945905593201,0.365179079283731,0.287276042264844,0.368234250221828,0.816856581457532,0.519537335133451,"X2clsQ",3600,"timeout" "Umbrella_tbm_05.tex.module.000088",1,1011,280,1291,2870,8002,10,10,20,0,1342,1528,1747,1354,2.03554006968641,0.752613240418119,101.1,0.475609756097561,0.277003484320557,2.50116189000775,7.71428571428571,4.875,30.151045701007,69.4642857142857,50.0892857142857,77.1018793273986,0.596475881029743,0.23294176455886,0.680931187949332,0.833438089252043,0.0993501624593852,1.71698113207547,0.676513723025351,0.932262236122473,0.634806431923177,0.631944444444444,0.680931187949332,1.06426169458396,1.71698113207547,0.532404181184669,0.471777003484321,0.552945905593201,0.365179079283731,0.287276042264844,0.368234250221828,0.816856581457532,0.519537335133451,"quantor",3600,"memout" "Umbrella_tbm_05.tex.module.000088",1,1011,280,1291,2870,8002,10,10,20,0,1342,1528,1747,1354,2.03554006968641,0.752613240418119,101.1,0.475609756097561,0.277003484320557,2.50116189000775,7.71428571428571,4.875,30.151045701007,69.4642857142857,50.0892857142857,77.1018793273986,0.596475881029743,0.23294176455886,0.680931187949332,0.833438089252043,0.0993501624593852,1.71698113207547,0.676513723025351,0.932262236122473,0.634806431923177,0.631944444444444,0.680931187949332,1.06426169458396,1.71698113207547,0.532404181184669,0.471777003484321,0.552945905593201,0.365179079283731,0.287276042264844,0.368234250221828,0.816856581457532,0.519537335133451,"QuBE",1918.16,"ok" "Umbrella_tbm_05.tex.module.000088",1,1011,280,1291,2870,8002,10,10,20,0,1342,1528,1747,1354,2.03554006968641,0.752613240418119,101.1,0.475609756097561,0.277003484320557,2.50116189000775,7.71428571428571,4.875,30.151045701007,69.4642857142857,50.0892857142857,77.1018793273986,0.596475881029743,0.23294176455886,0.680931187949332,0.833438089252043,0.0993501624593852,1.71698113207547,0.676513723025351,0.932262236122473,0.634806431923177,0.631944444444444,0.680931187949332,1.06426169458396,1.71698113207547,0.532404181184669,0.471777003484321,0.552945905593201,0.365179079283731,0.287276042264844,0.368234250221828,0.816856581457532,0.519537335133451,"sKizzo",648.53,"ok" "Umbrella_tbm_05.tex.module.000088",1,1011,280,1291,2870,8002,10,10,20,0,1342,1528,1747,1354,2.03554006968641,0.752613240418119,101.1,0.475609756097561,0.277003484320557,2.50116189000775,7.71428571428571,4.875,30.151045701007,69.4642857142857,50.0892857142857,77.1018793273986,0.596475881029743,0.23294176455886,0.680931187949332,0.833438089252043,0.0993501624593852,1.71698113207547,0.676513723025351,0.932262236122473,0.634806431923177,0.631944444444444,0.680931187949332,1.06426169458396,1.71698113207547,0.532404181184669,0.471777003484321,0.552945905593201,0.365179079283731,0.287276042264844,0.368234250221828,0.816856581457532,0.519537335133451,"sSolve",3600,"timeout" "Umbrella_tbm_14.tex.moduleQ2.1S.000720",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6231306081755,126.8,98.24,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.69041117892708,0.247119201886186,0.345073241246386,0.256849070100143,0.798217458336392,0.499808305222752,"X2clsQ",220.61,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000720",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6231306081755,126.8,98.24,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.69041117892708,0.247119201886186,0.345073241246386,0.256849070100143,0.798217458336392,0.499808305222752,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.1S.000720",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6231306081755,126.8,98.24,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.69041117892708,0.247119201886186,0.345073241246386,0.256849070100143,0.798217458336392,0.499808305222752,"QuBE",12.97,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000720",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6231306081755,126.8,98.24,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.69041117892708,0.247119201886186,0.345073241246386,0.256849070100143,0.798217458336392,0.499808305222752,"sKizzo",113.29,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000720",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6231306081755,126.8,98.24,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.69041117892708,0.247119201886186,0.345073241246386,0.256849070100143,0.798217458336392,0.499808305222752,"sSolve",2861,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000749",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.5805915586574,125.84,97.6,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690686684240982,0.247309868022792,0.341470134379219,0.256849070100143,0.799544847967259,0.494392236263353,"X2clsQ",221.4,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000749",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.5805915586574,125.84,97.6,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690686684240982,0.247309868022792,0.341470134379219,0.256849070100143,0.799544847967259,0.494392236263353,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.1S.000749",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.5805915586574,125.84,97.6,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690686684240982,0.247309868022792,0.341470134379219,0.256849070100143,0.799544847967259,0.494392236263353,"QuBE",145.52,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000749",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.5805915586574,125.84,97.6,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690686684240982,0.247309868022792,0.341470134379219,0.256849070100143,0.799544847967259,0.494392236263353,"sKizzo",2985.96,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000749",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.5805915586574,125.84,97.6,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690686684240982,0.247309868022792,0.341470134379219,0.256849070100143,0.799544847967259,0.494392236263353,"sSolve",2938.42,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000757",1,2821,208,3029,10219,30091,8,8,16,0,2492,7727,8479,2516,2.59428515510324,0.350327820726098,352.625,0.231725217731676,0.118602602994422,2.83096731594586,17.2115384615385,11.3846153846154,25.6097722020469,123,95.2307692307692,127.255583126551,0.715031072413679,0.206274301286099,0.765870770623515,0.94366982710541,0.0402778239340667,1.95379537953795,0.398540620933259,0.945988106430463,0.724504640072579,0.661452513966481,0.765870770623515,1.01324916919613,1.95379537953795,0.756140522556023,0.246208043839906,0.689379014303333,0.248358627545503,0.336813063604184,0.257704730802862,0.799555210310338,0.488574581784387,"X2clsQ",266.54,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000757",1,2821,208,3029,10219,30091,8,8,16,0,2492,7727,8479,2516,2.59428515510324,0.350327820726098,352.625,0.231725217731676,0.118602602994422,2.83096731594586,17.2115384615385,11.3846153846154,25.6097722020469,123,95.2307692307692,127.255583126551,0.715031072413679,0.206274301286099,0.765870770623515,0.94366982710541,0.0402778239340667,1.95379537953795,0.398540620933259,0.945988106430463,0.724504640072579,0.661452513966481,0.765870770623515,1.01324916919613,1.95379537953795,0.756140522556023,0.246208043839906,0.689379014303333,0.248358627545503,0.336813063604184,0.257704730802862,0.799555210310338,0.488574581784387,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.1S.000757",1,2821,208,3029,10219,30091,8,8,16,0,2492,7727,8479,2516,2.59428515510324,0.350327820726098,352.625,0.231725217731676,0.118602602994422,2.83096731594586,17.2115384615385,11.3846153846154,25.6097722020469,123,95.2307692307692,127.255583126551,0.715031072413679,0.206274301286099,0.765870770623515,0.94366982710541,0.0402778239340667,1.95379537953795,0.398540620933259,0.945988106430463,0.724504640072579,0.661452513966481,0.765870770623515,1.01324916919613,1.95379537953795,0.756140522556023,0.246208043839906,0.689379014303333,0.248358627545503,0.336813063604184,0.257704730802862,0.799555210310338,0.488574581784387,"QuBE",236.88,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000757",1,2821,208,3029,10219,30091,8,8,16,0,2492,7727,8479,2516,2.59428515510324,0.350327820726098,352.625,0.231725217731676,0.118602602994422,2.83096731594586,17.2115384615385,11.3846153846154,25.6097722020469,123,95.2307692307692,127.255583126551,0.715031072413679,0.206274301286099,0.765870770623515,0.94366982710541,0.0402778239340667,1.95379537953795,0.398540620933259,0.945988106430463,0.724504640072579,0.661452513966481,0.765870770623515,1.01324916919613,1.95379537953795,0.756140522556023,0.246208043839906,0.689379014303333,0.248358627545503,0.336813063604184,0.257704730802862,0.799555210310338,0.488574581784387,"sKizzo",101.79,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000757",1,2821,208,3029,10219,30091,8,8,16,0,2492,7727,8479,2516,2.59428515510324,0.350327820726098,352.625,0.231725217731676,0.118602602994422,2.83096731594586,17.2115384615385,11.3846153846154,25.6097722020469,123,95.2307692307692,127.255583126551,0.715031072413679,0.206274301286099,0.765870770623515,0.94366982710541,0.0402778239340667,1.95379537953795,0.398540620933259,0.945988106430463,0.724504640072579,0.661452513966481,0.765870770623515,1.01324916919613,1.95379537953795,0.756140522556023,0.246208043839906,0.689379014303333,0.248358627545503,0.336813063604184,0.257704730802862,0.799555210310338,0.488574581784387,"sSolve",2970.45,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000773",1,2813,204,3017,10203,30051,8,8,16,0,2476,7727,8475,2500,2.59600117612467,0.349309026756836,351.625,0.230912476722533,0.118396550034304,2.83294663573086,17.4705882352941,11.5490196078431,25.5949618826649,124.470588235294,96.3921568627451,127.543547813722,0.715583508036338,0.206016438720841,0.766262694906935,0.943824404761905,0.0401983295065056,1.95033112582781,0.3974609375,0.9453212510648,0.72436440939371,0.661054994388328,0.766262694906935,1.01227096664297,1.95033112582781,0.757326276585318,0.245025972753112,0.690163224035823,0.247684796617115,0.339900121378739,0.257134687896496,0.799404124466336,0.492492369255967,"X2clsQ",1194.69,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000773",1,2813,204,3017,10203,30051,8,8,16,0,2476,7727,8475,2500,2.59600117612467,0.349309026756836,351.625,0.230912476722533,0.118396550034304,2.83294663573086,17.4705882352941,11.5490196078431,25.5949618826649,124.470588235294,96.3921568627451,127.543547813722,0.715583508036338,0.206016438720841,0.766262694906935,0.943824404761905,0.0401983295065056,1.95033112582781,0.3974609375,0.9453212510648,0.72436440939371,0.661054994388328,0.766262694906935,1.01227096664297,1.95033112582781,0.757326276585318,0.245025972753112,0.690163224035823,0.247684796617115,0.339900121378739,0.257134687896496,0.799404124466336,0.492492369255967,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.1S.000773",1,2813,204,3017,10203,30051,8,8,16,0,2476,7727,8475,2500,2.59600117612467,0.349309026756836,351.625,0.230912476722533,0.118396550034304,2.83294663573086,17.4705882352941,11.5490196078431,25.5949618826649,124.470588235294,96.3921568627451,127.543547813722,0.715583508036338,0.206016438720841,0.766262694906935,0.943824404761905,0.0401983295065056,1.95033112582781,0.3974609375,0.9453212510648,0.72436440939371,0.661054994388328,0.766262694906935,1.01227096664297,1.95033112582781,0.757326276585318,0.245025972753112,0.690163224035823,0.247684796617115,0.339900121378739,0.257134687896496,0.799404124466336,0.492492369255967,"QuBE",7.46,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000773",1,2813,204,3017,10203,30051,8,8,16,0,2476,7727,8475,2500,2.59600117612467,0.349309026756836,351.625,0.230912476722533,0.118396550034304,2.83294663573086,17.4705882352941,11.5490196078431,25.5949618826649,124.470588235294,96.3921568627451,127.543547813722,0.715583508036338,0.206016438720841,0.766262694906935,0.943824404761905,0.0401983295065056,1.95033112582781,0.3974609375,0.9453212510648,0.72436440939371,0.661054994388328,0.766262694906935,1.01227096664297,1.95033112582781,0.757326276585318,0.245025972753112,0.690163224035823,0.247684796617115,0.339900121378739,0.257134687896496,0.799404124466336,0.492492369255967,"sKizzo",97.1,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000773",1,2813,204,3017,10203,30051,8,8,16,0,2476,7727,8475,2500,2.59600117612467,0.349309026756836,351.625,0.230912476722533,0.118396550034304,2.83294663573086,17.4705882352941,11.5490196078431,25.5949618826649,124.470588235294,96.3921568627451,127.543547813722,0.715583508036338,0.206016438720841,0.766262694906935,0.943824404761905,0.0401983295065056,1.95033112582781,0.3974609375,0.9453212510648,0.72436440939371,0.661054994388328,0.766262694906935,1.01227096664297,1.95033112582781,0.757326276585318,0.245025972753112,0.690163224035823,0.247684796617115,0.339900121378739,0.257134687896496,0.799404124466336,0.492492369255967,"sSolve",3003.98,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000787",1,2801,196,2997,10179,29991,8,8,16,0,2452,7727,8467,2476,2.59858532272325,0.347774830533451,350.125,0.229885057471264,0.117889773062187,2.83850517183851,18.0612244897959,11.9387755102041,25.6296296296296,128.897959183673,99.8367346938775,127.9785790789,0.716348237804675,0.205628355173219,0.766851914861442,0.944144479612735,0.0400120036010803,1.95,0.395969093278719,0.943680069390328,0.723662868228551,0.661016949152542,0.766851914861442,1.01021099800049,1.95,0.759111897042931,0.243245898418312,0.69106148846488,0.246346606273226,0.350302816193886,0.256276637656244,0.79739688344171,0.50690542309346,"X2clsQ",245.84,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000787",1,2801,196,2997,10179,29991,8,8,16,0,2452,7727,8467,2476,2.59858532272325,0.347774830533451,350.125,0.229885057471264,0.117889773062187,2.83850517183851,18.0612244897959,11.9387755102041,25.6296296296296,128.897959183673,99.8367346938775,127.9785790789,0.716348237804675,0.205628355173219,0.766851914861442,0.944144479612735,0.0400120036010803,1.95,0.395969093278719,0.943680069390328,0.723662868228551,0.661016949152542,0.766851914861442,1.01021099800049,1.95,0.759111897042931,0.243245898418312,0.69106148846488,0.246346606273226,0.350302816193886,0.256276637656244,0.79739688344171,0.50690542309346,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.1S.000787",1,2801,196,2997,10179,29991,8,8,16,0,2452,7727,8467,2476,2.59858532272325,0.347774830533451,350.125,0.229885057471264,0.117889773062187,2.83850517183851,18.0612244897959,11.9387755102041,25.6296296296296,128.897959183673,99.8367346938775,127.9785790789,0.716348237804675,0.205628355173219,0.766851914861442,0.944144479612735,0.0400120036010803,1.95,0.395969093278719,0.943680069390328,0.723662868228551,0.661016949152542,0.766851914861442,1.01021099800049,1.95,0.759111897042931,0.243245898418312,0.69106148846488,0.246346606273226,0.350302816193886,0.256276637656244,0.79739688344171,0.50690542309346,"QuBE",28.63,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000787",1,2801,196,2997,10179,29991,8,8,16,0,2452,7727,8467,2476,2.59858532272325,0.347774830533451,350.125,0.229885057471264,0.117889773062187,2.83850517183851,18.0612244897959,11.9387755102041,25.6296296296296,128.897959183673,99.8367346938775,127.9785790789,0.716348237804675,0.205628355173219,0.766851914861442,0.944144479612735,0.0400120036010803,1.95,0.395969093278719,0.943680069390328,0.723662868228551,0.661016949152542,0.766851914861442,1.01021099800049,1.95,0.759111897042931,0.243245898418312,0.69106148846488,0.246346606273226,0.350302816193886,0.256276637656244,0.79739688344171,0.50690542309346,"sKizzo",95.56,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000787",1,2801,196,2997,10179,29991,8,8,16,0,2452,7727,8467,2476,2.59858532272325,0.347774830533451,350.125,0.229885057471264,0.117889773062187,2.83850517183851,18.0612244897959,11.9387755102041,25.6296296296296,128.897959183673,99.8367346938775,127.9785790789,0.716348237804675,0.205628355173219,0.766851914861442,0.944144479612735,0.0400120036010803,1.95,0.395969093278719,0.943680069390328,0.723662868228551,0.661016949152542,0.766851914861442,1.01021099800049,1.95,0.759111897042931,0.243245898418312,0.69106148846488,0.246346606273226,0.350302816193886,0.256276637656244,0.79739688344171,0.50690542309346,"sSolve",3600,"timeout" "Umbrella_tbm_14.tex.moduleQ2.1S.000792",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5906821963394,126.16,97.76,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690861727958321,0.246976892847687,0.343075141906125,0.256563053631825,0.798920467584126,0.496590168513174,"X2clsQ",221.54,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000792",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5906821963394,126.16,97.76,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690861727958321,0.246976892847687,0.343075141906125,0.256563053631825,0.798920467584126,0.496590168513174,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.1S.000792",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5906821963394,126.16,97.76,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690861727958321,0.246976892847687,0.343075141906125,0.256563053631825,0.798920467584126,0.496590168513174,"QuBE",465.65,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000792",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5906821963394,126.16,97.76,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690861727958321,0.246976892847687,0.343075141906125,0.256563053631825,0.798920467584126,0.496590168513174,"sKizzo",93.85,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000792",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5906821963394,126.16,97.76,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690861727958321,0.246976892847687,0.343075141906125,0.256563053631825,0.798920467584126,0.496590168513174,"sSolve",3600,"timeout" "Umbrella_tbm_14.tex.moduleQ2.1S.000808",1,2809,204,3013,10195,30031,8,8,16,0,2468,7727,8475,2492,2.59686120647376,0.348798430603237,351.125,0.230308974987739,0.118489455615498,2.83139727846001,17.4313725490196,11.5098039215686,25.5625622303352,123.843137254902,95.921568627451,127.688145247419,0.715926875561919,0.20588724984183,0.766458923512748,0.943813953488372,0.0402251007292464,1.94370860927152,0.396790697674419,0.945613289905403,0.724773744240243,0.66029246344207,0.766458923512748,1.01235722387343,1.94370860927152,0.757920549288867,0.244433545855812,0.690613149945369,0.247543131621933,0.337938196038104,0.256849070100143,0.800108768611926,0.489330670962226,"X2clsQ",212.06,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000808",1,2809,204,3013,10195,30031,8,8,16,0,2468,7727,8475,2492,2.59686120647376,0.348798430603237,351.125,0.230308974987739,0.118489455615498,2.83139727846001,17.4313725490196,11.5098039215686,25.5625622303352,123.843137254902,95.921568627451,127.688145247419,0.715926875561919,0.20588724984183,0.766458923512748,0.943813953488372,0.0402251007292464,1.94370860927152,0.396790697674419,0.945613289905403,0.724773744240243,0.66029246344207,0.766458923512748,1.01235722387343,1.94370860927152,0.757920549288867,0.244433545855812,0.690613149945369,0.247543131621933,0.337938196038104,0.256849070100143,0.800108768611926,0.489330670962226,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.1S.000808",1,2809,204,3013,10195,30031,8,8,16,0,2468,7727,8475,2492,2.59686120647376,0.348798430603237,351.125,0.230308974987739,0.118489455615498,2.83139727846001,17.4313725490196,11.5098039215686,25.5625622303352,123.843137254902,95.921568627451,127.688145247419,0.715926875561919,0.20588724984183,0.766458923512748,0.943813953488372,0.0402251007292464,1.94370860927152,0.396790697674419,0.945613289905403,0.724773744240243,0.66029246344207,0.766458923512748,1.01235722387343,1.94370860927152,0.757920549288867,0.244433545855812,0.690613149945369,0.247543131621933,0.337938196038104,0.256849070100143,0.800108768611926,0.489330670962226,"QuBE",52,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000808",1,2809,204,3013,10195,30031,8,8,16,0,2468,7727,8475,2492,2.59686120647376,0.348798430603237,351.125,0.230308974987739,0.118489455615498,2.83139727846001,17.4313725490196,11.5098039215686,25.5625622303352,123.843137254902,95.921568627451,127.688145247419,0.715926875561919,0.20588724984183,0.766458923512748,0.943813953488372,0.0402251007292464,1.94370860927152,0.396790697674419,0.945613289905403,0.724773744240243,0.66029246344207,0.766458923512748,1.01235722387343,1.94370860927152,0.757920549288867,0.244433545855812,0.690613149945369,0.247543131621933,0.337938196038104,0.256849070100143,0.800108768611926,0.489330670962226,"sKizzo",114.15,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000808",1,2809,204,3013,10195,30031,8,8,16,0,2468,7727,8475,2492,2.59686120647376,0.348798430603237,351.125,0.230308974987739,0.118489455615498,2.83139727846001,17.4313725490196,11.5098039215686,25.5625622303352,123.843137254902,95.921568627451,127.688145247419,0.715926875561919,0.20588724984183,0.766458923512748,0.943813953488372,0.0402251007292464,1.94370860927152,0.396790697674419,0.945613289905403,0.724773744240243,0.66029246344207,0.766458923512748,1.01235722387343,1.94370860927152,0.757920549288867,0.244433545855812,0.690613149945369,0.247543131621933,0.337938196038104,0.256849070100143,0.800108768611926,0.489330670962226,"sSolve",2640.18,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000812",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"X2clsQ",219.46,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000812",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.1S.000812",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"QuBE",179.97,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000812",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"sKizzo",93.75,"ok" "Umbrella_tbm_14.tex.moduleQ2.1S.000812",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"sSolve",3383.82,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000001",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5800332778702,126,97.6,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690950611923256,0.24700866807071,0.34311928080925,0.256563053631825,0.799253056632399,0.496590168513174,"X2clsQ",255.33,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000001",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5800332778702,126,97.6,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690950611923256,0.24700866807071,0.34311928080925,0.256563053631825,0.799253056632399,0.496590168513174,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.2S.000001",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5800332778702,126,97.6,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690950611923256,0.24700866807071,0.34311928080925,0.256563053631825,0.799253056632399,0.496590168513174,"QuBE",59.24,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000001",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5800332778702,126,97.6,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690950611923256,0.24700866807071,0.34311928080925,0.256563053631825,0.799253056632399,0.496590168513174,"sKizzo",104.34,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000001",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.5800332778702,126,97.6,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690950611923256,0.24700866807071,0.34311928080925,0.256563053631825,0.799253056632399,0.496590168513174,"sSolve",3600,"timeout" "Umbrella_tbm_14.tex.moduleQ2.2S.000002",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.569384359401,125.68,97.44,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690999758706668,0.247072243051634,0.341274028794338,0.256563053631825,0.799585922708356,0.493884439891982,"X2clsQ",202.22,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000002",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.569384359401,125.68,97.44,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690999758706668,0.247072243051634,0.341274028794338,0.256563053631825,0.799585922708356,0.493884439891982,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.2S.000002",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.569384359401,125.68,97.44,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690999758706668,0.247072243051634,0.341274028794338,0.256563053631825,0.799585922708356,0.493884439891982,"QuBE",13.13,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000002",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.569384359401,125.68,97.44,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690999758706668,0.247072243051634,0.341274028794338,0.256563053631825,0.799585922708356,0.493884439891982,"sKizzo",94.47,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000002",1,2805,200,3005,10187,30011,8,8,16,0,2460,7727,8471,2484,2.59772258761166,0.348287032492392,350.625,0.230097182683813,0.11818984980858,2.83494176372712,17.74,11.72,25.569384359401,125.68,97.44,127.833155080214,0.716137416280697,0.205757888774116,0.766655330083513,0.943979155034431,0.0401186231715038,1.9468438538206,0.396380048390099,0.944648459557699,0.724219776575089,0.66065388951522,0.766655330083513,1.01128604665899,1.9468438538206,0.758515755374497,0.243840188475508,0.690999758706668,0.247072243051634,0.341274028794338,0.256563053631825,0.799585922708356,0.493884439891982,"sSolve",1634.5,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000003",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"X2clsQ",795.69,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000003",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"quantor",3600,"memout" "Umbrella_tbm_14.tex.moduleQ2.2S.000003",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"QuBE",3600,"timeout" "Umbrella_tbm_14.tex.moduleQ2.2S.000003",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"sKizzo",3398.17,"ok" "Umbrella_tbm_14.tex.moduleQ2.2S.000003",1,2809,200,3009,10195,30031,8,8,16,0,2468,7727,8471,2492,2.59686120647376,0.348798430603237,351.125,0.230701324178519,0.118097106424718,2.83649052841476,17.78,11.76,25.6018610834164,126.32,97.92,127.688145247419,0.71579367986414,0.20588724984183,0.766458923512748,0.943989579456643,0.0400919050314675,1.95348837209302,0.397050614067734,0.944357912155778,0.723811548761664,0.661417322834646,0.766458923512748,1.0112013686668,1.95348837209302,0.757920549288867,0.244433545855812,0.690548878462626,0.247214498191303,0.343272382543865,0.256849070100143,0.798880601767172,0.49710077483305,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000024",1,1248,245,1493,4038,11214,10,10,20,0,1952,2086,2306,1964,2.08989598811293,0.687221396731055,124.8,0.486627043090639,0.200594353640416,2.98526456798393,11.3265306122449,8.02040816326531,39.2933690555928,116.428571428571,93.5714285714286,85.8253205128205,0.60255038344926,0.222222222222222,0.7047043488565,0.880124315524641,0.07223113964687,2.42592592592593,0.659612253958858,0.900275968569508,0.634428390221929,0.708108108108108,0.7047043488565,1.05290513067171,2.42592592592593,0.516592372461615,0.486379395740466,0.544968004653869,0.331636734734466,0.264694312861852,0.35597609561753,0.728820694206785,0.485706152657476,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000024",1,1248,245,1493,4038,11214,10,10,20,0,1952,2086,2306,1964,2.08989598811293,0.687221396731055,124.8,0.486627043090639,0.200594353640416,2.98526456798393,11.3265306122449,8.02040816326531,39.2933690555928,116.428571428571,93.5714285714286,85.8253205128205,0.60255038344926,0.222222222222222,0.7047043488565,0.880124315524641,0.07223113964687,2.42592592592593,0.659612253958858,0.900275968569508,0.634428390221929,0.708108108108108,0.7047043488565,1.05290513067171,2.42592592592593,0.516592372461615,0.486379395740466,0.544968004653869,0.331636734734466,0.264694312861852,0.35597609561753,0.728820694206785,0.485706152657476,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000024",1,1248,245,1493,4038,11214,10,10,20,0,1952,2086,2306,1964,2.08989598811293,0.687221396731055,124.8,0.486627043090639,0.200594353640416,2.98526456798393,11.3265306122449,8.02040816326531,39.2933690555928,116.428571428571,93.5714285714286,85.8253205128205,0.60255038344926,0.222222222222222,0.7047043488565,0.880124315524641,0.07223113964687,2.42592592592593,0.659612253958858,0.900275968569508,0.634428390221929,0.708108108108108,0.7047043488565,1.05290513067171,2.42592592592593,0.516592372461615,0.486379395740466,0.544968004653869,0.331636734734466,0.264694312861852,0.35597609561753,0.728820694206785,0.485706152657476,"QuBE",1739.08,"ok" "Umbrella_tbm_21.tex.module.000024",1,1248,245,1493,4038,11214,10,10,20,0,1952,2086,2306,1964,2.08989598811293,0.687221396731055,124.8,0.486627043090639,0.200594353640416,2.98526456798393,11.3265306122449,8.02040816326531,39.2933690555928,116.428571428571,93.5714285714286,85.8253205128205,0.60255038344926,0.222222222222222,0.7047043488565,0.880124315524641,0.07223113964687,2.42592592592593,0.659612253958858,0.900275968569508,0.634428390221929,0.708108108108108,0.7047043488565,1.05290513067171,2.42592592592593,0.516592372461615,0.486379395740466,0.544968004653869,0.331636734734466,0.264694312861852,0.35597609561753,0.728820694206785,0.485706152657476,"sKizzo",178.76,"ok" "Umbrella_tbm_21.tex.module.000024",1,1248,245,1493,4038,11214,10,10,20,0,1952,2086,2306,1964,2.08989598811293,0.687221396731055,124.8,0.486627043090639,0.200594353640416,2.98526456798393,11.3265306122449,8.02040816326531,39.2933690555928,116.428571428571,93.5714285714286,85.8253205128205,0.60255038344926,0.222222222222222,0.7047043488565,0.880124315524641,0.07223113964687,2.42592592592593,0.659612253958858,0.900275968569508,0.634428390221929,0.708108108108108,0.7047043488565,1.05290513067171,2.42592592592593,0.516592372461615,0.486379395740466,0.544968004653869,0.331636734734466,0.264694312861852,0.35597609561753,0.728820694206785,0.485706152657476,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000029",1,1203,245,1448,3867,10746,10,10,20,0,1862,2005,2225,1874,2.08844065166796,0.690457719162141,120.3,0.484871993793638,0.205585725368503,2.95372928176796,10.8979591836735,7.6530612244898,38.7258287292818,111.428571428571,88.8775510204082,85.8935993349958,0.601991438674856,0.223525032570259,0.702575532441803,0.877106198794249,0.0739810161920715,2.35849056603774,0.661153192147163,0.904591304170246,0.635543717169636,0.702247191011236,0.702575532441803,1.05573547452541,2.35849056603774,0.518489785363331,0.484613395396949,0.545067337335713,0.334946073617406,0.264918688552819,0.357440600250104,0.736254178048711,0.486029285569999,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000029",1,1203,245,1448,3867,10746,10,10,20,0,1862,2005,2225,1874,2.08844065166796,0.690457719162141,120.3,0.484871993793638,0.205585725368503,2.95372928176796,10.8979591836735,7.6530612244898,38.7258287292818,111.428571428571,88.8775510204082,85.8935993349958,0.601991438674856,0.223525032570259,0.702575532441803,0.877106198794249,0.0739810161920715,2.35849056603774,0.661153192147163,0.904591304170246,0.635543717169636,0.702247191011236,0.702575532441803,1.05573547452541,2.35849056603774,0.518489785363331,0.484613395396949,0.545067337335713,0.334946073617406,0.264918688552819,0.357440600250104,0.736254178048711,0.486029285569999,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000029",1,1203,245,1448,3867,10746,10,10,20,0,1862,2005,2225,1874,2.08844065166796,0.690457719162141,120.3,0.484871993793638,0.205585725368503,2.95372928176796,10.8979591836735,7.6530612244898,38.7258287292818,111.428571428571,88.8775510204082,85.8935993349958,0.601991438674856,0.223525032570259,0.702575532441803,0.877106198794249,0.0739810161920715,2.35849056603774,0.661153192147163,0.904591304170246,0.635543717169636,0.702247191011236,0.702575532441803,1.05573547452541,2.35849056603774,0.518489785363331,0.484613395396949,0.545067337335713,0.334946073617406,0.264918688552819,0.357440600250104,0.736254178048711,0.486029285569999,"QuBE",1651.49,"ok" "Umbrella_tbm_21.tex.module.000029",1,1203,245,1448,3867,10746,10,10,20,0,1862,2005,2225,1874,2.08844065166796,0.690457719162141,120.3,0.484871993793638,0.205585725368503,2.95372928176796,10.8979591836735,7.6530612244898,38.7258287292818,111.428571428571,88.8775510204082,85.8935993349958,0.601991438674856,0.223525032570259,0.702575532441803,0.877106198794249,0.0739810161920715,2.35849056603774,0.661153192147163,0.904591304170246,0.635543717169636,0.702247191011236,0.702575532441803,1.05573547452541,2.35849056603774,0.518489785363331,0.484613395396949,0.545067337335713,0.334946073617406,0.264918688552819,0.357440600250104,0.736254178048711,0.486029285569999,"sKizzo",184.26,"ok" "Umbrella_tbm_21.tex.module.000029",1,1203,245,1448,3867,10746,10,10,20,0,1862,2005,2225,1874,2.08844065166796,0.690457719162141,120.3,0.484871993793638,0.205585725368503,2.95372928176796,10.8979591836735,7.6530612244898,38.7258287292818,111.428571428571,88.8775510204082,85.8935993349958,0.601991438674856,0.223525032570259,0.702575532441803,0.877106198794249,0.0739810161920715,2.35849056603774,0.661153192147163,0.904591304170246,0.635543717169636,0.702247191011236,0.702575532441803,1.05573547452541,2.35849056603774,0.518489785363331,0.484613395396949,0.545067337335713,0.334946073617406,0.264918688552819,0.357440600250104,0.736254178048711,0.486029285569999,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000044",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"X2clsQ",607.31,"ok" "Umbrella_tbm_21.tex.module.000044",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000044",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"QuBE",22.16,"ok" "Umbrella_tbm_21.tex.module.000044",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"sKizzo",2097.32,"ok" "Umbrella_tbm_21.tex.module.000044",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000049",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000049",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000049",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"QuBE",1739.7,"ok" "Umbrella_tbm_21.tex.module.000049",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"sKizzo",604.52,"ok" "Umbrella_tbm_21.tex.module.000049",1,1068,245,1313,3354,9342,10,10,20,0,1592,1762,1982,1604,2.08318425760286,0.702146690518784,106.8,0.478533094812165,0.223613595706619,2.84615384615385,9.61224489795918,6.55102040816327,36.6755521706017,95.8163265306122,74.1836734693878,86.7134831460674,0.599978591308071,0.228216655962321,0.694861886360384,0.866190900981267,0.0802825947334618,2.14,0.666726137377342,0.919484375263098,0.638914647474215,0.681528662420382,0.694861886360384,1.0648957424985,2.14,0.525342874180084,0.478234943351222,0.546199877491401,0.347334820307486,0.267668788289947,0.362778315585673,0.765391640679656,0.490056478077773,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000056",1,1053,245,1298,3297,9186,10,10,20,0,1562,1735,1955,1574,2.08249924173491,0.70367000303306,105.3,0.477707006369427,0.225962996663634,2.83281972265023,9.46938775510204,6.42857142857143,36.3828967642527,93.8775510204082,72.3469387755102,86.6286799620133,0.599716960592206,0.22882647507076,0.693853772210894,0.86476674532583,0.0811016764641846,2.11409395973154,0.667453258304592,0.921347961481498,0.639280758592755,0.678879310344828,0.693853772210894,1.06597078388692,2.11409395973154,0.526235972095845,0.477403700333637,0.546610983102919,0.349114081192934,0.268305824634001,0.363479546574667,0.770010009466512,0.490853336153114,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000056",1,1053,245,1298,3297,9186,10,10,20,0,1562,1735,1955,1574,2.08249924173491,0.70367000303306,105.3,0.477707006369427,0.225962996663634,2.83281972265023,9.46938775510204,6.42857142857143,36.3828967642527,93.8775510204082,72.3469387755102,86.6286799620133,0.599716960592206,0.22882647507076,0.693853772210894,0.86476674532583,0.0811016764641846,2.11409395973154,0.667453258304592,0.921347961481498,0.639280758592755,0.678879310344828,0.693853772210894,1.06597078388692,2.11409395973154,0.526235972095845,0.477403700333637,0.546610983102919,0.349114081192934,0.268305824634001,0.363479546574667,0.770010009466512,0.490853336153114,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000056",1,1053,245,1298,3297,9186,10,10,20,0,1562,1735,1955,1574,2.08249924173491,0.70367000303306,105.3,0.477707006369427,0.225962996663634,2.83281972265023,9.46938775510204,6.42857142857143,36.3828967642527,93.8775510204082,72.3469387755102,86.6286799620133,0.599716960592206,0.22882647507076,0.693853772210894,0.86476674532583,0.0811016764641846,2.11409395973154,0.667453258304592,0.921347961481498,0.639280758592755,0.678879310344828,0.693853772210894,1.06597078388692,2.11409395973154,0.526235972095845,0.477403700333637,0.546610983102919,0.349114081192934,0.268305824634001,0.363479546574667,0.770010009466512,0.490853336153114,"QuBE",1781.26,"ok" "Umbrella_tbm_21.tex.module.000056",1,1053,245,1298,3297,9186,10,10,20,0,1562,1735,1955,1574,2.08249924173491,0.70367000303306,105.3,0.477707006369427,0.225962996663634,2.83281972265023,9.46938775510204,6.42857142857143,36.3828967642527,93.8775510204082,72.3469387755102,86.6286799620133,0.599716960592206,0.22882647507076,0.693853772210894,0.86476674532583,0.0811016764641846,2.11409395973154,0.667453258304592,0.921347961481498,0.639280758592755,0.678879310344828,0.693853772210894,1.06597078388692,2.11409395973154,0.526235972095845,0.477403700333637,0.546610983102919,0.349114081192934,0.268305824634001,0.363479546574667,0.770010009466512,0.490853336153114,"sKizzo",940.82,"ok" "Umbrella_tbm_21.tex.module.000056",1,1053,245,1298,3297,9186,10,10,20,0,1562,1735,1955,1574,2.08249924173491,0.70367000303306,105.3,0.477707006369427,0.225962996663634,2.83281972265023,9.46938775510204,6.42857142857143,36.3828967642527,93.8775510204082,72.3469387755102,86.6286799620133,0.599716960592206,0.22882647507076,0.693853772210894,0.86476674532583,0.0811016764641846,2.11409395973154,0.667453258304592,0.921347961481498,0.639280758592755,0.678879310344828,0.693853772210894,1.06597078388692,2.11409395973154,0.526235972095845,0.477403700333637,0.546610983102919,0.349114081192934,0.268305824634001,0.363479546574667,0.770010009466512,0.490853336153114,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000069",1,1043,245,1288,3241,9028,10,10,20,0,1542,1699,1909,1554,2.07744523295279,0.708114779389077,104.3,0.481332921937674,0.226781857451404,2.82453416149068,9.36734693877551,6.36734693877551,36.25,92.9591836734694,71.6326530612245,84.9185043144775,0.597031457687195,0.230172795746566,0.691370859943562,0.863636363636364,0.0814133805937085,2.12244897959184,0.674953617810761,0.920976648339395,0.636736417350346,0.679738562091503,0.691370859943562,1.06650396583282,2.12244897959184,0.5242209194693,0.479481641468682,0.544154259214059,0.351329914014674,0.268182293664354,0.365850596359071,0.770721063245942,0.492842404746953,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000069",1,1043,245,1288,3241,9028,10,10,20,0,1542,1699,1909,1554,2.07744523295279,0.708114779389077,104.3,0.481332921937674,0.226781857451404,2.82453416149068,9.36734693877551,6.36734693877551,36.25,92.9591836734694,71.6326530612245,84.9185043144775,0.597031457687195,0.230172795746566,0.691370859943562,0.863636363636364,0.0814133805937085,2.12244897959184,0.674953617810761,0.920976648339395,0.636736417350346,0.679738562091503,0.691370859943562,1.06650396583282,2.12244897959184,0.5242209194693,0.479481641468682,0.544154259214059,0.351329914014674,0.268182293664354,0.365850596359071,0.770721063245942,0.492842404746953,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000069",1,1043,245,1288,3241,9028,10,10,20,0,1542,1699,1909,1554,2.07744523295279,0.708114779389077,104.3,0.481332921937674,0.226781857451404,2.82453416149068,9.36734693877551,6.36734693877551,36.25,92.9591836734694,71.6326530612245,84.9185043144775,0.597031457687195,0.230172795746566,0.691370859943562,0.863636363636364,0.0814133805937085,2.12244897959184,0.674953617810761,0.920976648339395,0.636736417350346,0.679738562091503,0.691370859943562,1.06650396583282,2.12244897959184,0.5242209194693,0.479481641468682,0.544154259214059,0.351329914014674,0.268182293664354,0.365850596359071,0.770721063245942,0.492842404746953,"QuBE",2569.01,"ok" "Umbrella_tbm_21.tex.module.000069",1,1043,245,1288,3241,9028,10,10,20,0,1542,1699,1909,1554,2.07744523295279,0.708114779389077,104.3,0.481332921937674,0.226781857451404,2.82453416149068,9.36734693877551,6.36734693877551,36.25,92.9591836734694,71.6326530612245,84.9185043144775,0.597031457687195,0.230172795746566,0.691370859943562,0.863636363636364,0.0814133805937085,2.12244897959184,0.674953617810761,0.920976648339395,0.636736417350346,0.679738562091503,0.691370859943562,1.06650396583282,2.12244897959184,0.5242209194693,0.479481641468682,0.544154259214059,0.351329914014674,0.268182293664354,0.365850596359071,0.770721063245942,0.492842404746953,"sKizzo",841.96,"ok" "Umbrella_tbm_21.tex.module.000069",1,1043,245,1288,3241,9028,10,10,20,0,1542,1699,1909,1554,2.07744523295279,0.708114779389077,104.3,0.481332921937674,0.226781857451404,2.82453416149068,9.36734693877551,6.36734693877551,36.25,92.9591836734694,71.6326530612245,84.9185043144775,0.597031457687195,0.230172795746566,0.691370859943562,0.863636363636364,0.0814133805937085,2.12244897959184,0.674953617810761,0.920976648339395,0.636736417350346,0.679738562091503,0.691370859943562,1.06650396583282,2.12244897959184,0.5242209194693,0.479481641468682,0.544154259214059,0.351329914014674,0.268182293664354,0.365850596359071,0.770721063245942,0.492842404746953,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000079",1,1014,240,1254,3165,8820,10,10,20,0,1502,1663,1863,1514,2.07898894154818,0.707740916271722,101.4,0.480252764612954,0.227488151658768,2.8237639553429,9.33333333333333,6.33333333333333,36.2679425837321,92.7083333333333,71.4583333333333,84.3589743589744,0.598526077097506,0.229138321995465,0.692857142857143,0.863610532297784,0.0816326530612245,2.11111111111111,0.67077097935215,0.922607307222692,0.639235062861436,0.678571428571428,0.692857142857143,1.06801539201324,2.11111111111111,0.525434439178515,0.478357030015798,0.545245475452455,0.350318222615608,0.266448355164484,0.364326131687243,0.770345766134278,0.488675958188153,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000079",1,1014,240,1254,3165,8820,10,10,20,0,1502,1663,1863,1514,2.07898894154818,0.707740916271722,101.4,0.480252764612954,0.227488151658768,2.8237639553429,9.33333333333333,6.33333333333333,36.2679425837321,92.7083333333333,71.4583333333333,84.3589743589744,0.598526077097506,0.229138321995465,0.692857142857143,0.863610532297784,0.0816326530612245,2.11111111111111,0.67077097935215,0.922607307222692,0.639235062861436,0.678571428571428,0.692857142857143,1.06801539201324,2.11111111111111,0.525434439178515,0.478357030015798,0.545245475452455,0.350318222615608,0.266448355164484,0.364326131687243,0.770345766134278,0.488675958188153,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000079",1,1014,240,1254,3165,8820,10,10,20,0,1502,1663,1863,1514,2.07898894154818,0.707740916271722,101.4,0.480252764612954,0.227488151658768,2.8237639553429,9.33333333333333,6.33333333333333,36.2679425837321,92.7083333333333,71.4583333333333,84.3589743589744,0.598526077097506,0.229138321995465,0.692857142857143,0.863610532297784,0.0816326530612245,2.11111111111111,0.67077097935215,0.922607307222692,0.639235062861436,0.678571428571428,0.692857142857143,1.06801539201324,2.11111111111111,0.525434439178515,0.478357030015798,0.545245475452455,0.350318222615608,0.266448355164484,0.364326131687243,0.770345766134278,0.488675958188153,"QuBE",2611.9,"ok" "Umbrella_tbm_21.tex.module.000079",1,1014,240,1254,3165,8820,10,10,20,0,1502,1663,1863,1514,2.07898894154818,0.707740916271722,101.4,0.480252764612954,0.227488151658768,2.8237639553429,9.33333333333333,6.33333333333333,36.2679425837321,92.7083333333333,71.4583333333333,84.3589743589744,0.598526077097506,0.229138321995465,0.692857142857143,0.863610532297784,0.0816326530612245,2.11111111111111,0.67077097935215,0.922607307222692,0.639235062861436,0.678571428571428,0.692857142857143,1.06801539201324,2.11111111111111,0.525434439178515,0.478357030015798,0.545245475452455,0.350318222615608,0.266448355164484,0.364326131687243,0.770345766134278,0.488675958188153,"sKizzo",52.67,"ok" "Umbrella_tbm_21.tex.module.000079",1,1014,240,1254,3165,8820,10,10,20,0,1502,1663,1863,1514,2.07898894154818,0.707740916271722,101.4,0.480252764612954,0.227488151658768,2.8237639553429,9.33333333333333,6.33333333333333,36.2679425837321,92.7083333333333,71.4583333333333,84.3589743589744,0.598526077097506,0.229138321995465,0.692857142857143,0.863610532297784,0.0816326530612245,2.11111111111111,0.67077097935215,0.922607307222692,0.639235062861436,0.678571428571428,0.692857142857143,1.06801539201324,2.11111111111111,0.525434439178515,0.478357030015798,0.545245475452455,0.350318222615608,0.266448355164484,0.364326131687243,0.770345766134278,0.488675958188153,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000129",1,1004,240,1244,3127,8716,10,10,20,0,1482,1645,1845,1494,2.07898944675408,0.708346658138791,100.4,0.479692996482251,0.22865366165654,2.81430868167203,9.22916666666667,6.25,36.0610932475884,91.3541666666667,70.2083333333333,83.9741035856574,0.59832491968793,0.229577787976136,0.692201199815413,0.862895493767977,0.0820330426801285,2.0979020979021,0.671332694151486,0.924165202430297,0.639708261949906,0.677200902934537,0.692201199815413,1.0691653329157,2.0979020979021,0.526063319475536,0.477774224496322,0.545560451805703,0.351573899912683,0.266478414290297,0.364761036593306,0.773642833925111,0.488448921486708,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000129",1,1004,240,1244,3127,8716,10,10,20,0,1482,1645,1845,1494,2.07898944675408,0.708346658138791,100.4,0.479692996482251,0.22865366165654,2.81430868167203,9.22916666666667,6.25,36.0610932475884,91.3541666666667,70.2083333333333,83.9741035856574,0.59832491968793,0.229577787976136,0.692201199815413,0.862895493767977,0.0820330426801285,2.0979020979021,0.671332694151486,0.924165202430297,0.639708261949906,0.677200902934537,0.692201199815413,1.0691653329157,2.0979020979021,0.526063319475536,0.477774224496322,0.545560451805703,0.351573899912683,0.266478414290297,0.364761036593306,0.773642833925111,0.488448921486708,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000129",1,1004,240,1244,3127,8716,10,10,20,0,1482,1645,1845,1494,2.07898944675408,0.708346658138791,100.4,0.479692996482251,0.22865366165654,2.81430868167203,9.22916666666667,6.25,36.0610932475884,91.3541666666667,70.2083333333333,83.9741035856574,0.59832491968793,0.229577787976136,0.692201199815413,0.862895493767977,0.0820330426801285,2.0979020979021,0.671332694151486,0.924165202430297,0.639708261949906,0.677200902934537,0.692201199815413,1.0691653329157,2.0979020979021,0.526063319475536,0.477774224496322,0.545560451805703,0.351573899912683,0.266478414290297,0.364761036593306,0.773642833925111,0.488448921486708,"QuBE",2409.55,"ok" "Umbrella_tbm_21.tex.module.000129",1,1004,240,1244,3127,8716,10,10,20,0,1482,1645,1845,1494,2.07898944675408,0.708346658138791,100.4,0.479692996482251,0.22865366165654,2.81430868167203,9.22916666666667,6.25,36.0610932475884,91.3541666666667,70.2083333333333,83.9741035856574,0.59832491968793,0.229577787976136,0.692201199815413,0.862895493767977,0.0820330426801285,2.0979020979021,0.671332694151486,0.924165202430297,0.639708261949906,0.677200902934537,0.692201199815413,1.0691653329157,2.0979020979021,0.526063319475536,0.477774224496322,0.545560451805703,0.351573899912683,0.266478414290297,0.364761036593306,0.773642833925111,0.488448921486708,"sKizzo",513.59,"ok" "Umbrella_tbm_21.tex.module.000129",1,1004,240,1244,3127,8716,10,10,20,0,1482,1645,1845,1494,2.07898944675408,0.708346658138791,100.4,0.479692996482251,0.22865366165654,2.81430868167203,9.22916666666667,6.25,36.0610932475884,91.3541666666667,70.2083333333333,83.9741035856574,0.59832491968793,0.229577787976136,0.692201199815413,0.862895493767977,0.0820330426801285,2.0979020979021,0.671332694151486,0.924165202430297,0.639708261949906,0.677200902934537,0.692201199815413,1.0691653329157,2.0979020979021,0.526063319475536,0.477774224496322,0.545560451805703,0.351573899912683,0.266478414290297,0.364761036593306,0.773642833925111,0.488448921486708,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000134",1,984,240,1224,3051,8508,10,10,20,0,1442,1609,1809,1454,2.07735168797116,0.711242215666994,98.4,0.478531628974107,0.232710586692888,2.79493464052288,9.04166666666667,6.08333333333333,35.6781045751634,88.9583333333333,67.9166666666667,83.9126016260163,0.597907851433945,0.230488951574988,0.690596402650678,0.860428543345783,0.0834508697696286,2.05633802816901,0.672498525653627,0.926640063297671,0.639934294265369,0.672811059907834,0.690596402650678,1.07028916367402,2.05633802816901,0.527368076040642,0.476565060635857,0.545813832553302,0.354088505003615,0.26786271450858,0.365909090909091,0.779610940144208,0.490758384146342,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000134",1,984,240,1224,3051,8508,10,10,20,0,1442,1609,1809,1454,2.07735168797116,0.711242215666994,98.4,0.478531628974107,0.232710586692888,2.79493464052288,9.04166666666667,6.08333333333333,35.6781045751634,88.9583333333333,67.9166666666667,83.9126016260163,0.597907851433945,0.230488951574988,0.690596402650678,0.860428543345783,0.0834508697696286,2.05633802816901,0.672498525653627,0.926640063297671,0.639934294265369,0.672811059907834,0.690596402650678,1.07028916367402,2.05633802816901,0.527368076040642,0.476565060635857,0.545813832553302,0.354088505003615,0.26786271450858,0.365909090909091,0.779610940144208,0.490758384146342,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000134",1,984,240,1224,3051,8508,10,10,20,0,1442,1609,1809,1454,2.07735168797116,0.711242215666994,98.4,0.478531628974107,0.232710586692888,2.79493464052288,9.04166666666667,6.08333333333333,35.6781045751634,88.9583333333333,67.9166666666667,83.9126016260163,0.597907851433945,0.230488951574988,0.690596402650678,0.860428543345783,0.0834508697696286,2.05633802816901,0.672498525653627,0.926640063297671,0.639934294265369,0.672811059907834,0.690596402650678,1.07028916367402,2.05633802816901,0.527368076040642,0.476565060635857,0.545813832553302,0.354088505003615,0.26786271450858,0.365909090909091,0.779610940144208,0.490758384146342,"QuBE",2448.76,"ok" "Umbrella_tbm_21.tex.module.000134",1,984,240,1224,3051,8508,10,10,20,0,1442,1609,1809,1454,2.07735168797116,0.711242215666994,98.4,0.478531628974107,0.232710586692888,2.79493464052288,9.04166666666667,6.08333333333333,35.6781045751634,88.9583333333333,67.9166666666667,83.9126016260163,0.597907851433945,0.230488951574988,0.690596402650678,0.860428543345783,0.0834508697696286,2.05633802816901,0.672498525653627,0.926640063297671,0.639934294265369,0.672811059907834,0.690596402650678,1.07028916367402,2.05633802816901,0.527368076040642,0.476565060635857,0.545813832553302,0.354088505003615,0.26786271450858,0.365909090909091,0.779610940144208,0.490758384146342,"sKizzo",468.71,"ok" "Umbrella_tbm_21.tex.module.000134",1,984,240,1224,3051,8508,10,10,20,0,1442,1609,1809,1454,2.07735168797116,0.711242215666994,98.4,0.478531628974107,0.232710586692888,2.79493464052288,9.04166666666667,6.08333333333333,35.6781045751634,88.9583333333333,67.9166666666667,83.9126016260163,0.597907851433945,0.230488951574988,0.690596402650678,0.860428543345783,0.0834508697696286,2.05633802816901,0.672498525653627,0.926640063297671,0.639934294265369,0.672811059907834,0.690596402650678,1.07028916367402,2.05633802816901,0.527368076040642,0.476565060635857,0.545813832553302,0.354088505003615,0.26786271450858,0.365909090909091,0.779610940144208,0.490758384146342,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000139",1,969,240,1209,2994,8352,10,10,20,0,1412,1582,1782,1424,2.07648630594522,0.71309285237141,96.9,0.477621910487642,0.235470941883768,2.77998345740281,8.89583333333333,5.95833333333333,35.3928866832093,87.1875,66.25,84.0970072239422,0.597581417624521,0.231202107279693,0.689400032169857,0.858745742336205,0.0844109195402299,2.02836879432624,0.673412141855339,0.92873733734268,0.640271550241391,0.669789227166276,0.689400032169857,1.07143818625848,2.02836879432624,0.528390113560454,0.47561790247161,0.545970608520346,0.355987712021907,0.268591835110616,0.366748499727223,0.784063143713593,0.491952920027208,"X2clsQ",538.8,"ok" "Umbrella_tbm_21.tex.module.000139",1,969,240,1209,2994,8352,10,10,20,0,1412,1582,1782,1424,2.07648630594522,0.71309285237141,96.9,0.477621910487642,0.235470941883768,2.77998345740281,8.89583333333333,5.95833333333333,35.3928866832093,87.1875,66.25,84.0970072239422,0.597581417624521,0.231202107279693,0.689400032169857,0.858745742336205,0.0844109195402299,2.02836879432624,0.673412141855339,0.92873733734268,0.640271550241391,0.669789227166276,0.689400032169857,1.07143818625848,2.02836879432624,0.528390113560454,0.47561790247161,0.545970608520346,0.355987712021907,0.268591835110616,0.366748499727223,0.784063143713593,0.491952920027208,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000139",1,969,240,1209,2994,8352,10,10,20,0,1412,1582,1782,1424,2.07648630594522,0.71309285237141,96.9,0.477621910487642,0.235470941883768,2.77998345740281,8.89583333333333,5.95833333333333,35.3928866832093,87.1875,66.25,84.0970072239422,0.597581417624521,0.231202107279693,0.689400032169857,0.858745742336205,0.0844109195402299,2.02836879432624,0.673412141855339,0.92873733734268,0.640271550241391,0.669789227166276,0.689400032169857,1.07143818625848,2.02836879432624,0.528390113560454,0.47561790247161,0.545970608520346,0.355987712021907,0.268591835110616,0.366748499727223,0.784063143713593,0.491952920027208,"QuBE",18.08,"ok" "Umbrella_tbm_21.tex.module.000139",1,969,240,1209,2994,8352,10,10,20,0,1412,1582,1782,1424,2.07648630594522,0.71309285237141,96.9,0.477621910487642,0.235470941883768,2.77998345740281,8.89583333333333,5.95833333333333,35.3928866832093,87.1875,66.25,84.0970072239422,0.597581417624521,0.231202107279693,0.689400032169857,0.858745742336205,0.0844109195402299,2.02836879432624,0.673412141855339,0.92873733734268,0.640271550241391,0.669789227166276,0.689400032169857,1.07143818625848,2.02836879432624,0.528390113560454,0.47561790247161,0.545970608520346,0.355987712021907,0.268591835110616,0.366748499727223,0.784063143713593,0.491952920027208,"sKizzo",899.08,"ok" "Umbrella_tbm_21.tex.module.000139",1,969,240,1209,2994,8352,10,10,20,0,1412,1582,1782,1424,2.07648630594522,0.71309285237141,96.9,0.477621910487642,0.235470941883768,2.77998345740281,8.89583333333333,5.95833333333333,35.3928866832093,87.1875,66.25,84.0970072239422,0.597581417624521,0.231202107279693,0.689400032169857,0.858745742336205,0.0844109195402299,2.02836879432624,0.673412141855339,0.92873733734268,0.640271550241391,0.669789227166276,0.689400032169857,1.07143818625848,2.02836879432624,0.528390113560454,0.47561790247161,0.545970608520346,0.355987712021907,0.268591835110616,0.366748499727223,0.784063143713593,0.491952920027208,"sSolve",3600,"timeout" "Umbrella_tbm_21.tex.module.000149",1,954,240,1194,2937,8196,10,10,20,0,1382,1555,1755,1394,2.07388491658155,0.716717739189649,95.4,0.476676881171263,0.240040858018386,2.76465661641541,8.77083333333333,5.83333333333333,35.1005025125628,85.5208333333333,64.5833333333333,83.9727463312369,0.597242557345046,0.231942410932162,0.687900180594319,0.855975485188968,0.0860175695461201,1.98581560283688,0.674361593462717,0.930127750613123,0.639835047622556,0.665083135391924,0.68790018059432,1.0713152298906,1.98581560283688,0.529451821586653,0.474633980251958,0.54601094080052,0.358056926363351,0.270805123761036,0.367878534614849,0.788690650375393,0.495970141851007,"X2clsQ",3600,"timeout" "Umbrella_tbm_21.tex.module.000149",1,954,240,1194,2937,8196,10,10,20,0,1382,1555,1755,1394,2.07388491658155,0.716717739189649,95.4,0.476676881171263,0.240040858018386,2.76465661641541,8.77083333333333,5.83333333333333,35.1005025125628,85.5208333333333,64.5833333333333,83.9727463312369,0.597242557345046,0.231942410932162,0.687900180594319,0.855975485188968,0.0860175695461201,1.98581560283688,0.674361593462717,0.930127750613123,0.639835047622556,0.665083135391924,0.68790018059432,1.0713152298906,1.98581560283688,0.529451821586653,0.474633980251958,0.54601094080052,0.358056926363351,0.270805123761036,0.367878534614849,0.788690650375393,0.495970141851007,"quantor",3600,"memout" "Umbrella_tbm_21.tex.module.000149",1,954,240,1194,2937,8196,10,10,20,0,1382,1555,1755,1394,2.07388491658155,0.716717739189649,95.4,0.476676881171263,0.240040858018386,2.76465661641541,8.77083333333333,5.83333333333333,35.1005025125628,85.5208333333333,64.5833333333333,83.9727463312369,0.597242557345046,0.231942410932162,0.687900180594319,0.855975485188968,0.0860175695461201,1.98581560283688,0.674361593462717,0.930127750613123,0.639835047622556,0.665083135391924,0.68790018059432,1.0713152298906,1.98581560283688,0.529451821586653,0.474633980251958,0.54601094080052,0.358056926363351,0.270805123761036,0.367878534614849,0.788690650375393,0.495970141851007,"QuBE",2584.18,"ok" "Umbrella_tbm_21.tex.module.000149",1,954,240,1194,2937,8196,10,10,20,0,1382,1555,1755,1394,2.07388491658155,0.716717739189649,95.4,0.476676881171263,0.240040858018386,2.76465661641541,8.77083333333333,5.83333333333333,35.1005025125628,85.5208333333333,64.5833333333333,83.9727463312369,0.597242557345046,0.231942410932162,0.687900180594319,0.855975485188968,0.0860175695461201,1.98581560283688,0.674361593462717,0.930127750613123,0.639835047622556,0.665083135391924,0.68790018059432,1.0713152298906,1.98581560283688,0.529451821586653,0.474633980251958,0.54601094080052,0.358056926363351,0.270805123761036,0.367878534614849,0.788690650375393,0.495970141851007,"sKizzo",384.98,"ok" "Umbrella_tbm_21.tex.module.000149",1,954,240,1194,2937,8196,10,10,20,0,1382,1555,1755,1394,2.07388491658155,0.716717739189649,95.4,0.476676881171263,0.240040858018386,2.76465661641541,8.77083333333333,5.83333333333333,35.1005025125628,85.5208333333333,64.5833333333333,83.9727463312369,0.597242557345046,0.231942410932162,0.687900180594319,0.855975485188968,0.0860175695461201,1.98581560283688,0.674361593462717,0.930127750613123,0.639835047622556,0.665083135391924,0.68790018059432,1.0713152298906,1.98581560283688,0.529451821586653,0.474633980251958,0.54601094080052,0.358056926363351,0.270805123761036,0.367878534614849,0.788690650375393,0.495970141851007,"sSolve",3600,"timeout" "Umbrella_tbm_23.tex.moduleQ1.2S.000001",1,1945,138,2083,7374,21658,6,6,12,0,1778,5596,6019,1796,2.60347165717385,0.333604556550041,324.166666666667,0.224979658258747,0.108624898291294,2.75420067210754,17.8260869565217,12.0217391304348,19.173787806049,97.4347826086957,76.6304347826087,89.0714652956298,0.735109428386739,0.188290700895743,0.787582039795812,0.949689089881289,0.0369840243789824,2.07116104868914,0.360341687079957,0.949308352963491,0.747658209022189,0.674390243902439,0.787582039795812,1.01707062942042,2.07116104868914,0.758882560347166,0.2435584486032,0.706205587677098,0.231329609455779,0.318779012532838,0.23971394984326,0.787386007877952,0.45139689928168,"X2clsQ",109.59,"ok" "Umbrella_tbm_23.tex.moduleQ1.2S.000001",1,1945,138,2083,7374,21658,6,6,12,0,1778,5596,6019,1796,2.60347165717385,0.333604556550041,324.166666666667,0.224979658258747,0.108624898291294,2.75420067210754,17.8260869565217,12.0217391304348,19.173787806049,97.4347826086957,76.6304347826087,89.0714652956298,0.735109428386739,0.188290700895743,0.787582039795812,0.949689089881289,0.0369840243789824,2.07116104868914,0.360341687079957,0.949308352963491,0.747658209022189,0.674390243902439,0.787582039795812,1.01707062942042,2.07116104868914,0.758882560347166,0.2435584486032,0.706205587677098,0.231329609455779,0.318779012532838,0.23971394984326,0.787386007877952,0.45139689928168,"quantor",153.66,"ok" "Umbrella_tbm_23.tex.moduleQ1.2S.000001",1,1945,138,2083,7374,21658,6,6,12,0,1778,5596,6019,1796,2.60347165717385,0.333604556550041,324.166666666667,0.224979658258747,0.108624898291294,2.75420067210754,17.8260869565217,12.0217391304348,19.173787806049,97.4347826086957,76.6304347826087,89.0714652956298,0.735109428386739,0.188290700895743,0.787582039795812,0.949689089881289,0.0369840243789824,2.07116104868914,0.360341687079957,0.949308352963491,0.747658209022189,0.674390243902439,0.787582039795812,1.01707062942042,2.07116104868914,0.758882560347166,0.2435584486032,0.706205587677098,0.231329609455779,0.318779012532838,0.23971394984326,0.787386007877952,0.45139689928168,"QuBE",32.02,"ok" "Umbrella_tbm_23.tex.moduleQ1.2S.000001",1,1945,138,2083,7374,21658,6,6,12,0,1778,5596,6019,1796,2.60347165717385,0.333604556550041,324.166666666667,0.224979658258747,0.108624898291294,2.75420067210754,17.8260869565217,12.0217391304348,19.173787806049,97.4347826086957,76.6304347826087,89.0714652956298,0.735109428386739,0.188290700895743,0.787582039795812,0.949689089881289,0.0369840243789824,2.07116104868914,0.360341687079957,0.949308352963491,0.747658209022189,0.674390243902439,0.787582039795812,1.01707062942042,2.07116104868914,0.758882560347166,0.2435584486032,0.706205587677098,0.231329609455779,0.318779012532838,0.23971394984326,0.787386007877952,0.45139689928168,"sKizzo",24.17,"ok" "Umbrella_tbm_23.tex.moduleQ1.2S.000001",1,1945,138,2083,7374,21658,6,6,12,0,1778,5596,6019,1796,2.60347165717385,0.333604556550041,324.166666666667,0.224979658258747,0.108624898291294,2.75420067210754,17.8260869565217,12.0217391304348,19.173787806049,97.4347826086957,76.6304347826087,89.0714652956298,0.735109428386739,0.188290700895743,0.787582039795812,0.949689089881289,0.0369840243789824,2.07116104868914,0.360341687079957,0.949308352963491,0.747658209022189,0.674390243902439,0.787582039795812,1.01707062942042,2.07116104868914,0.758882560347166,0.2435584486032,0.706205587677098,0.231329609455779,0.318779012532838,0.23971394984326,0.787386007877952,0.45139689928168,"sSolve",3600,"timeout" "Umbrella_tbm_24.tex.module.000066",1,540,172,712,1552,4330,8,8,16,0,722,830,959,731,2.02963917525773,0.760309278350515,67.5,0.461340206185567,0.298969072164948,2.48876404494382,6.86046511627907,4.16279069767442,23.8876404494382,49.2093023255814,33.3953488372093,57.5555555555556,0.590762124711316,0.243879907621247,0.664761904761905,0.818608287724785,0.10715935334873,1.54310344827586,0.692728694292416,0.959199384141647,0.637639209648448,0.606779661016949,0.664761904761905,1.07935018677786,1.54310344827586,0.53479381443299,0.471005154639175,0.550005291565245,0.392946147544518,0.297902817327784,0.384017455338879,0.873223929479809,0.541636274952902,"X2clsQ",125.56,"ok" "Umbrella_tbm_24.tex.module.000066",1,540,172,712,1552,4330,8,8,16,0,722,830,959,731,2.02963917525773,0.760309278350515,67.5,0.461340206185567,0.298969072164948,2.48876404494382,6.86046511627907,4.16279069767442,23.8876404494382,49.2093023255814,33.3953488372093,57.5555555555556,0.590762124711316,0.243879907621247,0.664761904761905,0.818608287724785,0.10715935334873,1.54310344827586,0.692728694292416,0.959199384141647,0.637639209648448,0.606779661016949,0.664761904761905,1.07935018677786,1.54310344827586,0.53479381443299,0.471005154639175,0.550005291565245,0.392946147544518,0.297902817327784,0.384017455338879,0.873223929479809,0.541636274952902,"quantor",3600,"memout" "Umbrella_tbm_24.tex.module.000066",1,540,172,712,1552,4330,8,8,16,0,722,830,959,731,2.02963917525773,0.760309278350515,67.5,0.461340206185567,0.298969072164948,2.48876404494382,6.86046511627907,4.16279069767442,23.8876404494382,49.2093023255814,33.3953488372093,57.5555555555556,0.590762124711316,0.243879907621247,0.664761904761905,0.818608287724785,0.10715935334873,1.54310344827586,0.692728694292416,0.959199384141647,0.637639209648448,0.606779661016949,0.664761904761905,1.07935018677786,1.54310344827586,0.53479381443299,0.471005154639175,0.550005291565245,0.392946147544518,0.297902817327784,0.384017455338879,0.873223929479809,0.541636274952902,"QuBE",15.76,"ok" "Umbrella_tbm_24.tex.module.000066",1,540,172,712,1552,4330,8,8,16,0,722,830,959,731,2.02963917525773,0.760309278350515,67.5,0.461340206185567,0.298969072164948,2.48876404494382,6.86046511627907,4.16279069767442,23.8876404494382,49.2093023255814,33.3953488372093,57.5555555555556,0.590762124711316,0.243879907621247,0.664761904761905,0.818608287724785,0.10715935334873,1.54310344827586,0.692728694292416,0.959199384141647,0.637639209648448,0.606779661016949,0.664761904761905,1.07935018677786,1.54310344827586,0.53479381443299,0.471005154639175,0.550005291565245,0.392946147544518,0.297902817327784,0.384017455338879,0.873223929479809,0.541636274952902,"sKizzo",4.55,"ok" "Umbrella_tbm_24.tex.module.000066",1,540,172,712,1552,4330,8,8,16,0,722,830,959,731,2.02963917525773,0.760309278350515,67.5,0.461340206185567,0.298969072164948,2.48876404494382,6.86046511627907,4.16279069767442,23.8876404494382,49.2093023255814,33.3953488372093,57.5555555555556,0.590762124711316,0.243879907621247,0.664761904761905,0.818608287724785,0.10715935334873,1.54310344827586,0.692728694292416,0.959199384141647,0.637639209648448,0.606779661016949,0.664761904761905,1.07935018677786,1.54310344827586,0.53479381443299,0.471005154639175,0.550005291565245,0.392946147544518,0.297902817327784,0.384017455338879,0.873223929479809,0.541636274952902,"sSolve",3600,"timeout" "Umbrella_tbm_24.tex.module.000103",1,498,164,662,1447,4043,8,8,16,0,666,781,894,675,2.02004146510021,0.774015203870076,62.25,0.467173462335867,0.306841741534209,2.48942598187311,6.82926829268293,4.1219512195122,23.5770392749245,47.609756097561,32.2926829268293,58.0080321285141,0.592381894632698,0.240415533020035,0.667464933287718,0.814613778705637,0.109819441009152,1.52252252252252,0.688100208768267,0.961067122807331,0.641478603009614,0.603571428571429,0.667464933287718,1.08288016366091,1.52252252252252,0.539737387698687,0.466482377332412,0.552830621132248,0.392732055888003,0.290508321081377,0.380572778269855,0.878262408938676,0.525492456417101,"X2clsQ",118.2,"ok" "Umbrella_tbm_24.tex.module.000103",1,498,164,662,1447,4043,8,8,16,0,666,781,894,675,2.02004146510021,0.774015203870076,62.25,0.467173462335867,0.306841741534209,2.48942598187311,6.82926829268293,4.1219512195122,23.5770392749245,47.609756097561,32.2926829268293,58.0080321285141,0.592381894632698,0.240415533020035,0.667464933287718,0.814613778705637,0.109819441009152,1.52252252252252,0.688100208768267,0.961067122807331,0.641478603009614,0.603571428571429,0.667464933287718,1.08288016366091,1.52252252252252,0.539737387698687,0.466482377332412,0.552830621132248,0.392732055888003,0.290508321081377,0.380572778269855,0.878262408938676,0.525492456417101,"quantor",3600,"memout" "Umbrella_tbm_24.tex.module.000103",1,498,164,662,1447,4043,8,8,16,0,666,781,894,675,2.02004146510021,0.774015203870076,62.25,0.467173462335867,0.306841741534209,2.48942598187311,6.82926829268293,4.1219512195122,23.5770392749245,47.609756097561,32.2926829268293,58.0080321285141,0.592381894632698,0.240415533020035,0.667464933287718,0.814613778705637,0.109819441009152,1.52252252252252,0.688100208768267,0.961067122807331,0.641478603009614,0.603571428571429,0.667464933287718,1.08288016366091,1.52252252252252,0.539737387698687,0.466482377332412,0.552830621132248,0.392732055888003,0.290508321081377,0.380572778269855,0.878262408938676,0.525492456417101,"QuBE",18.02,"ok" "Umbrella_tbm_24.tex.module.000103",1,498,164,662,1447,4043,8,8,16,0,666,781,894,675,2.02004146510021,0.774015203870076,62.25,0.467173462335867,0.306841741534209,2.48942598187311,6.82926829268293,4.1219512195122,23.5770392749245,47.609756097561,32.2926829268293,58.0080321285141,0.592381894632698,0.240415533020035,0.667464933287718,0.814613778705637,0.109819441009152,1.52252252252252,0.688100208768267,0.961067122807331,0.641478603009614,0.603571428571429,0.667464933287718,1.08288016366091,1.52252252252252,0.539737387698687,0.466482377332412,0.552830621132248,0.392732055888003,0.290508321081377,0.380572778269855,0.878262408938676,0.525492456417101,"sKizzo",7.19,"ok" "Umbrella_tbm_24.tex.module.000103",1,498,164,662,1447,4043,8,8,16,0,666,781,894,675,2.02004146510021,0.774015203870076,62.25,0.467173462335867,0.306841741534209,2.48942598187311,6.82926829268293,4.1219512195122,23.5770392749245,47.609756097561,32.2926829268293,58.0080321285141,0.592381894632698,0.240415533020035,0.667464933287718,0.814613778705637,0.109819441009152,1.52252252252252,0.688100208768267,0.961067122807331,0.641478603009614,0.603571428571429,0.667464933287718,1.08288016366091,1.52252252252252,0.539737387698687,0.466482377332412,0.552830621132248,0.392732055888003,0.290508321081377,0.380572778269855,0.878262408938676,0.525492456417101,"sSolve",3600,"timeout" "Umbrella_tbm_24.tex.module.000131",1,464,152,616,1372,3838,8,8,16,0,626,746,859,635,2.01020408163265,0.787172011661808,58,0.463556851311953,0.323615160349854,2.54545454545455,7.10526315789474,4.18421052631579,23.7142857142857,48.2105263157895,31.6842105263158,59.5689655172414,0.591453882230328,0.242834809796769,0.662073966642495,0.804405286343612,0.1156852527358,1.43243243243243,0.690748898678414,0.95400801423155,0.631623870191012,0.588888888888889,0.662073966642495,1.06791736290445,1.43243243243243,0.543731778425656,0.462827988338192,0.554688452627728,0.396284725580144,0.310334426482008,0.3843617522374,0.889904445367678,0.559475188300494,"X2clsQ",77.25,"ok" "Umbrella_tbm_24.tex.module.000131",1,464,152,616,1372,3838,8,8,16,0,626,746,859,635,2.01020408163265,0.787172011661808,58,0.463556851311953,0.323615160349854,2.54545454545455,7.10526315789474,4.18421052631579,23.7142857142857,48.2105263157895,31.6842105263158,59.5689655172414,0.591453882230328,0.242834809796769,0.662073966642495,0.804405286343612,0.1156852527358,1.43243243243243,0.690748898678414,0.95400801423155,0.631623870191012,0.588888888888889,0.662073966642495,1.06791736290445,1.43243243243243,0.543731778425656,0.462827988338192,0.554688452627728,0.396284725580144,0.310334426482008,0.3843617522374,0.889904445367678,0.559475188300494,"quantor",3600,"memout" "Umbrella_tbm_24.tex.module.000131",1,464,152,616,1372,3838,8,8,16,0,626,746,859,635,2.01020408163265,0.787172011661808,58,0.463556851311953,0.323615160349854,2.54545454545455,7.10526315789474,4.18421052631579,23.7142857142857,48.2105263157895,31.6842105263158,59.5689655172414,0.591453882230328,0.242834809796769,0.662073966642495,0.804405286343612,0.1156852527358,1.43243243243243,0.690748898678414,0.95400801423155,0.631623870191012,0.588888888888889,0.662073966642495,1.06791736290445,1.43243243243243,0.543731778425656,0.462827988338192,0.554688452627728,0.396284725580144,0.310334426482008,0.3843617522374,0.889904445367678,0.559475188300494,"QuBE",15.27,"ok" "Umbrella_tbm_24.tex.module.000131",1,464,152,616,1372,3838,8,8,16,0,626,746,859,635,2.01020408163265,0.787172011661808,58,0.463556851311953,0.323615160349854,2.54545454545455,7.10526315789474,4.18421052631579,23.7142857142857,48.2105263157895,31.6842105263158,59.5689655172414,0.591453882230328,0.242834809796769,0.662073966642495,0.804405286343612,0.1156852527358,1.43243243243243,0.690748898678414,0.95400801423155,0.631623870191012,0.588888888888889,0.662073966642495,1.06791736290445,1.43243243243243,0.543731778425656,0.462827988338192,0.554688452627728,0.396284725580144,0.310334426482008,0.3843617522374,0.889904445367678,0.559475188300494,"sKizzo",6.04,"ok" "Umbrella_tbm_24.tex.module.000131",1,464,152,616,1372,3838,8,8,16,0,626,746,859,635,2.01020408163265,0.787172011661808,58,0.463556851311953,0.323615160349854,2.54545454545455,7.10526315789474,4.18421052631579,23.7142857142857,48.2105263157895,31.6842105263158,59.5689655172414,0.591453882230328,0.242834809796769,0.662073966642495,0.804405286343612,0.1156852527358,1.43243243243243,0.690748898678414,0.95400801423155,0.631623870191012,0.588888888888889,0.662073966642495,1.06791736290445,1.43243243243243,0.543731778425656,0.462827988338192,0.554688452627728,0.396284725580144,0.310334426482008,0.3843617522374,0.889904445367678,0.559475188300494,"sSolve",3600,"timeout" "Umbrella_tbm_24.tex.moduleQ2.1S.000022",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"X2clsQ",680.72,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000022",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"quantor",3600,"memout" "Umbrella_tbm_24.tex.moduleQ2.1S.000022",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"QuBE",214.23,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000022",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"sKizzo",1223.29,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000022",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"sSolve",3600,"timeout" "Umbrella_tbm_24.tex.moduleQ2.1S.000136",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"X2clsQ",667.31,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000136",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"quantor",3600,"memout" "Umbrella_tbm_24.tex.moduleQ2.1S.000136",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"QuBE",245.84,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000136",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"sKizzo",2183.22,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000136",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"sSolve",3600,"timeout" "Umbrella_tbm_24.tex.moduleQ2.1S.000188",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"X2clsQ",684.92,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000188",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"quantor",3600,"memout" "Umbrella_tbm_24.tex.moduleQ2.1S.000188",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"QuBE",238.56,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000188",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"sKizzo",935.71,"ok" "Umbrella_tbm_24.tex.moduleQ2.1S.000188",1,2119,196,2315,7533,22063,8,8,16,0,2008,5525,6027,2028,2.52104075401566,0.407805655117483,264.875,0.26496747643701,0.142838178680473,2.83498920086393,15.6734693877551,10.1836734693878,25.7520518358531,108.897959183673,82.3673469387755,116.753185464842,0.702533653628246,0.206998141685174,0.759517666262967,0.93058064516129,0.0487694329873544,1.85501858736059,0.42341935483871,0.940379834254291,0.714235097113575,0.649739583333333,0.759517666262967,1.01665606113657,1.8550185873606,0.733439532722687,0.269215452011151,0.675265818371971,0.258699048582498,0.334551852813637,0.267948717948718,0.796648653632738,0.495437268867278,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.module.000003",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3439,3224,2.10370024875622,0.658426616915423,194.1,0.500621890547264,0.157804726368159,3.14588023412877,15.125,11.5,42.9536244934714,166.160714285714,141.964285714286,78.1143740340031,0.606720702465383,0.212034222672521,0.72160224669278,0.905835420725485,0.0571315996847912,3.17241379310345,0.648204842749791,0.871491708310852,0.628870374691239,0.760330578512397,0.72160224669278,1.03650719702798,3.17241379310345,0.500621890547264,0.501243781094527,0.542632499940072,0.305284755428117,0.257642054112917,0.343391336542021,0.667482397389662,0.474800263790634,"X2clsQ",1.01,"ok" "Umbrella_tbm_25.tex.module.000003",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3439,3224,2.10370024875622,0.658426616915423,194.1,0.500621890547264,0.157804726368159,3.14588023412877,15.125,11.5,42.9536244934714,166.160714285714,141.964285714286,78.1143740340031,0.606720702465383,0.212034222672521,0.72160224669278,0.905835420725485,0.0571315996847912,3.17241379310345,0.648204842749791,0.871491708310852,0.628870374691239,0.760330578512397,0.72160224669278,1.03650719702798,3.17241379310345,0.500621890547264,0.501243781094527,0.542632499940072,0.305284755428117,0.257642054112917,0.343391336542021,0.667482397389662,0.474800263790634,"quantor",3600,"memout" "Umbrella_tbm_25.tex.module.000003",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3439,3224,2.10370024875622,0.658426616915423,194.1,0.500621890547264,0.157804726368159,3.14588023412877,15.125,11.5,42.9536244934714,166.160714285714,141.964285714286,78.1143740340031,0.606720702465383,0.212034222672521,0.72160224669278,0.905835420725485,0.0571315996847912,3.17241379310345,0.648204842749791,0.871491708310852,0.628870374691239,0.760330578512397,0.72160224669278,1.03650719702798,3.17241379310345,0.500621890547264,0.501243781094527,0.542632499940072,0.305284755428117,0.257642054112917,0.343391336542021,0.667482397389662,0.474800263790634,"QuBE",0.22,"ok" "Umbrella_tbm_25.tex.module.000003",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3439,3224,2.10370024875622,0.658426616915423,194.1,0.500621890547264,0.157804726368159,3.14588023412877,15.125,11.5,42.9536244934714,166.160714285714,141.964285714286,78.1143740340031,0.606720702465383,0.212034222672521,0.72160224669278,0.905835420725485,0.0571315996847912,3.17241379310345,0.648204842749791,0.871491708310852,0.628870374691239,0.760330578512397,0.72160224669278,1.03650719702798,3.17241379310345,0.500621890547264,0.501243781094527,0.542632499940072,0.305284755428117,0.257642054112917,0.343391336542021,0.667482397389662,0.474800263790634,"sKizzo",173.84,"ok" "Umbrella_tbm_25.tex.module.000003",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3439,3224,2.10370024875622,0.658426616915423,194.1,0.500621890547264,0.157804726368159,3.14588023412877,15.125,11.5,42.9536244934714,166.160714285714,141.964285714286,78.1143740340031,0.606720702465383,0.212034222672521,0.72160224669278,0.905835420725485,0.0571315996847912,3.17241379310345,0.648204842749791,0.871491708310852,0.628870374691239,0.760330578512397,0.72160224669278,1.03650719702798,3.17241379310345,0.500621890547264,0.501243781094527,0.542632499940072,0.305284755428117,0.257642054112917,0.343391336542021,0.667482397389662,0.474800263790634,"sSolve",115.32,"ok" "Umbrella_tbm_25.tex.module.000031",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3449,3224,2.10370024875622,0.658426616915423,194.1,0.499067164179104,0.159359452736318,3.14137775776677,15.125,11.4642857142857,42.9085997298514,165.982142857143,141.607142857143,78.1143740340031,0.607283575368682,0.212034222672521,0.72160224669278,0.904995829085179,0.0576944725880896,3.13170731707317,0.646677171192882,0.871491708310852,0.628870374691239,0.757969303423849,0.72160224669278,1.03554648964358,3.13170731707317,0.500621890547264,0.501243781094527,0.543002373702256,0.305357952914253,0.259605701680773,0.343391336542021,0.668182798646104,0.478093124917208,"X2clsQ",3600,"timeout" "Umbrella_tbm_25.tex.module.000031",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3449,3224,2.10370024875622,0.658426616915423,194.1,0.499067164179104,0.159359452736318,3.14137775776677,15.125,11.4642857142857,42.9085997298514,165.982142857143,141.607142857143,78.1143740340031,0.607283575368682,0.212034222672521,0.72160224669278,0.904995829085179,0.0576944725880896,3.13170731707317,0.646677171192882,0.871491708310852,0.628870374691239,0.757969303423849,0.72160224669278,1.03554648964358,3.13170731707317,0.500621890547264,0.501243781094527,0.543002373702256,0.305357952914253,0.259605701680773,0.343391336542021,0.668182798646104,0.478093124917208,"quantor",3600,"memout" "Umbrella_tbm_25.tex.module.000031",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3449,3224,2.10370024875622,0.658426616915423,194.1,0.499067164179104,0.159359452736318,3.14137775776677,15.125,11.4642857142857,42.9085997298514,165.982142857143,141.607142857143,78.1143740340031,0.607283575368682,0.212034222672521,0.72160224669278,0.904995829085179,0.0576944725880896,3.13170731707317,0.646677171192882,0.871491708310852,0.628870374691239,0.757969303423849,0.72160224669278,1.03554648964358,3.13170731707317,0.500621890547264,0.501243781094527,0.543002373702256,0.305357952914253,0.259605701680773,0.343391336542021,0.668182798646104,0.478093124917208,"QuBE",3600,"timeout" "Umbrella_tbm_25.tex.module.000031",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3449,3224,2.10370024875622,0.658426616915423,194.1,0.499067164179104,0.159359452736318,3.14137775776677,15.125,11.4642857142857,42.9085997298514,165.982142857143,141.607142857143,78.1143740340031,0.607283575368682,0.212034222672521,0.72160224669278,0.904995829085179,0.0576944725880896,3.13170731707317,0.646677171192882,0.871491708310852,0.628870374691239,0.757969303423849,0.72160224669278,1.03554648964358,3.13170731707317,0.500621890547264,0.501243781094527,0.543002373702256,0.305357952914253,0.259605701680773,0.343391336542021,0.668182798646104,0.478093124917208,"sKizzo",415.13,"ok" "Umbrella_tbm_25.tex.module.000031",1,1941,280,2221,6432,17766,10,10,20,0,3212,3220,3449,3224,2.10370024875622,0.658426616915423,194.1,0.499067164179104,0.159359452736318,3.14137775776677,15.125,11.4642857142857,42.9085997298514,165.982142857143,141.607142857143,78.1143740340031,0.607283575368682,0.212034222672521,0.72160224669278,0.904995829085179,0.0576944725880896,3.13170731707317,0.646677171192882,0.871491708310852,0.628870374691239,0.757969303423849,0.72160224669278,1.03554648964358,3.13170731707317,0.500621890547264,0.501243781094527,0.543002373702256,0.305357952914253,0.259605701680773,0.343391336542021,0.668182798646104,0.478093124917208,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.module.000041",1,1896,280,2176,6261,17298,10,10,20,0,3122,3139,3368,3134,2.1023798115317,0.660437629771602,189.6,0.498322951605175,0.162114678166427,3.12362132352941,14.7678571428571,11.1428571428571,42.6056985294118,161.696428571429,137.5,77.5263713080169,0.607064400508729,0.212567926927969,0.720656385322495,0.903342538805828,0.0586773037345358,3.07389162561576,0.647271688410628,0.873332347877587,0.629372533006669,0.75453446191052,0.720656385322495,1.03674755508517,3.07389162561576,0.501357610605335,0.500559016131608,0.542951514703345,0.306715453684003,0.259564833106207,0.344037570603541,0.671078591333531,0.478062637412526,"X2clsQ",3600,"timeout" "Umbrella_tbm_25.tex.module.000041",1,1896,280,2176,6261,17298,10,10,20,0,3122,3139,3368,3134,2.1023798115317,0.660437629771602,189.6,0.498322951605175,0.162114678166427,3.12362132352941,14.7678571428571,11.1428571428571,42.6056985294118,161.696428571429,137.5,77.5263713080169,0.607064400508729,0.212567926927969,0.720656385322495,0.903342538805828,0.0586773037345358,3.07389162561576,0.647271688410628,0.873332347877587,0.629372533006669,0.75453446191052,0.720656385322495,1.03674755508517,3.07389162561576,0.501357610605335,0.500559016131608,0.542951514703345,0.306715453684003,0.259564833106207,0.344037570603541,0.671078591333531,0.478062637412526,"quantor",3600,"memout" "Umbrella_tbm_25.tex.module.000041",1,1896,280,2176,6261,17298,10,10,20,0,3122,3139,3368,3134,2.1023798115317,0.660437629771602,189.6,0.498322951605175,0.162114678166427,3.12362132352941,14.7678571428571,11.1428571428571,42.6056985294118,161.696428571429,137.5,77.5263713080169,0.607064400508729,0.212567926927969,0.720656385322495,0.903342538805828,0.0586773037345358,3.07389162561576,0.647271688410628,0.873332347877587,0.629372533006669,0.75453446191052,0.720656385322495,1.03674755508517,3.07389162561576,0.501357610605335,0.500559016131608,0.542951514703345,0.306715453684003,0.259564833106207,0.344037570603541,0.671078591333531,0.478062637412526,"QuBE",3600,"timeout" "Umbrella_tbm_25.tex.module.000041",1,1896,280,2176,6261,17298,10,10,20,0,3122,3139,3368,3134,2.1023798115317,0.660437629771602,189.6,0.498322951605175,0.162114678166427,3.12362132352941,14.7678571428571,11.1428571428571,42.6056985294118,161.696428571429,137.5,77.5263713080169,0.607064400508729,0.212567926927969,0.720656385322495,0.903342538805828,0.0586773037345358,3.07389162561576,0.647271688410628,0.873332347877587,0.629372533006669,0.75453446191052,0.720656385322495,1.03674755508517,3.07389162561576,0.501357610605335,0.500559016131608,0.542951514703345,0.306715453684003,0.259564833106207,0.344037570603541,0.671078591333531,0.478062637412526,"sKizzo",439.7,"ok" "Umbrella_tbm_25.tex.module.000041",1,1896,280,2176,6261,17298,10,10,20,0,3122,3139,3368,3134,2.1023798115317,0.660437629771602,189.6,0.498322951605175,0.162114678166427,3.12362132352941,14.7678571428571,11.1428571428571,42.6056985294118,161.696428571429,137.5,77.5263713080169,0.607064400508729,0.212567926927969,0.720656385322495,0.903342538805828,0.0586773037345358,3.07389162561576,0.647271688410628,0.873332347877587,0.629372533006669,0.75453446191052,0.720656385322495,1.03674755508517,3.07389162561576,0.501357610605335,0.500559016131608,0.542951514703345,0.306715453684003,0.259564833106207,0.344037570603541,0.671078591333531,0.478062637412526,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.module.000084",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.0996523754345,115,92.6785714285714,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546232404085013,0.327896175082432,0.26584864555814,0.353176011355571,0.722608176595895,0.486695120190572,"X2clsQ",1496.52,"ok" "Umbrella_tbm_25.tex.module.000084",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.0996523754345,115,92.6785714285714,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546232404085013,0.327896175082432,0.26584864555814,0.353176011355571,0.722608176595895,0.486695120190572,"quantor",3600,"memout" "Umbrella_tbm_25.tex.module.000084",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.0996523754345,115,92.6785714285714,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546232404085013,0.327896175082432,0.26584864555814,0.353176011355571,0.722608176595895,0.486695120190572,"QuBE",147.23,"ok" "Umbrella_tbm_25.tex.module.000084",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.0996523754345,115,92.6785714285714,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546232404085013,0.327896175082432,0.26584864555814,0.353176011355571,0.722608176595895,0.486695120190572,"sKizzo",828.38,"ok" "Umbrella_tbm_25.tex.module.000084",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.0996523754345,115,92.6785714285714,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546232404085013,0.327896175082432,0.26584864555814,0.353176011355571,0.722608176595895,0.486695120190572,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.module.000087",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.1286210892236,115.178571428571,92.8571428571429,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546044009105332,0.327783084037705,0.265756954640862,0.353176011355571,0.72205916567309,0.486695120190572,"X2clsQ",1497.24,"ok" "Umbrella_tbm_25.tex.module.000087",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.1286210892236,115.178571428571,92.8571428571429,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546044009105332,0.327783084037705,0.265756954640862,0.353176011355571,0.72205916567309,0.486695120190572,"quantor",3600,"memout" "Umbrella_tbm_25.tex.module.000087",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.1286210892236,115.178571428571,92.8571428571429,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546044009105332,0.327783084037705,0.265756954640862,0.353176011355571,0.72205916567309,0.486695120190572,"QuBE",156.98,"ok" "Umbrella_tbm_25.tex.module.000087",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.1286210892236,115.178571428571,92.8571428571429,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546044009105332,0.327783084037705,0.265756954640862,0.353176011355571,0.72205916567309,0.486695120190572,"sKizzo",558.15,"ok" "Umbrella_tbm_25.tex.module.000087",1,1446,280,1726,4551,12618,10,10,20,0,2222,2329,2558,2234,2.08481652384091,0.687760931663371,144.6,0.48780487804878,0.19995605361459,2.89513325608343,11.1785714285714,7.92857142857143,38.1286210892236,115.178571428571,92.8571428571429,80.387275242047,0.603978443493422,0.220082421936916,0.707314502529511,0.880593098018633,0.0721191948010778,2.43956043956044,0.65568822989109,0.897545866878356,0.634847208328483,0.70926517571885,0.707314502529511,1.05110905060869,2.43956043956044,0.511755658097122,0.490881125027467,0.546044009105332,0.327783084037705,0.265756954640862,0.353176011355571,0.72205916567309,0.486695120190572,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.module.000099",1,1446,280,1726,4541,12598,10,10,20,0,2212,2329,2538,2224,2.08720546135212,0.687073331865228,144.6,0.491081259634442,0.195992072230786,2.89513325608343,11.1428571428571,7.96428571428571,38.0648899188876,114.642857142857,93.0357142857143,80.2766251728907,0.603349738053659,0.219638037783775,0.708060772314834,0.882910143402184,0.0706461343070329,2.50561797752809,0.657413498223918,0.898023287193273,0.635855062286775,0.71474358974359,0.708060772314834,1.05387476314811,2.50561797752809,0.512882624972473,0.48975996476547,0.545831605143094,0.32716529568355,0.257804013351504,0.3522565742715,0.720361212687706,0.4723141916341,"X2clsQ",1752.92,"ok" "Umbrella_tbm_25.tex.module.000099",1,1446,280,1726,4541,12598,10,10,20,0,2212,2329,2538,2224,2.08720546135212,0.687073331865228,144.6,0.491081259634442,0.195992072230786,2.89513325608343,11.1428571428571,7.96428571428571,38.0648899188876,114.642857142857,93.0357142857143,80.2766251728907,0.603349738053659,0.219638037783775,0.708060772314834,0.882910143402184,0.0706461343070329,2.50561797752809,0.657413498223918,0.898023287193273,0.635855062286775,0.71474358974359,0.708060772314834,1.05387476314811,2.50561797752809,0.512882624972473,0.48975996476547,0.545831605143094,0.32716529568355,0.257804013351504,0.3522565742715,0.720361212687706,0.4723141916341,"quantor",3600,"memout" "Umbrella_tbm_25.tex.module.000099",1,1446,280,1726,4541,12598,10,10,20,0,2212,2329,2538,2224,2.08720546135212,0.687073331865228,144.6,0.491081259634442,0.195992072230786,2.89513325608343,11.1428571428571,7.96428571428571,38.0648899188876,114.642857142857,93.0357142857143,80.2766251728907,0.603349738053659,0.219638037783775,0.708060772314834,0.882910143402184,0.0706461343070329,2.50561797752809,0.657413498223918,0.898023287193273,0.635855062286775,0.71474358974359,0.708060772314834,1.05387476314811,2.50561797752809,0.512882624972473,0.48975996476547,0.545831605143094,0.32716529568355,0.257804013351504,0.3522565742715,0.720361212687706,0.4723141916341,"QuBE",153.77,"ok" "Umbrella_tbm_25.tex.module.000099",1,1446,280,1726,4541,12598,10,10,20,0,2212,2329,2538,2224,2.08720546135212,0.687073331865228,144.6,0.491081259634442,0.195992072230786,2.89513325608343,11.1428571428571,7.96428571428571,38.0648899188876,114.642857142857,93.0357142857143,80.2766251728907,0.603349738053659,0.219638037783775,0.708060772314834,0.882910143402184,0.0706461343070329,2.50561797752809,0.657413498223918,0.898023287193273,0.635855062286775,0.71474358974359,0.708060772314834,1.05387476314811,2.50561797752809,0.512882624972473,0.48975996476547,0.545831605143094,0.32716529568355,0.257804013351504,0.3522565742715,0.720361212687706,0.4723141916341,"sKizzo",249.64,"ok" "Umbrella_tbm_25.tex.module.000099",1,1446,280,1726,4541,12598,10,10,20,0,2212,2329,2538,2224,2.08720546135212,0.687073331865228,144.6,0.491081259634442,0.195992072230786,2.89513325608343,11.1428571428571,7.96428571428571,38.0648899188876,114.642857142857,93.0357142857143,80.2766251728907,0.603349738053659,0.219638037783775,0.708060772314834,0.882910143402184,0.0706461343070329,2.50561797752809,0.657413498223918,0.898023287193273,0.635855062286775,0.71474358974359,0.708060772314834,1.05387476314811,2.50561797752809,0.512882624972473,0.48975996476547,0.545831605143094,0.32716529568355,0.257804013351504,0.3522565742715,0.720361212687706,0.4723141916341,"sSolve",497.06,"ok" "Umbrella_tbm_25.tex.module.000106",1,1456,280,1736,4571,12668,10,10,20,0,2242,2329,2553,2254,2.08225771166047,0.689127105666156,145.6,0.491139794355721,0.197987311310435,2.90437788018433,11.25,8.01785714285714,38.2517281105991,115.892857142857,93.8392857142857,80.0549450549451,0.60198926428797,0.220792548152826,0.70613574280311,0.881327039076842,0.0714398484370066,2.48066298342541,0.661159192237084,0.895830803235287,0.632578149668456,0.712698412698413,0.70613574280311,1.05081300813008,2.48066298342541,0.509516517173485,0.493108728943338,0.544078269824923,0.328508806675645,0.262856162032269,0.354505300353357,0.720537725959004,0.483121963530822,"X2clsQ",3600,"timeout" "Umbrella_tbm_25.tex.module.000106",1,1456,280,1736,4571,12668,10,10,20,0,2242,2329,2553,2254,2.08225771166047,0.689127105666156,145.6,0.491139794355721,0.197987311310435,2.90437788018433,11.25,8.01785714285714,38.2517281105991,115.892857142857,93.8392857142857,80.0549450549451,0.60198926428797,0.220792548152826,0.70613574280311,0.881327039076842,0.0714398484370066,2.48066298342541,0.661159192237084,0.895830803235287,0.632578149668456,0.712698412698413,0.70613574280311,1.05081300813008,2.48066298342541,0.509516517173485,0.493108728943338,0.544078269824923,0.328508806675645,0.262856162032269,0.354505300353357,0.720537725959004,0.483121963530822,"quantor",3600,"memout" "Umbrella_tbm_25.tex.module.000106",1,1456,280,1736,4571,12668,10,10,20,0,2242,2329,2553,2254,2.08225771166047,0.689127105666156,145.6,0.491139794355721,0.197987311310435,2.90437788018433,11.25,8.01785714285714,38.2517281105991,115.892857142857,93.8392857142857,80.0549450549451,0.60198926428797,0.220792548152826,0.70613574280311,0.881327039076842,0.0714398484370066,2.48066298342541,0.661159192237084,0.895830803235287,0.632578149668456,0.712698412698413,0.70613574280311,1.05081300813008,2.48066298342541,0.509516517173485,0.493108728943338,0.544078269824923,0.328508806675645,0.262856162032269,0.354505300353357,0.720537725959004,0.483121963530822,"QuBE",3600,"timeout" "Umbrella_tbm_25.tex.module.000106",1,1456,280,1736,4571,12668,10,10,20,0,2242,2329,2553,2254,2.08225771166047,0.689127105666156,145.6,0.491139794355721,0.197987311310435,2.90437788018433,11.25,8.01785714285714,38.2517281105991,115.892857142857,93.8392857142857,80.0549450549451,0.60198926428797,0.220792548152826,0.70613574280311,0.881327039076842,0.0714398484370066,2.48066298342541,0.661159192237084,0.895830803235287,0.632578149668456,0.712698412698413,0.70613574280311,1.05081300813008,2.48066298342541,0.509516517173485,0.493108728943338,0.544078269824923,0.328508806675645,0.262856162032269,0.354505300353357,0.720537725959004,0.483121963530822,"sKizzo",480.14,"ok" "Umbrella_tbm_25.tex.module.000106",1,1456,280,1736,4571,12668,10,10,20,0,2242,2329,2553,2254,2.08225771166047,0.689127105666156,145.6,0.491139794355721,0.197987311310435,2.90437788018433,11.25,8.01785714285714,38.2517281105991,115.892857142857,93.8392857142857,80.0549450549451,0.60198926428797,0.220792548152826,0.70613574280311,0.881327039076842,0.0714398484370066,2.48066298342541,0.661159192237084,0.895830803235287,0.632578149668456,0.712698412698413,0.70613574280311,1.05081300813008,2.48066298342541,0.509516517173485,0.493108728943338,0.544078269824923,0.328508806675645,0.262856162032269,0.354505300353357,0.720537725959004,0.483121963530822,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.module.000121",1,1398,270,1668,4419,12252,10,10,20,0,2162,2257,2456,2174,2.08010862186015,0.692464358452138,139.8,0.494455759221543,0.198008599230595,2.90947242206235,11.3333333333333,8.09259259259259,38.3003597122302,116.111111111111,94.537037037037,77.3319027181688,0.603901403852432,0.217760365654587,0.709747606614447,0.881740775780511,0.071416911524649,2.49714285714286,0.655899445871064,0.895141933558364,0.635324844923278,0.714052287581699,0.709747606614447,1.05203405865658,2.49714285714286,0.510749038243947,0.491966508259787,0.545528917976809,0.325592826997134,0.25599740738106,0.351217725691265,0.716421439946578,0.469264596147298,"X2clsQ",3600,"timeout" "Umbrella_tbm_25.tex.module.000121",1,1398,270,1668,4419,12252,10,10,20,0,2162,2257,2456,2174,2.08010862186015,0.692464358452138,139.8,0.494455759221543,0.198008599230595,2.90947242206235,11.3333333333333,8.09259259259259,38.3003597122302,116.111111111111,94.537037037037,77.3319027181688,0.603901403852432,0.217760365654587,0.709747606614447,0.881740775780511,0.071416911524649,2.49714285714286,0.655899445871064,0.895141933558364,0.635324844923278,0.714052287581699,0.709747606614447,1.05203405865658,2.49714285714286,0.510749038243947,0.491966508259787,0.545528917976809,0.325592826997134,0.25599740738106,0.351217725691265,0.716421439946578,0.469264596147298,"quantor",3600,"memout" "Umbrella_tbm_25.tex.module.000121",1,1398,270,1668,4419,12252,10,10,20,0,2162,2257,2456,2174,2.08010862186015,0.692464358452138,139.8,0.494455759221543,0.198008599230595,2.90947242206235,11.3333333333333,8.09259259259259,38.3003597122302,116.111111111111,94.537037037037,77.3319027181688,0.603901403852432,0.217760365654587,0.709747606614447,0.881740775780511,0.071416911524649,2.49714285714286,0.655899445871064,0.895141933558364,0.635324844923278,0.714052287581699,0.709747606614447,1.05203405865658,2.49714285714286,0.510749038243947,0.491966508259787,0.545528917976809,0.325592826997134,0.25599740738106,0.351217725691265,0.716421439946578,0.469264596147298,"QuBE",3600,"timeout" "Umbrella_tbm_25.tex.module.000121",1,1398,270,1668,4419,12252,10,10,20,0,2162,2257,2456,2174,2.08010862186015,0.692464358452138,139.8,0.494455759221543,0.198008599230595,2.90947242206235,11.3333333333333,8.09259259259259,38.3003597122302,116.111111111111,94.537037037037,77.3319027181688,0.603901403852432,0.217760365654587,0.709747606614447,0.881740775780511,0.071416911524649,2.49714285714286,0.655899445871064,0.895141933558364,0.635324844923278,0.714052287581699,0.709747606614447,1.05203405865658,2.49714285714286,0.510749038243947,0.491966508259787,0.545528917976809,0.325592826997134,0.25599740738106,0.351217725691265,0.716421439946578,0.469264596147298,"sKizzo",630.26,"ok" "Umbrella_tbm_25.tex.module.000121",1,1398,270,1668,4419,12252,10,10,20,0,2162,2257,2456,2174,2.08010862186015,0.692464358452138,139.8,0.494455759221543,0.198008599230595,2.90947242206235,11.3333333333333,8.09259259259259,38.3003597122302,116.111111111111,94.537037037037,77.3319027181688,0.603901403852432,0.217760365654587,0.709747606614447,0.881740775780511,0.071416911524649,2.49714285714286,0.655899445871064,0.895141933558364,0.635324844923278,0.714052287581699,0.709747606614447,1.05203405865658,2.49714285714286,0.510749038243947,0.491966508259787,0.545528917976809,0.325592826997134,0.25599740738106,0.351217725691265,0.716421439946578,0.469264596147298,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.moduleQ3.2S.000052",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"X2clsQ",1507.39,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000052",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"quantor",3600,"memout" "Umbrella_tbm_25.tex.moduleQ3.2S.000052",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"QuBE",417.99,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000052",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"sKizzo",250.31,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000052",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.moduleQ3.2S.000063",1,3687,280,3967,14647,42650,10,10,20,0,3998,10649,11495,4024,2.52440772854509,0.387451355226326,368.7,0.269338431078036,0.11811292414829,3.00604991177212,20.2678571428571,14.0892857142857,35.6780942777918,192.589285714286,155.625,166.124220233252,0.720398593200469,0.187104337631887,0.784178498985801,0.943694060211554,0.0405627198124267,2.28034682080925,0.388120423108218,0.932777818583147,0.731464309663782,0.695154185022026,0.784178498985801,1.01536054701905,2.28034682080925,0.727043080494299,0.274732027036253,0.685962790800874,0.2338595444068,0.325358216595639,0.246893666355823,0.744687373203961,0.47430884146905,"X2clsQ",796.51,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000063",1,3687,280,3967,14647,42650,10,10,20,0,3998,10649,11495,4024,2.52440772854509,0.387451355226326,368.7,0.269338431078036,0.11811292414829,3.00604991177212,20.2678571428571,14.0892857142857,35.6780942777918,192.589285714286,155.625,166.124220233252,0.720398593200469,0.187104337631887,0.784178498985801,0.943694060211554,0.0405627198124267,2.28034682080925,0.388120423108218,0.932777818583147,0.731464309663782,0.695154185022026,0.784178498985801,1.01536054701905,2.28034682080925,0.727043080494299,0.274732027036253,0.685962790800874,0.2338595444068,0.325358216595639,0.246893666355823,0.744687373203961,0.47430884146905,"quantor",3600,"memout" "Umbrella_tbm_25.tex.moduleQ3.2S.000063",1,3687,280,3967,14647,42650,10,10,20,0,3998,10649,11495,4024,2.52440772854509,0.387451355226326,368.7,0.269338431078036,0.11811292414829,3.00604991177212,20.2678571428571,14.0892857142857,35.6780942777918,192.589285714286,155.625,166.124220233252,0.720398593200469,0.187104337631887,0.784178498985801,0.943694060211554,0.0405627198124267,2.28034682080925,0.388120423108218,0.932777818583147,0.731464309663782,0.695154185022026,0.784178498985801,1.01536054701905,2.28034682080925,0.727043080494299,0.274732027036253,0.685962790800874,0.2338595444068,0.325358216595639,0.246893666355823,0.744687373203961,0.47430884146905,"QuBE",3600,"timeout" "Umbrella_tbm_25.tex.moduleQ3.2S.000063",1,3687,280,3967,14647,42650,10,10,20,0,3998,10649,11495,4024,2.52440772854509,0.387451355226326,368.7,0.269338431078036,0.11811292414829,3.00604991177212,20.2678571428571,14.0892857142857,35.6780942777918,192.589285714286,155.625,166.124220233252,0.720398593200469,0.187104337631887,0.784178498985801,0.943694060211554,0.0405627198124267,2.28034682080925,0.388120423108218,0.932777818583147,0.731464309663782,0.695154185022026,0.784178498985801,1.01536054701905,2.28034682080925,0.727043080494299,0.274732027036253,0.685962790800874,0.2338595444068,0.325358216595639,0.246893666355823,0.744687373203961,0.47430884146905,"sKizzo",3600,"memout" "Umbrella_tbm_25.tex.moduleQ3.2S.000063",1,3687,280,3967,14647,42650,10,10,20,0,3998,10649,11495,4024,2.52440772854509,0.387451355226326,368.7,0.269338431078036,0.11811292414829,3.00604991177212,20.2678571428571,14.0892857142857,35.6780942777918,192.589285714286,155.625,166.124220233252,0.720398593200469,0.187104337631887,0.784178498985801,0.943694060211554,0.0405627198124267,2.28034682080925,0.388120423108218,0.932777818583147,0.731464309663782,0.695154185022026,0.784178498985801,1.01536054701905,2.28034682080925,0.727043080494299,0.274732027036253,0.685962790800874,0.2338595444068,0.325358216595639,0.246893666355823,0.744687373203961,0.47430884146905,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.moduleQ3.2S.000075",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"X2clsQ",3600,"timeout" "Umbrella_tbm_25.tex.moduleQ3.2S.000075",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"quantor",3600,"memout" "Umbrella_tbm_25.tex.moduleQ3.2S.000075",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"QuBE",2706.26,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000075",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"sKizzo",2169.38,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000075",1,3697,285,3982,14667,42700,10,10,20,0,4018,10649,11500,4044,2.52301084066271,0.388286629849322,369.7,0.269993863775823,0.118292766073498,3.00351582119538,19.9824561403509,13.8947368421053,35.6931190356605,190.614035087719,153.859649122807,165.761428185015,0.719906323185012,0.187353629976581,0.783812998243481,0.94355888093689,0.040632318501171,2.28242074927954,0.389069616135329,0.933435553480606,0.731638919840696,0.695346795434592,0.78381299824348,1.01629739353278,2.28242074927954,0.726051680643622,0.275721006340765,0.685257155511266,0.23441589815683,0.324101123650071,0.247400881057269,0.744785472526352,0.472962771776182,"sSolve",3600,"timeout" "Umbrella_tbm_25.tex.moduleQ3.2S.000120",1,3687,285,3972,14662,42680,10,10,20,0,4013,10649,11510,4039,2.52284817896603,0.388078024826081,368.7,0.269062883644796,0.119015141181285,3.00604229607251,19.9649122807018,13.8421052631579,35.7061933534743,190.350877192982,153.070175438596,166.189313805262,0.720243673851921,0.18732427366448,0.783860502838605,0.943233571893299,0.0408856607310216,2.26074498567335,0.388418998048146,0.933675628725665,0.731871447821051,0.693321616871705,0.783860502838605,1.01614422228375,2.26074498567335,0.726299277042695,0.275474014459146,0.685713335992554,0.234434082715039,0.328145520029298,0.24734893327624,0.745924372755076,0.478546212834427,"X2clsQ",1009.27,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000120",1,3687,285,3972,14662,42680,10,10,20,0,4013,10649,11510,4039,2.52284817896603,0.388078024826081,368.7,0.269062883644796,0.119015141181285,3.00604229607251,19.9649122807018,13.8421052631579,35.7061933534743,190.350877192982,153.070175438596,166.189313805262,0.720243673851921,0.18732427366448,0.783860502838605,0.943233571893299,0.0408856607310216,2.26074498567335,0.388418998048146,0.933675628725665,0.731871447821051,0.693321616871705,0.783860502838605,1.01614422228375,2.26074498567335,0.726299277042695,0.275474014459146,0.685713335992554,0.234434082715039,0.328145520029298,0.24734893327624,0.745924372755076,0.478546212834427,"quantor",3600,"memout" "Umbrella_tbm_25.tex.moduleQ3.2S.000120",1,3687,285,3972,14662,42680,10,10,20,0,4013,10649,11510,4039,2.52284817896603,0.388078024826081,368.7,0.269062883644796,0.119015141181285,3.00604229607251,19.9649122807018,13.8421052631579,35.7061933534743,190.350877192982,153.070175438596,166.189313805262,0.720243673851921,0.18732427366448,0.783860502838605,0.943233571893299,0.0408856607310216,2.26074498567335,0.388418998048146,0.933675628725665,0.731871447821051,0.693321616871705,0.783860502838605,1.01614422228375,2.26074498567335,0.726299277042695,0.275474014459146,0.685713335992554,0.234434082715039,0.328145520029298,0.24734893327624,0.745924372755076,0.478546212834427,"QuBE",261.58,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000120",1,3687,285,3972,14662,42680,10,10,20,0,4013,10649,11510,4039,2.52284817896603,0.388078024826081,368.7,0.269062883644796,0.119015141181285,3.00604229607251,19.9649122807018,13.8421052631579,35.7061933534743,190.350877192982,153.070175438596,166.189313805262,0.720243673851921,0.18732427366448,0.783860502838605,0.943233571893299,0.0408856607310216,2.26074498567335,0.388418998048146,0.933675628725665,0.731871447821051,0.693321616871705,0.783860502838605,1.01614422228375,2.26074498567335,0.726299277042695,0.275474014459146,0.685713335992554,0.234434082715039,0.328145520029298,0.24734893327624,0.745924372755076,0.478546212834427,"sKizzo",385.81,"ok" "Umbrella_tbm_25.tex.moduleQ3.2S.000120",1,3687,285,3972,14662,42680,10,10,20,0,4013,10649,11510,4039,2.52284817896603,0.388078024826081,368.7,0.269062883644796,0.119015141181285,3.00604229607251,19.9649122807018,13.8421052631579,35.7061933534743,190.350877192982,153.070175438596,166.189313805262,0.720243673851921,0.18732427366448,0.783860502838605,0.943233571893299,0.0408856607310216,2.26074498567335,0.388418998048146,0.933675628725665,0.731871447821051,0.693321616871705,0.783860502838605,1.01614422228375,2.26074498567335,0.726299277042695,0.275474014459146,0.685713335992554,0.234434082715039,0.328145520029298,0.24734893327624,0.745924372755076,0.478546212834427,"sSolve",3600,"timeout" "Umbrella_tbm_26.tex.module.000004",1,1183,245,1428,3781,10518,10,10,20,0,1812,1969,2193,1824,2.07696376619942,0.704839989420788,118.3,0.481354139116636,0.223485850304152,2.92857142857143,10.8775510204082,7.42857142857143,37.5700280112045,107.244897959184,83.469387755102,85.0211327134404,0.602395892755277,0.22456740825252,0.699223226792309,0.866635101010101,0.0803384673892375,2.15384615384615,0.660037878787879,0.901251278450805,0.630175827069065,0.682926829268293,0.699223226792309,1.04611574323113,2.15384615384615,0.520761703253108,0.482412060301508,0.549102828087574,0.336813376853977,0.285342089939308,0.358105921691295,0.746984895526009,0.519651466617105,"X2clsQ",0.31,"ok" "Umbrella_tbm_26.tex.module.000004",1,1183,245,1428,3781,10518,10,10,20,0,1812,1969,2193,1824,2.07696376619942,0.704839989420788,118.3,0.481354139116636,0.223485850304152,2.92857142857143,10.8775510204082,7.42857142857143,37.5700280112045,107.244897959184,83.469387755102,85.0211327134404,0.602395892755277,0.22456740825252,0.699223226792309,0.866635101010101,0.0803384673892375,2.15384615384615,0.660037878787879,0.901251278450805,0.630175827069065,0.682926829268293,0.699223226792309,1.04611574323113,2.15384615384615,0.520761703253108,0.482412060301508,0.549102828087574,0.336813376853977,0.285342089939308,0.358105921691295,0.746984895526009,0.519651466617105,"quantor",3600,"memout" "Umbrella_tbm_26.tex.module.000004",1,1183,245,1428,3781,10518,10,10,20,0,1812,1969,2193,1824,2.07696376619942,0.704839989420788,118.3,0.481354139116636,0.223485850304152,2.92857142857143,10.8775510204082,7.42857142857143,37.5700280112045,107.244897959184,83.469387755102,85.0211327134404,0.602395892755277,0.22456740825252,0.699223226792309,0.866635101010101,0.0803384673892375,2.15384615384615,0.660037878787879,0.901251278450805,0.630175827069065,0.682926829268293,0.699223226792309,1.04611574323113,2.15384615384615,0.520761703253108,0.482412060301508,0.549102828087574,0.336813376853977,0.285342089939308,0.358105921691295,0.746984895526009,0.519651466617105,"QuBE",0.13,"ok" "Umbrella_tbm_26.tex.module.000004",1,1183,245,1428,3781,10518,10,10,20,0,1812,1969,2193,1824,2.07696376619942,0.704839989420788,118.3,0.481354139116636,0.223485850304152,2.92857142857143,10.8775510204082,7.42857142857143,37.5700280112045,107.244897959184,83.469387755102,85.0211327134404,0.602395892755277,0.22456740825252,0.699223226792309,0.866635101010101,0.0803384673892375,2.15384615384615,0.660037878787879,0.901251278450805,0.630175827069065,0.682926829268293,0.699223226792309,1.04611574323113,2.15384615384615,0.520761703253108,0.482412060301508,0.549102828087574,0.336813376853977,0.285342089939308,0.358105921691295,0.746984895526009,0.519651466617105,"sKizzo",3600,"memout" "Umbrella_tbm_26.tex.module.000004",1,1183,245,1428,3781,10518,10,10,20,0,1812,1969,2193,1824,2.07696376619942,0.704839989420788,118.3,0.481354139116636,0.223485850304152,2.92857142857143,10.8775510204082,7.42857142857143,37.5700280112045,107.244897959184,83.469387755102,85.0211327134404,0.602395892755277,0.22456740825252,0.699223226792309,0.866635101010101,0.0803384673892375,2.15384615384615,0.660037878787879,0.901251278450805,0.630175827069065,0.682926829268293,0.699223226792309,1.04611574323113,2.15384615384615,0.520761703253108,0.482412060301508,0.549102828087574,0.336813376853977,0.285342089939308,0.358105921691295,0.746984895526009,0.519651466617105,"sSolve",3600,"timeout" "Umbrella_tbm_26.tex.module.000021",1,1183,245,1428,3791,10538,10,10,20,0,1822,1969,2198,1834,2.07412292271169,0.705618570298074,118.3,0.481403323661303,0.224215246636771,2.9390756302521,10.9183673469388,7.44897959183673,37.8046218487395,108.265306122449,84.1836734693878,85.156382079459,0.601727082937939,0.225090149933574,0.698333969222943,0.865951742627346,0.0806604668817612,2.14705882352941,0.661882983756505,0.900686273739108,0.628979820564853,0.682242990654206,0.698333969222943,1.04529086092295,2.14705882352941,0.519388024268003,0.483777367449222,0.547845387160266,0.337274389544327,0.288023547289011,0.359211801442877,0.745927123083171,0.525738746805862,"X2clsQ",3600,"timeout" "Umbrella_tbm_26.tex.module.000021",1,1183,245,1428,3791,10538,10,10,20,0,1822,1969,2198,1834,2.07412292271169,0.705618570298074,118.3,0.481403323661303,0.224215246636771,2.9390756302521,10.9183673469388,7.44897959183673,37.8046218487395,108.265306122449,84.1836734693878,85.156382079459,0.601727082937939,0.225090149933574,0.698333969222943,0.865951742627346,0.0806604668817612,2.14705882352941,0.661882983756505,0.900686273739108,0.628979820564853,0.682242990654206,0.698333969222943,1.04529086092295,2.14705882352941,0.519388024268003,0.483777367449222,0.547845387160266,0.337274389544327,0.288023547289011,0.359211801442877,0.745927123083171,0.525738746805862,"quantor",3600,"memout" "Umbrella_tbm_26.tex.module.000021",1,1183,245,1428,3791,10538,10,10,20,0,1822,1969,2198,1834,2.07412292271169,0.705618570298074,118.3,0.481403323661303,0.224215246636771,2.9390756302521,10.9183673469388,7.44897959183673,37.8046218487395,108.265306122449,84.1836734693878,85.156382079459,0.601727082937939,0.225090149933574,0.698333969222943,0.865951742627346,0.0806604668817612,2.14705882352941,0.661882983756505,0.900686273739108,0.628979820564853,0.682242990654206,0.698333969222943,1.04529086092295,2.14705882352941,0.519388024268003,0.483777367449222,0.547845387160266,0.337274389544327,0.288023547289011,0.359211801442877,0.745927123083171,0.525738746805862,"QuBE",2624.66,"ok" "Umbrella_tbm_26.tex.module.000021",1,1183,245,1428,3791,10538,10,10,20,0,1822,1969,2198,1834,2.07412292271169,0.705618570298074,118.3,0.481403323661303,0.224215246636771,2.9390756302521,10.9183673469388,7.44897959183673,37.8046218487395,108.265306122449,84.1836734693878,85.156382079459,0.601727082937939,0.225090149933574,0.698333969222943,0.865951742627346,0.0806604668817612,2.14705882352941,0.661882983756505,0.900686273739108,0.628979820564853,0.682242990654206,0.698333969222943,1.04529086092295,2.14705882352941,0.519388024268003,0.483777367449222,0.547845387160266,0.337274389544327,0.288023547289011,0.359211801442877,0.745927123083171,0.525738746805862,"sKizzo",155.2,"ok" "Umbrella_tbm_26.tex.module.000021",1,1183,245,1428,3791,10538,10,10,20,0,1822,1969,2198,1834,2.07412292271169,0.705618570298074,118.3,0.481403323661303,0.224215246636771,2.9390756302521,10.9183673469388,7.44897959183673,37.8046218487395,108.265306122449,84.1836734693878,85.156382079459,0.601727082937939,0.225090149933574,0.698333969222943,0.865951742627346,0.0806604668817612,2.14705882352941,0.661882983756505,0.900686273739108,0.628979820564853,0.682242990654206,0.698333969222943,1.04529086092295,2.14705882352941,0.519388024268003,0.483777367449222,0.547845387160266,0.337274389544327,0.288023547289011,0.359211801442877,0.745927123083171,0.525738746805862,"sSolve",3600,"timeout" "Umbrella_tbm_26.tex.module.000041",1,1018,245,1263,3164,8822,10,10,20,0,1492,1672,1901,1504,2.06289506953224,0.725347661188369,101.8,0.472503160556258,0.252844500632111,2.80047505938242,9.36734693877551,6.10204081632653,34.9604117181314,88.6734693877551,65.6122448979592,86.5717092337918,0.599070505554296,0.231466787576513,0.687145702466677,0.848628192999054,0.0906823849467241,1.86875,0.669252601702933,0.917914291784381,0.630740860832381,0.651416122004357,0.687145702466677,1.0528658229448,1.86875,0.528445006321112,0.475347661188369,0.550653844196815,0.354530674991056,0.296405907806566,0.366866997648288,0.788992340119943,0.53827992109799,"X2clsQ",3427.9,"ok" "Umbrella_tbm_26.tex.module.000041",1,1018,245,1263,3164,8822,10,10,20,0,1492,1672,1901,1504,2.06289506953224,0.725347661188369,101.8,0.472503160556258,0.252844500632111,2.80047505938242,9.36734693877551,6.10204081632653,34.9604117181314,88.6734693877551,65.6122448979592,86.5717092337918,0.599070505554296,0.231466787576513,0.687145702466677,0.848628192999054,0.0906823849467241,1.86875,0.669252601702933,0.917914291784381,0.630740860832381,0.651416122004357,0.687145702466677,1.0528658229448,1.86875,0.528445006321112,0.475347661188369,0.550653844196815,0.354530674991056,0.296405907806566,0.366866997648288,0.788992340119943,0.53827992109799,"quantor",3600,"memout" "Umbrella_tbm_26.tex.module.000041",1,1018,245,1263,3164,8822,10,10,20,0,1492,1672,1901,1504,2.06289506953224,0.725347661188369,101.8,0.472503160556258,0.252844500632111,2.80047505938242,9.36734693877551,6.10204081632653,34.9604117181314,88.6734693877551,65.6122448979592,86.5717092337918,0.599070505554296,0.231466787576513,0.687145702466677,0.848628192999054,0.0906823849467241,1.86875,0.669252601702933,0.917914291784381,0.630740860832381,0.651416122004357,0.687145702466677,1.0528658229448,1.86875,0.528445006321112,0.475347661188369,0.550653844196815,0.354530674991056,0.296405907806566,0.366866997648288,0.788992340119943,0.53827992109799,"QuBE",2951.14,"ok" "Umbrella_tbm_26.tex.module.000041",1,1018,245,1263,3164,8822,10,10,20,0,1492,1672,1901,1504,2.06289506953224,0.725347661188369,101.8,0.472503160556258,0.252844500632111,2.80047505938242,9.36734693877551,6.10204081632653,34.9604117181314,88.6734693877551,65.6122448979592,86.5717092337918,0.599070505554296,0.231466787576513,0.687145702466677,0.848628192999054,0.0906823849467241,1.86875,0.669252601702933,0.917914291784381,0.630740860832381,0.651416122004357,0.687145702466677,1.0528658229448,1.86875,0.528445006321112,0.475347661188369,0.550653844196815,0.354530674991056,0.296405907806566,0.366866997648288,0.788992340119943,0.53827992109799,"sKizzo",149.55,"ok" "Umbrella_tbm_26.tex.module.000041",1,1018,245,1263,3164,8822,10,10,20,0,1492,1672,1901,1504,2.06289506953224,0.725347661188369,101.8,0.472503160556258,0.252844500632111,2.80047505938242,9.36734693877551,6.10204081632653,34.9604117181314,88.6734693877551,65.6122448979592,86.5717092337918,0.599070505554296,0.231466787576513,0.687145702466677,0.848628192999054,0.0906823849467241,1.86875,0.669252601702933,0.917914291784381,0.630740860832381,0.651416122004357,0.687145702466677,1.0528658229448,1.86875,0.528445006321112,0.475347661188369,0.550653844196815,0.354530674991056,0.296405907806566,0.366866997648288,0.788992340119943,0.53827992109799,"sSolve",3600,"timeout" "Umbrella_tbm_26.tex.module.000061",1,983,245,1228,3013,8404,10,10,20,0,1422,1591,1820,1434,2.05077995353468,0.738466644540325,98.3,0.47295054762695,0.265516096913375,2.76628664495114,9.08163265306122,5.81632653061224,34.1897394136808,84.3877551020408,61.3265306122449,86.5920651068159,0.59578772013327,0.234650166587339,0.680854507201813,0.840223686838426,0.0951927653498334,1.78125,0.678450169762333,0.918495431376717,0.625361754297111,0.640449438202247,0.680854507201813,1.04963854266286,1.78125,0.528045137736475,0.475937603717225,0.549057515708071,0.361736000379798,0.304163940427146,0.372221455198122,0.802177690016938,0.553974641499792,"X2clsQ",3229.1,"ok" "Umbrella_tbm_26.tex.module.000061",1,983,245,1228,3013,8404,10,10,20,0,1422,1591,1820,1434,2.05077995353468,0.738466644540325,98.3,0.47295054762695,0.265516096913375,2.76628664495114,9.08163265306122,5.81632653061224,34.1897394136808,84.3877551020408,61.3265306122449,86.5920651068159,0.59578772013327,0.234650166587339,0.680854507201813,0.840223686838426,0.0951927653498334,1.78125,0.678450169762333,0.918495431376717,0.625361754297111,0.640449438202247,0.680854507201813,1.04963854266286,1.78125,0.528045137736475,0.475937603717225,0.549057515708071,0.361736000379798,0.304163940427146,0.372221455198122,0.802177690016938,0.553974641499792,"quantor",3600,"memout" "Umbrella_tbm_26.tex.module.000061",1,983,245,1228,3013,8404,10,10,20,0,1422,1591,1820,1434,2.05077995353468,0.738466644540325,98.3,0.47295054762695,0.265516096913375,2.76628664495114,9.08163265306122,5.81632653061224,34.1897394136808,84.3877551020408,61.3265306122449,86.5920651068159,0.59578772013327,0.234650166587339,0.680854507201813,0.840223686838426,0.0951927653498334,1.78125,0.678450169762333,0.918495431376717,0.625361754297111,0.640449438202247,0.680854507201813,1.04963854266286,1.78125,0.528045137736475,0.475937603717225,0.549057515708071,0.361736000379798,0.304163940427146,0.372221455198122,0.802177690016938,0.553974641499792,"QuBE",2728.98,"ok" "Umbrella_tbm_26.tex.module.000061",1,983,245,1228,3013,8404,10,10,20,0,1422,1591,1820,1434,2.05077995353468,0.738466644540325,98.3,0.47295054762695,0.265516096913375,2.76628664495114,9.08163265306122,5.81632653061224,34.1897394136808,84.3877551020408,61.3265306122449,86.5920651068159,0.59578772013327,0.234650166587339,0.680854507201813,0.840223686838426,0.0951927653498334,1.78125,0.678450169762333,0.918495431376717,0.625361754297111,0.640449438202247,0.680854507201813,1.04963854266286,1.78125,0.528045137736475,0.475937603717225,0.549057515708071,0.361736000379798,0.304163940427146,0.372221455198122,0.802177690016938,0.553974641499792,"sKizzo",153.96,"ok" "Umbrella_tbm_26.tex.module.000061",1,983,245,1228,3013,8404,10,10,20,0,1422,1591,1820,1434,2.05077995353468,0.738466644540325,98.3,0.47295054762695,0.265516096913375,2.76628664495114,9.08163265306122,5.81632653061224,34.1897394136808,84.3877551020408,61.3265306122449,86.5920651068159,0.59578772013327,0.234650166587339,0.680854507201813,0.840223686838426,0.0951927653498334,1.78125,0.678450169762333,0.918495431376717,0.625361754297111,0.640449438202247,0.680854507201813,1.04963854266286,1.78125,0.528045137736475,0.475937603717225,0.549057515708071,0.361736000379798,0.304163940427146,0.372221455198122,0.802177690016938,0.553974641499792,"sSolve",3600,"timeout" "Umbrella_tbm_26.tex.moduleQ3.2S.000009",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"X2clsQ",3530.56,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000009",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"quantor",3600,"memout" "Umbrella_tbm_26.tex.moduleQ3.2S.000009",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"QuBE",688.01,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000009",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"sKizzo",1367.81,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000009",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"sSolve",41.1,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000014",1,2228,245,2473,7972,23258,10,10,20,0,2322,5650,6242,2344,2.44518314099348,0.472277972905168,222.8,0.297917711991972,0.174360260913196,3.00849171047311,15.3673469387755,9.69387755102041,34.0497371613425,132.142857142857,96.6326530612245,152.13644524237,0.680110069653453,0.217774529194256,0.740163135484533,0.912125426729043,0.0597643821480781,1.70863309352518,0.470350233910735,0.930283346453405,0.688561438599996,0.630810092961487,0.740163135484533,1.01242647230742,1.70863309352518,0.708730556949323,0.294029101856498,0.651865633074935,0.277772099517997,0.36306660338554,0.288926014319809,0.797887614404318,0.556965400481243,"X2clsQ",3600,"timeout" "Umbrella_tbm_26.tex.moduleQ3.2S.000014",1,2228,245,2473,7972,23258,10,10,20,0,2322,5650,6242,2344,2.44518314099348,0.472277972905168,222.8,0.297917711991972,0.174360260913196,3.00849171047311,15.3673469387755,9.69387755102041,34.0497371613425,132.142857142857,96.6326530612245,152.13644524237,0.680110069653453,0.217774529194256,0.740163135484533,0.912125426729043,0.0597643821480781,1.70863309352518,0.470350233910735,0.930283346453405,0.688561438599996,0.630810092961487,0.740163135484533,1.01242647230742,1.70863309352518,0.708730556949323,0.294029101856498,0.651865633074935,0.277772099517997,0.36306660338554,0.288926014319809,0.797887614404318,0.556965400481243,"quantor",3600,"memout" "Umbrella_tbm_26.tex.moduleQ3.2S.000014",1,2228,245,2473,7972,23258,10,10,20,0,2322,5650,6242,2344,2.44518314099348,0.472277972905168,222.8,0.297917711991972,0.174360260913196,3.00849171047311,15.3673469387755,9.69387755102041,34.0497371613425,132.142857142857,96.6326530612245,152.13644524237,0.680110069653453,0.217774529194256,0.740163135484533,0.912125426729043,0.0597643821480781,1.70863309352518,0.470350233910735,0.930283346453405,0.688561438599996,0.630810092961487,0.740163135484533,1.01242647230742,1.70863309352518,0.708730556949323,0.294029101856498,0.651865633074935,0.277772099517997,0.36306660338554,0.288926014319809,0.797887614404318,0.556965400481243,"QuBE",777.26,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000014",1,2228,245,2473,7972,23258,10,10,20,0,2322,5650,6242,2344,2.44518314099348,0.472277972905168,222.8,0.297917711991972,0.174360260913196,3.00849171047311,15.3673469387755,9.69387755102041,34.0497371613425,132.142857142857,96.6326530612245,152.13644524237,0.680110069653453,0.217774529194256,0.740163135484533,0.912125426729043,0.0597643821480781,1.70863309352518,0.470350233910735,0.930283346453405,0.688561438599996,0.630810092961487,0.740163135484533,1.01242647230742,1.70863309352518,0.708730556949323,0.294029101856498,0.651865633074935,0.277772099517997,0.36306660338554,0.288926014319809,0.797887614404318,0.556965400481243,"sKizzo",3600,"memout" "Umbrella_tbm_26.tex.moduleQ3.2S.000014",1,2228,245,2473,7972,23258,10,10,20,0,2322,5650,6242,2344,2.44518314099348,0.472277972905168,222.8,0.297917711991972,0.174360260913196,3.00849171047311,15.3673469387755,9.69387755102041,34.0497371613425,132.142857142857,96.6326530612245,152.13644524237,0.680110069653453,0.217774529194256,0.740163135484533,0.912125426729043,0.0597643821480781,1.70863309352518,0.470350233910735,0.930283346453405,0.688561438599996,0.630810092961487,0.740163135484533,1.01242647230742,1.70863309352518,0.708730556949323,0.294029101856498,0.651865633074935,0.277772099517997,0.36306660338554,0.288926014319809,0.797887614404318,0.556965400481243,"sSolve",25,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000020",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"X2clsQ",3600,"timeout" "Umbrella_tbm_26.tex.moduleQ3.2S.000020",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"quantor",3600,"memout" "Umbrella_tbm_26.tex.moduleQ3.2S.000020",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"QuBE",708.7,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000020",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"sKizzo",3600,"memout" "Umbrella_tbm_26.tex.moduleQ3.2S.000020",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"sSolve",41,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000037",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"X2clsQ",2955.59,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000037",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"quantor",3600,"memout" "Umbrella_tbm_26.tex.moduleQ3.2S.000037",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"QuBE",767.78,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000037",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"sKizzo",1122.97,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000037",1,2228,245,2473,7962,23238,10,10,20,0,2312,5650,6232,2334,2.44699824164783,0.47161517206732,222.8,0.298291886460688,0.173323285606631,3.00444803881925,15.3265306122449,9.69387755102041,33.9446016983421,131.122448979592,96.2244897959184,152.064631956912,0.680265083053619,0.217531629227989,0.740543037519889,0.912702429149797,0.0593854892847921,1.72101449275362,0.470015182186235,0.930606352994221,0.689154055381647,0.632490013315579,0.740543037519889,1.01306692427623,1.72101449275362,0.709620698317006,0.293142426525998,0.652351272441141,0.277508261304862,0.357412681180397,0.288382535588039,0.798243282101119,0.547883780226159,"sSolve",37.99,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000041",1,2233,250,2483,7972,23263,10,10,20,0,2322,5650,6237,2344,2.44581033617662,0.472277972905168,223.3,0.298544907175113,0.173733065730055,2.99838904550946,15.06,9.52,33.942811115586,129.7,95,151.79579041648,0.679963891157632,0.217727722133861,0.740229767155606,0.912441522316348,0.0595366031896144,1.71841155234657,0.47066632949804,0.931992337699491,0.689888471126103,0.632138114209827,0.740229767155606,1.01459574559404,1.71841155234657,0.708730556949323,0.294029101856498,0.65174273258817,0.278121351728409,0.35602611516291,0.288815726691478,0.798608895645866,0.546267871294975,"X2clsQ",3600,"timeout" "Umbrella_tbm_26.tex.moduleQ3.2S.000041",1,2233,250,2483,7972,23263,10,10,20,0,2322,5650,6237,2344,2.44581033617662,0.472277972905168,223.3,0.298544907175113,0.173733065730055,2.99838904550946,15.06,9.52,33.942811115586,129.7,95,151.79579041648,0.679963891157632,0.217727722133861,0.740229767155606,0.912441522316348,0.0595366031896144,1.71841155234657,0.47066632949804,0.931992337699491,0.689888471126103,0.632138114209827,0.740229767155606,1.01459574559404,1.71841155234657,0.708730556949323,0.294029101856498,0.65174273258817,0.278121351728409,0.35602611516291,0.288815726691478,0.798608895645866,0.546267871294975,"quantor",3600,"memout" "Umbrella_tbm_26.tex.moduleQ3.2S.000041",1,2233,250,2483,7972,23263,10,10,20,0,2322,5650,6237,2344,2.44581033617662,0.472277972905168,223.3,0.298544907175113,0.173733065730055,2.99838904550946,15.06,9.52,33.942811115586,129.7,95,151.79579041648,0.679963891157632,0.217727722133861,0.740229767155606,0.912441522316348,0.0595366031896144,1.71841155234657,0.47066632949804,0.931992337699491,0.689888471126103,0.632138114209827,0.740229767155606,1.01459574559404,1.71841155234657,0.708730556949323,0.294029101856498,0.65174273258817,0.278121351728409,0.35602611516291,0.288815726691478,0.798608895645866,0.546267871294975,"QuBE",772.31,"ok" "Umbrella_tbm_26.tex.moduleQ3.2S.000041",1,2233,250,2483,7972,23263,10,10,20,0,2322,5650,6237,2344,2.44581033617662,0.472277972905168,223.3,0.298544907175113,0.173733065730055,2.99838904550946,15.06,9.52,33.942811115586,129.7,95,151.79579041648,0.679963891157632,0.217727722133861,0.740229767155606,0.912441522316348,0.0595366031896144,1.71841155234657,0.47066632949804,0.931992337699491,0.689888471126103,0.632138114209827,0.740229767155606,1.01459574559404,1.71841155234657,0.708730556949323,0.294029101856498,0.65174273258817,0.278121351728409,0.35602611516291,0.288815726691478,0.798608895645866,0.546267871294975,"sKizzo",3600,"memout" "Umbrella_tbm_26.tex.moduleQ3.2S.000041",1,2233,250,2483,7972,23263,10,10,20,0,2322,5650,6237,2344,2.44581033617662,0.472277972905168,223.3,0.298544907175113,0.173733065730055,2.99838904550946,15.06,9.52,33.942811115586,129.7,95,151.79579041648,0.679963891157632,0.217727722133861,0.740229767155606,0.912441522316348,0.0595366031896144,1.71841155234657,0.47066632949804,0.931992337699491,0.689888471126103,0.632138114209827,0.740229767155606,1.01459574559404,1.71841155234657,0.708730556949323,0.294029101856498,0.65174273258817,0.278121351728409,0.35602611516291,0.288815726691478,0.798608895645866,0.546267871294975,"sSolve",10.12,"ok" "Umbrella_tbm_29.tex.module.000009",1,961,168,1129,3163,8729,8,8,16,0,1570,1593,1716,1579,1.99968384445147,0.760037938665824,120.125,0.502055011065444,0.257982927600379,3.05845881310895,14.3095238095238,9.45238095238095,30.3064658990257,102.380952380952,78.9523809523809,60.6035379812695,0.604422041470959,0.213655630656433,0.705138339920949,0.845337376800606,0.0934814984534311,1.94607843137255,0.654473085670963,0.851268553671073,0.600262094762527,0.660565723793677,0.705138339920949,0.993117480133075,1.94607843137255,0.503635788808094,0.499209611128675,0.554339897884756,0.320605196928411,0.344519864838714,0.351685242379482,0.719393985251802,0.621495703544576,"X2clsQ",99.48,"ok" "Umbrella_tbm_29.tex.module.000009",1,961,168,1129,3163,8729,8,8,16,0,1570,1593,1716,1579,1.99968384445147,0.760037938665824,120.125,0.502055011065444,0.257982927600379,3.05845881310895,14.3095238095238,9.45238095238095,30.3064658990257,102.380952380952,78.9523809523809,60.6035379812695,0.604422041470959,0.213655630656433,0.705138339920949,0.845337376800606,0.0934814984534311,1.94607843137255,0.654473085670963,0.851268553671073,0.600262094762527,0.660565723793677,0.705138339920949,0.993117480133075,1.94607843137255,0.503635788808094,0.499209611128675,0.554339897884756,0.320605196928411,0.344519864838714,0.351685242379482,0.719393985251802,0.621495703544576,"quantor",3600,"memout" "Umbrella_tbm_29.tex.module.000009",1,961,168,1129,3163,8729,8,8,16,0,1570,1593,1716,1579,1.99968384445147,0.760037938665824,120.125,0.502055011065444,0.257982927600379,3.05845881310895,14.3095238095238,9.45238095238095,30.3064658990257,102.380952380952,78.9523809523809,60.6035379812695,0.604422041470959,0.213655630656433,0.705138339920949,0.845337376800606,0.0934814984534311,1.94607843137255,0.654473085670963,0.851268553671073,0.600262094762527,0.660565723793677,0.705138339920949,0.993117480133075,1.94607843137255,0.503635788808094,0.499209611128675,0.554339897884756,0.320605196928411,0.344519864838714,0.351685242379482,0.719393985251802,0.621495703544576,"QuBE",13.99,"ok" "Umbrella_tbm_29.tex.module.000009",1,961,168,1129,3163,8729,8,8,16,0,1570,1593,1716,1579,1.99968384445147,0.760037938665824,120.125,0.502055011065444,0.257982927600379,3.05845881310895,14.3095238095238,9.45238095238095,30.3064658990257,102.380952380952,78.9523809523809,60.6035379812695,0.604422041470959,0.213655630656433,0.705138339920949,0.845337376800606,0.0934814984534311,1.94607843137255,0.654473085670963,0.851268553671073,0.600262094762527,0.660565723793677,0.705138339920949,0.993117480133075,1.94607843137255,0.503635788808094,0.499209611128675,0.554339897884756,0.320605196928411,0.344519864838714,0.351685242379482,0.719393985251802,0.621495703544576,"sKizzo",3.26,"ok" "Umbrella_tbm_29.tex.module.000009",1,961,168,1129,3163,8729,8,8,16,0,1570,1593,1716,1579,1.99968384445147,0.760037938665824,120.125,0.502055011065444,0.257982927600379,3.05845881310895,14.3095238095238,9.45238095238095,30.3064658990257,102.380952380952,78.9523809523809,60.6035379812695,0.604422041470959,0.213655630656433,0.705138339920949,0.845337376800606,0.0934814984534311,1.94607843137255,0.654473085670963,0.851268553671073,0.600262094762527,0.660565723793677,0.705138339920949,0.993117480133075,1.94607843137255,0.503635788808094,0.499209611128675,0.554339897884756,0.320605196928411,0.344519864838714,0.351685242379482,0.719393985251802,0.621495703544576,"sSolve",2033.68,"ok" "Umbrella_tbm_29.tex.module.000010",1,949,168,1117,3118,8606,8,8,16,0,1546,1572,1695,1555,1.99935856318153,0.760744066709429,118.625,0.501603592046183,0.259140474663246,3.04834377797672,14.1190476190476,9.30952380952381,30.1521933751119,100.857142857143,77.6190476190476,60.63645943098,0.60434580525215,0.213920520567046,0.704683991017004,0.844645260526822,0.0938879851266558,1.93564356435644,0.654681791963084,0.852613916284054,0.600823377323685,0.659359190556493,0.704683991017004,0.994171502643267,1.93564356435644,0.504169339320077,0.498717126363053,0.554568057980215,0.321296450677958,0.343291440260175,0.351810186132133,0.721314347644351,0.619024906538023,"X2clsQ",97.35,"ok" "Umbrella_tbm_29.tex.module.000010",1,949,168,1117,3118,8606,8,8,16,0,1546,1572,1695,1555,1.99935856318153,0.760744066709429,118.625,0.501603592046183,0.259140474663246,3.04834377797672,14.1190476190476,9.30952380952381,30.1521933751119,100.857142857143,77.6190476190476,60.63645943098,0.60434580525215,0.213920520567046,0.704683991017004,0.844645260526822,0.0938879851266558,1.93564356435644,0.654681791963084,0.852613916284054,0.600823377323685,0.659359190556493,0.704683991017004,0.994171502643267,1.93564356435644,0.504169339320077,0.498717126363053,0.554568057980215,0.321296450677958,0.343291440260175,0.351810186132133,0.721314347644351,0.619024906538023,"quantor",3600,"memout" "Umbrella_tbm_29.tex.module.000010",1,949,168,1117,3118,8606,8,8,16,0,1546,1572,1695,1555,1.99935856318153,0.760744066709429,118.625,0.501603592046183,0.259140474663246,3.04834377797672,14.1190476190476,9.30952380952381,30.1521933751119,100.857142857143,77.6190476190476,60.63645943098,0.60434580525215,0.213920520567046,0.704683991017004,0.844645260526822,0.0938879851266558,1.93564356435644,0.654681791963084,0.852613916284054,0.600823377323685,0.659359190556493,0.704683991017004,0.994171502643267,1.93564356435644,0.504169339320077,0.498717126363053,0.554568057980215,0.321296450677958,0.343291440260175,0.351810186132133,0.721314347644351,0.619024906538023,"QuBE",14.01,"ok" "Umbrella_tbm_29.tex.module.000010",1,949,168,1117,3118,8606,8,8,16,0,1546,1572,1695,1555,1.99935856318153,0.760744066709429,118.625,0.501603592046183,0.259140474663246,3.04834377797672,14.1190476190476,9.30952380952381,30.1521933751119,100.857142857143,77.6190476190476,60.63645943098,0.60434580525215,0.213920520567046,0.704683991017004,0.844645260526822,0.0938879851266558,1.93564356435644,0.654681791963084,0.852613916284054,0.600823377323685,0.659359190556493,0.704683991017004,0.994171502643267,1.93564356435644,0.504169339320077,0.498717126363053,0.554568057980215,0.321296450677958,0.343291440260175,0.351810186132133,0.721314347644351,0.619024906538023,"sKizzo",3.11,"ok" "Umbrella_tbm_29.tex.module.000010",1,949,168,1117,3118,8606,8,8,16,0,1546,1572,1695,1555,1.99935856318153,0.760744066709429,118.625,0.501603592046183,0.259140474663246,3.04834377797672,14.1190476190476,9.30952380952381,30.1521933751119,100.857142857143,77.6190476190476,60.63645943098,0.60434580525215,0.213920520567046,0.704683991017004,0.844645260526822,0.0938879851266558,1.93564356435644,0.654681791963084,0.852613916284054,0.600823377323685,0.659359190556493,0.704683991017004,0.994171502643267,1.93564356435644,0.504169339320077,0.498717126363053,0.554568057980215,0.321296450677958,0.343291440260175,0.351810186132133,0.721314347644351,0.619024906538023,"sSolve",2028.53,"ok" "Umbrella_tbm_29.tex.module.000078",1,632,156,788,1994,5530,8,8,16,0,954,1040,1147,963,1.95687061183551,0.816449348044132,79,0.493480441323972,0.32296890672016,2.80329949238579,10.4358974358974,6.30769230769231,25.4010152284264,66.7692307692308,46.5641025641026,61.9240506329114,0.600542495479204,0.221518987341772,0.686058431573552,0.806082505269497,0.116455696202532,1.52795031055901,0.665161096055405,0.879774303568567,0.603576578844965,0.604422604422604,0.686058431573552,1.00505223758285,1.52795031055901,0.521564694082247,0.482948846539619,0.564756023310429,0.345734660555368,0.346212947413261,0.358484201057011,0.794346801040181,0.61303099590486,"X2clsQ",66.17,"ok" "Umbrella_tbm_29.tex.module.000078",1,632,156,788,1994,5530,8,8,16,0,954,1040,1147,963,1.95687061183551,0.816449348044132,79,0.493480441323972,0.32296890672016,2.80329949238579,10.4358974358974,6.30769230769231,25.4010152284264,66.7692307692308,46.5641025641026,61.9240506329114,0.600542495479204,0.221518987341772,0.686058431573552,0.806082505269497,0.116455696202532,1.52795031055901,0.665161096055405,0.879774303568567,0.603576578844965,0.604422604422604,0.686058431573552,1.00505223758285,1.52795031055901,0.521564694082247,0.482948846539619,0.564756023310429,0.345734660555368,0.346212947413261,0.358484201057011,0.794346801040181,0.61303099590486,"quantor",3600,"memout" "Umbrella_tbm_29.tex.module.000078",1,632,156,788,1994,5530,8,8,16,0,954,1040,1147,963,1.95687061183551,0.816449348044132,79,0.493480441323972,0.32296890672016,2.80329949238579,10.4358974358974,6.30769230769231,25.4010152284264,66.7692307692308,46.5641025641026,61.9240506329114,0.600542495479204,0.221518987341772,0.686058431573552,0.806082505269497,0.116455696202532,1.52795031055901,0.665161096055405,0.879774303568567,0.603576578844965,0.604422604422604,0.686058431573552,1.00505223758285,1.52795031055901,0.521564694082247,0.482948846539619,0.564756023310429,0.345734660555368,0.346212947413261,0.358484201057011,0.794346801040181,0.61303099590486,"QuBE",12.83,"ok" "Umbrella_tbm_29.tex.module.000078",1,632,156,788,1994,5530,8,8,16,0,954,1040,1147,963,1.95687061183551,0.816449348044132,79,0.493480441323972,0.32296890672016,2.80329949238579,10.4358974358974,6.30769230769231,25.4010152284264,66.7692307692308,46.5641025641026,61.9240506329114,0.600542495479204,0.221518987341772,0.686058431573552,0.806082505269497,0.116455696202532,1.52795031055901,0.665161096055405,0.879774303568567,0.603576578844965,0.604422604422604,0.686058431573552,1.00505223758285,1.52795031055901,0.521564694082247,0.482948846539619,0.564756023310429,0.345734660555368,0.346212947413261,0.358484201057011,0.794346801040181,0.61303099590486,"sKizzo",3.73,"ok" "Umbrella_tbm_29.tex.module.000078",1,632,156,788,1994,5530,8,8,16,0,954,1040,1147,963,1.95687061183551,0.816449348044132,79,0.493480441323972,0.32296890672016,2.80329949238579,10.4358974358974,6.30769230769231,25.4010152284264,66.7692307692308,46.5641025641026,61.9240506329114,0.600542495479204,0.221518987341772,0.686058431573552,0.806082505269497,0.116455696202532,1.52795031055901,0.665161096055405,0.879774303568567,0.603576578844965,0.604422604422604,0.686058431573552,1.00505223758285,1.52795031055901,0.521564694082247,0.482948846539619,0.564756023310429,0.345734660555368,0.346212947413261,0.358484201057011,0.794346801040181,0.61303099590486,"sSolve",1683.84,"ok" "Umbrella_tbm_29.tex.moduleQ2.2S.000001",1,1763,168,1931,6763,19517,8,8,16,0,1936,4827,5265,1954,2.41860121247967,0.467248262605353,220.375,0.292178027502588,0.175070235102765,2.95753495598136,18.8095238095238,11.7619047619048,26.3987571206629,121.142857142857,88.9523809523809,122.023823028928,0.707383306860686,0.191371624737408,0.771657394387724,0.914240185426626,0.0606650612286724,1.66891891891892,0.413660727220049,0.917953227273598,0.708345395527747,0.625316455696203,0.771657394387724,1.00136006696473,1.66891891891892,0.7137365074671,0.288925033269259,0.682123169788731,0.246099907169499,0.38761698089228,0.257349370053995,0.774198946824575,0.568250717846652,"X2clsQ",482.32,"ok" "Umbrella_tbm_29.tex.moduleQ2.2S.000001",1,1763,168,1931,6763,19517,8,8,16,0,1936,4827,5265,1954,2.41860121247967,0.467248262605353,220.375,0.292178027502588,0.175070235102765,2.95753495598136,18.8095238095238,11.7619047619048,26.3987571206629,121.142857142857,88.9523809523809,122.023823028928,0.707383306860686,0.191371624737408,0.771657394387724,0.914240185426626,0.0606650612286724,1.66891891891892,0.413660727220049,0.917953227273598,0.708345395527747,0.625316455696203,0.771657394387724,1.00136006696473,1.66891891891892,0.7137365074671,0.288925033269259,0.682123169788731,0.246099907169499,0.38761698089228,0.257349370053995,0.774198946824575,0.568250717846652,"quantor",3600,"memout" "Umbrella_tbm_29.tex.moduleQ2.2S.000001",1,1763,168,1931,6763,19517,8,8,16,0,1936,4827,5265,1954,2.41860121247967,0.467248262605353,220.375,0.292178027502588,0.175070235102765,2.95753495598136,18.8095238095238,11.7619047619048,26.3987571206629,121.142857142857,88.9523809523809,122.023823028928,0.707383306860686,0.191371624737408,0.771657394387724,0.914240185426626,0.0606650612286724,1.66891891891892,0.413660727220049,0.917953227273598,0.708345395527747,0.625316455696203,0.771657394387724,1.00136006696473,1.66891891891892,0.7137365074671,0.288925033269259,0.682123169788731,0.246099907169499,0.38761698089228,0.257349370053995,0.774198946824575,0.568250717846652,"QuBE",389.28,"ok" "Umbrella_tbm_29.tex.moduleQ2.2S.000001",1,1763,168,1931,6763,19517,8,8,16,0,1936,4827,5265,1954,2.41860121247967,0.467248262605353,220.375,0.292178027502588,0.175070235102765,2.95753495598136,18.8095238095238,11.7619047619048,26.3987571206629,121.142857142857,88.9523809523809,122.023823028928,0.707383306860686,0.191371624737408,0.771657394387724,0.914240185426626,0.0606650612286724,1.66891891891892,0.413660727220049,0.917953227273598,0.708345395527747,0.625316455696203,0.771657394387724,1.00136006696473,1.66891891891892,0.7137365074671,0.288925033269259,0.682123169788731,0.246099907169499,0.38761698089228,0.257349370053995,0.774198946824575,0.568250717846652,"sKizzo",1156.55,"ok" "Umbrella_tbm_29.tex.moduleQ2.2S.000001",1,1763,168,1931,6763,19517,8,8,16,0,1936,4827,5265,1954,2.41860121247967,0.467248262605353,220.375,0.292178027502588,0.175070235102765,2.95753495598136,18.8095238095238,11.7619047619048,26.3987571206629,121.142857142857,88.9523809523809,122.023823028928,0.707383306860686,0.191371624737408,0.771657394387724,0.914240185426626,0.0606650612286724,1.66891891891892,0.413660727220049,0.917953227273598,0.708345395527747,0.625316455696203,0.771657394387724,1.00136006696473,1.66891891891892,0.7137365074671,0.288925033269259,0.682123169788731,0.246099907169499,0.38761698089228,0.257349370053995,0.774198946824575,0.568250717846652,"sSolve",3600,"timeout" "vis.arbiter.E-f4-shuffled",1,3637,32,3669,10816,25236,2,1,3,1,7210,3605,7703,5672,2.26386834319527,0.0693417159763314,1818.5,0.0346708579881657,0.0346708579881657,2.94794221858817,23.4375,11.71875,8.46688470973017,46.875,23.4375,48.0890844102282,0.571405928039309,0.413734347757172,0.573593073593074,0.973994452149792,0.0148597242035188,1,0.750069348127601,0.978817526476376,0.561442953498354,0.5,0.573593073593074,0.982564103639699,1,0.333302514792899,0.524408284023669,0.574113679361685,0.41926085551628,1.1789118409147,0.424330225917527,0.984443113570528,2.05344670105308,"X2clsQ",3600,"timeout" "vis.arbiter.E-f4-shuffled",1,3637,32,3669,10816,25236,2,1,3,1,7210,3605,7703,5672,2.26386834319527,0.0693417159763314,1818.5,0.0346708579881657,0.0346708579881657,2.94794221858817,23.4375,11.71875,8.46688470973017,46.875,23.4375,48.0890844102282,0.571405928039309,0.413734347757172,0.573593073593074,0.973994452149792,0.0148597242035188,1,0.750069348127601,0.978817526476376,0.561442953498354,0.5,0.573593073593074,0.982564103639699,1,0.333302514792899,0.524408284023669,0.574113679361685,0.41926085551628,1.1789118409147,0.424330225917527,0.984443113570528,2.05344670105308,"quantor",3600,"memout" "vis.arbiter.E-f4-shuffled",1,3637,32,3669,10816,25236,2,1,3,1,7210,3605,7703,5672,2.26386834319527,0.0693417159763314,1818.5,0.0346708579881657,0.0346708579881657,2.94794221858817,23.4375,11.71875,8.46688470973017,46.875,23.4375,48.0890844102282,0.571405928039309,0.413734347757172,0.573593073593074,0.973994452149792,0.0148597242035188,1,0.750069348127601,0.978817526476376,0.561442953498354,0.5,0.573593073593074,0.982564103639699,1,0.333302514792899,0.524408284023669,0.574113679361685,0.41926085551628,1.1789118409147,0.424330225917527,0.984443113570528,2.05344670105308,"QuBE",3600,"timeout" "vis.arbiter.E-f4-shuffled",1,3637,32,3669,10816,25236,2,1,3,1,7210,3605,7703,5672,2.26386834319527,0.0693417159763314,1818.5,0.0346708579881657,0.0346708579881657,2.94794221858817,23.4375,11.71875,8.46688470973017,46.875,23.4375,48.0890844102282,0.571405928039309,0.413734347757172,0.573593073593074,0.973994452149792,0.0148597242035188,1,0.750069348127601,0.978817526476376,0.561442953498354,0.5,0.573593073593074,0.982564103639699,1,0.333302514792899,0.524408284023669,0.574113679361685,0.41926085551628,1.1789118409147,0.424330225917527,0.984443113570528,2.05344670105308,"sKizzo",3600,"memout" "vis.arbiter.E-f4-shuffled",1,3637,32,3669,10816,25236,2,1,3,1,7210,3605,7703,5672,2.26386834319527,0.0693417159763314,1818.5,0.0346708579881657,0.0346708579881657,2.94794221858817,23.4375,11.71875,8.46688470973017,46.875,23.4375,48.0890844102282,0.571405928039309,0.413734347757172,0.573593073593074,0.973994452149792,0.0148597242035188,1,0.750069348127601,0.978817526476376,0.561442953498354,0.5,0.573593073593074,0.982564103639699,1,0.333302514792899,0.524408284023669,0.574113679361685,0.41926085551628,1.1789118409147,0.424330225917527,0.984443113570528,2.05344670105308,"sSolve",3600,"timeout" "vis.coherence^1.E-d4-shuffled",1,3676,18,3694,10957,25565,2,1,3,1,7304,3652,8022,5733,2.31897417176234,0.014237473761066,1838,0.00711873688053299,0.00711873688053299,2.96616134271792,8.66666666666667,4.33333333333333,8.81997834325934,17.3333333333333,8.66666666666667,58.9466811751904,0.571406219440642,0.425542734206924,0.571844621984336,0.994660460021906,0.00305104635243497,1,0.750068455640745,0.998764654392875,0.57113819624261,0.5,0.571844621984336,0.999530941055745,1,0.333302911380852,0.523227160719175,0.571950338303882,0.428086095026732,0.420609165955024,0.427753518990014,1.00008511472821,0.735394557510605,"X2clsQ",665.6,"ok" "vis.coherence^1.E-d4-shuffled",1,3676,18,3694,10957,25565,2,1,3,1,7304,3652,8022,5733,2.31897417176234,0.014237473761066,1838,0.00711873688053299,0.00711873688053299,2.96616134271792,8.66666666666667,4.33333333333333,8.81997834325934,17.3333333333333,8.66666666666667,58.9466811751904,0.571406219440642,0.425542734206924,0.571844621984336,0.994660460021906,0.00305104635243497,1,0.750068455640745,0.998764654392875,0.57113819624261,0.5,0.571844621984336,0.999530941055745,1,0.333302911380852,0.523227160719175,0.571950338303882,0.428086095026732,0.420609165955024,0.427753518990014,1.00008511472821,0.735394557510605,"quantor",3600,"memout" "vis.coherence^1.E-d4-shuffled",1,3676,18,3694,10957,25565,2,1,3,1,7304,3652,8022,5733,2.31897417176234,0.014237473761066,1838,0.00711873688053299,0.00711873688053299,2.96616134271792,8.66666666666667,4.33333333333333,8.81997834325934,17.3333333333333,8.66666666666667,58.9466811751904,0.571406219440642,0.425542734206924,0.571844621984336,0.994660460021906,0.00305104635243497,1,0.750068455640745,0.998764654392875,0.57113819624261,0.5,0.571844621984336,0.999530941055745,1,0.333302911380852,0.523227160719175,0.571950338303882,0.428086095026732,0.420609165955024,0.427753518990014,1.00008511472821,0.735394557510605,"QuBE",2062.37,"ok" "vis.coherence^1.E-d4-shuffled",1,3676,18,3694,10957,25565,2,1,3,1,7304,3652,8022,5733,2.31897417176234,0.014237473761066,1838,0.00711873688053299,0.00711873688053299,2.96616134271792,8.66666666666667,4.33333333333333,8.81997834325934,17.3333333333333,8.66666666666667,58.9466811751904,0.571406219440642,0.425542734206924,0.571844621984336,0.994660460021906,0.00305104635243497,1,0.750068455640745,0.998764654392875,0.57113819624261,0.5,0.571844621984336,0.999530941055745,1,0.333302911380852,0.523227160719175,0.571950338303882,0.428086095026732,0.420609165955024,0.427753518990014,1.00008511472821,0.735394557510605,"sKizzo",74.69,"ok" "vis.coherence^1.E-d4-shuffled",1,3676,18,3694,10957,25565,2,1,3,1,7304,3652,8022,5733,2.31897417176234,0.014237473761066,1838,0.00711873688053299,0.00711873688053299,2.96616134271792,8.66666666666667,4.33333333333333,8.81997834325934,17.3333333333333,8.66666666666667,58.9466811751904,0.571406219440642,0.425542734206924,0.571844621984336,0.994660460021906,0.00305104635243497,1,0.750068455640745,0.998764654392875,0.57113819624261,0.5,0.571844621984336,0.999530941055745,1,0.333302911380852,0.523227160719175,0.571950338303882,0.428086095026732,0.420609165955024,0.427753518990014,1.00008511472821,0.735394557510605,"sSolve",12.13,"ok" "vis.elevator^1.E-f2-shuffled",1,2865,48,2913,8458,19734,2,1,3,1,5638,2819,6154,4471,2.17947505320407,0.153700638448806,1432.5,0.0768503192244029,0.0768503192244029,2.90353587366976,27.0833333333333,13.5416666666667,8.1531067627875,54.1666666666667,27.0833333333333,39.2561954624782,0.571399614877876,0.395662308705787,0.576434848649235,0.942355445193331,0.0329380764163373,1,0.750088683930472,0.949774089632956,0.547482883608538,0.5,0.576434848649235,0.958143599248926,1,0.333293922913218,0.528611965003547,0.57554419701898,0.407944483941278,1.40997515816564,0.420774449900663,0.961100027555801,2.44981213513849,"X2clsQ",0.06,"ok" "vis.elevator^1.E-f2-shuffled",1,2865,48,2913,8458,19734,2,1,3,1,5638,2819,6154,4471,2.17947505320407,0.153700638448806,1432.5,0.0768503192244029,0.0768503192244029,2.90353587366976,27.0833333333333,13.5416666666667,8.1531067627875,54.1666666666667,27.0833333333333,39.2561954624782,0.571399614877876,0.395662308705787,0.576434848649235,0.942355445193331,0.0329380764163373,1,0.750088683930472,0.949774089632956,0.547482883608538,0.5,0.576434848649235,0.958143599248926,1,0.333293922913218,0.528611965003547,0.57554419701898,0.407944483941278,1.40997515816564,0.420774449900663,0.961100027555801,2.44981213513849,"quantor",3600,"memout" "vis.elevator^1.E-f2-shuffled",1,2865,48,2913,8458,19734,2,1,3,1,5638,2819,6154,4471,2.17947505320407,0.153700638448806,1432.5,0.0768503192244029,0.0768503192244029,2.90353587366976,27.0833333333333,13.5416666666667,8.1531067627875,54.1666666666667,27.0833333333333,39.2561954624782,0.571399614877876,0.395662308705787,0.576434848649235,0.942355445193331,0.0329380764163373,1,0.750088683930472,0.949774089632956,0.547482883608538,0.5,0.576434848649235,0.958143599248926,1,0.333293922913218,0.528611965003547,0.57554419701898,0.407944483941278,1.40997515816564,0.420774449900663,0.961100027555801,2.44981213513849,"QuBE",0.08,"ok" "vis.elevator^1.E-f2-shuffled",1,2865,48,2913,8458,19734,2,1,3,1,5638,2819,6154,4471,2.17947505320407,0.153700638448806,1432.5,0.0768503192244029,0.0768503192244029,2.90353587366976,27.0833333333333,13.5416666666667,8.1531067627875,54.1666666666667,27.0833333333333,39.2561954624782,0.571399614877876,0.395662308705787,0.576434848649235,0.942355445193331,0.0329380764163373,1,0.750088683930472,0.949774089632956,0.547482883608538,0.5,0.576434848649235,0.958143599248926,1,0.333293922913218,0.528611965003547,0.57554419701898,0.407944483941278,1.40997515816564,0.420774449900663,0.961100027555801,2.44981213513849,"sKizzo",168.71,"ok" "vis.elevator^1.E-f2-shuffled",1,2865,48,2913,8458,19734,2,1,3,1,5638,2819,6154,4471,2.17947505320407,0.153700638448806,1432.5,0.0768503192244029,0.0768503192244029,2.90353587366976,27.0833333333333,13.5416666666667,8.1531067627875,54.1666666666667,27.0833333333333,39.2561954624782,0.571399614877876,0.395662308705787,0.576434848649235,0.942355445193331,0.0329380764163373,1,0.750088683930472,0.949774089632956,0.547482883608538,0.5,0.576434848649235,0.958143599248926,1,0.333293922913218,0.528611965003547,0.57554419701898,0.407944483941278,1.40997515816564,0.420774449900663,0.961100027555801,2.44981213513849,"sSolve",3600,"timeout" "vis.emodel.E-f4-shuffled",1,2909,31,2940,8626,20126,2,1,3,1,5750,2875,6293,4579,2.26431718061674,0.0688615812659402,1454.5,0.0344307906329701,0.0344307906329701,2.93401360544218,19.1612903225806,9.58064516129032,8.45136054421769,38.3225806451613,19.1612903225806,56.62942591956,0.571400178873099,0.413842790420352,0.573571574851526,0.974173913043478,0.0147570307065487,1,0.750086956521739,0.980828002559468,0.562575062086511,0.5,0.573571574851526,0.98455527822201,1,0.33329469047067,0.530837004405286,0.573924823376089,0.420321251593746,0.966016077035241,0.424537879848761,0.986495516880946,1.6831752830496,"X2clsQ",0.05,"ok" "vis.emodel.E-f4-shuffled",1,2909,31,2940,8626,20126,2,1,3,1,5750,2875,6293,4579,2.26431718061674,0.0688615812659402,1454.5,0.0344307906329701,0.0344307906329701,2.93401360544218,19.1612903225806,9.58064516129032,8.45136054421769,38.3225806451613,19.1612903225806,56.62942591956,0.571400178873099,0.413842790420352,0.573571574851526,0.974173913043478,0.0147570307065487,1,0.750086956521739,0.980828002559468,0.562575062086511,0.5,0.573571574851526,0.98455527822201,1,0.33329469047067,0.530837004405286,0.573924823376089,0.420321251593746,0.966016077035241,0.424537879848761,0.986495516880946,1.6831752830496,"quantor",3600,"memout" "vis.emodel.E-f4-shuffled",1,2909,31,2940,8626,20126,2,1,3,1,5750,2875,6293,4579,2.26431718061674,0.0688615812659402,1454.5,0.0344307906329701,0.0344307906329701,2.93401360544218,19.1612903225806,9.58064516129032,8.45136054421769,38.3225806451613,19.1612903225806,56.62942591956,0.571400178873099,0.413842790420352,0.573571574851526,0.974173913043478,0.0147570307065487,1,0.750086956521739,0.980828002559468,0.562575062086511,0.5,0.573571574851526,0.98455527822201,1,0.33329469047067,0.530837004405286,0.573924823376089,0.420321251593746,0.966016077035241,0.424537879848761,0.986495516880946,1.6831752830496,"QuBE",0.13,"ok" "vis.emodel.E-f4-shuffled",1,2909,31,2940,8626,20126,2,1,3,1,5750,2875,6293,4579,2.26431718061674,0.0688615812659402,1454.5,0.0344307906329701,0.0344307906329701,2.93401360544218,19.1612903225806,9.58064516129032,8.45136054421769,38.3225806451613,19.1612903225806,56.62942591956,0.571400178873099,0.413842790420352,0.573571574851526,0.974173913043478,0.0147570307065487,1,0.750086956521739,0.980828002559468,0.562575062086511,0.5,0.573571574851526,0.98455527822201,1,0.33329469047067,0.530837004405286,0.573924823376089,0.420321251593746,0.966016077035241,0.424537879848761,0.986495516880946,1.6831752830496,"sKizzo",0.74,"ok" "vis.emodel.E-f4-shuffled",1,2909,31,2940,8626,20126,2,1,3,1,5750,2875,6293,4579,2.26431718061674,0.0688615812659402,1454.5,0.0344307906329701,0.0344307906329701,2.93401360544218,19.1612903225806,9.58064516129032,8.45136054421769,38.3225806451613,19.1612903225806,56.62942591956,0.571400178873099,0.413842790420352,0.573571574851526,0.974173913043478,0.0147570307065487,1,0.750086956521739,0.980828002559468,0.562575062086511,0.5,0.573571574851526,0.98455527822201,1,0.33329469047067,0.530837004405286,0.573924823376089,0.420321251593746,0.966016077035241,0.424537879848761,0.986495516880946,1.6831752830496,"sSolve",3600,"timeout" "vis.prodcell^01.E-d4-shuffled",1,6020,15,6035,18016,42036,2,1,3,1,12010,6005,13075,9491,2.31338809946714,0.0198712255772647,3010,0.00993561278863233,0.00993561278863233,2.98525269262635,23.8666666666667,11.9333333333333,8.87506213753107,47.7333333333333,23.8666666666667,77.263122923588,0.571414977638215,0.424326767532591,0.57202840827295,0.992547876769359,0.00425825482919402,1,0.750041631973356,0.993953964154755,0.568569904012033,0.5,0.57202840827295,0.995021002708153,1,0.333314831261101,0.526809502664298,0.571970847251746,0.426227608920181,1.1510487424148,0.427614975325114,0.99579107213491,2.0124255422203,"X2clsQ",225.71,"ok" "vis.prodcell^01.E-d4-shuffled",1,6020,15,6035,18016,42036,2,1,3,1,12010,6005,13075,9491,2.31338809946714,0.0198712255772647,3010,0.00993561278863233,0.00993561278863233,2.98525269262635,23.8666666666667,11.9333333333333,8.87506213753107,47.7333333333333,23.8666666666667,77.263122923588,0.571414977638215,0.424326767532591,0.57202840827295,0.992547876769359,0.00425825482919402,1,0.750041631973356,0.993953964154755,0.568569904012033,0.5,0.57202840827295,0.995021002708153,1,0.333314831261101,0.526809502664298,0.571970847251746,0.426227608920181,1.1510487424148,0.427614975325114,0.99579107213491,2.0124255422203,"quantor",3600,"memout" "vis.prodcell^01.E-d4-shuffled",1,6020,15,6035,18016,42036,2,1,3,1,12010,6005,13075,9491,2.31338809946714,0.0198712255772647,3010,0.00993561278863233,0.00993561278863233,2.98525269262635,23.8666666666667,11.9333333333333,8.87506213753107,47.7333333333333,23.8666666666667,77.263122923588,0.571414977638215,0.424326767532591,0.57202840827295,0.992547876769359,0.00425825482919402,1,0.750041631973356,0.993953964154755,0.568569904012033,0.5,0.57202840827295,0.995021002708153,1,0.333314831261101,0.526809502664298,0.571970847251746,0.426227608920181,1.1510487424148,0.427614975325114,0.99579107213491,2.0124255422203,"QuBE",77.43,"ok" "vis.prodcell^01.E-d4-shuffled",1,6020,15,6035,18016,42036,2,1,3,1,12010,6005,13075,9491,2.31338809946714,0.0198712255772647,3010,0.00993561278863233,0.00993561278863233,2.98525269262635,23.8666666666667,11.9333333333333,8.87506213753107,47.7333333333333,23.8666666666667,77.263122923588,0.571414977638215,0.424326767532591,0.57202840827295,0.992547876769359,0.00425825482919402,1,0.750041631973356,0.993953964154755,0.568569904012033,0.5,0.57202840827295,0.995021002708153,1,0.333314831261101,0.526809502664298,0.571970847251746,0.426227608920181,1.1510487424148,0.427614975325114,0.99579107213491,2.0124255422203,"sKizzo",1760.69,"ok" "vis.prodcell^01.E-d4-shuffled",1,6020,15,6035,18016,42036,2,1,3,1,12010,6005,13075,9491,2.31338809946714,0.0198712255772647,3010,0.00993561278863233,0.00993561278863233,2.98525269262635,23.8666666666667,11.9333333333333,8.87506213753107,47.7333333333333,23.8666666666667,77.263122923588,0.571414977638215,0.424326767532591,0.57202840827295,0.992547876769359,0.00425825482919402,1,0.750041631973356,0.993953964154755,0.568569904012033,0.5,0.57202840827295,0.995021002708153,1,0.333314831261101,0.526809502664298,0.571970847251746,0.426227608920181,1.1510487424148,0.427614975325114,0.99579107213491,2.0124255422203,"sSolve",1.98,"ok" "vis.prodcell^04.E-f4-shuffled",1,13306,61,13367,39736,92716,2,1,3,1,26490,13245,29020,20875,2.27592107912221,0.0573786994161466,6653,0.0286893497080733,0.0286893497080733,2.97269394778185,37.3770491803279,18.6885245901639,8.72132864517094,74.7540983606557,37.3770491803279,68.5461445964227,0.571422408214332,0.416281979377885,0.573223052766597,0.978482446206115,0.0122956124077829,1,0.750018875047188,0.979880437238113,0.561690055579899,0.5,0.573223052766597,0.982968199191128,1,0.333324944634588,0.525342258908798,0.572799097065463,0.420765982837007,1.83085740814342,0.425963745863272,0.984937016627709,3.19633431254202,"X2clsQ",3600,"memout" "vis.prodcell^04.E-f4-shuffled",1,13306,61,13367,39736,92716,2,1,3,1,26490,13245,29020,20875,2.27592107912221,0.0573786994161466,6653,0.0286893497080733,0.0286893497080733,2.97269394778185,37.3770491803279,18.6885245901639,8.72132864517094,74.7540983606557,37.3770491803279,68.5461445964227,0.571422408214332,0.416281979377885,0.573223052766597,0.978482446206115,0.0122956124077829,1,0.750018875047188,0.979880437238113,0.561690055579899,0.5,0.573223052766597,0.982968199191128,1,0.333324944634588,0.525342258908798,0.572799097065463,0.420765982837007,1.83085740814342,0.425963745863272,0.984937016627709,3.19633431254202,"quantor",3600,"memout" "vis.prodcell^04.E-f4-shuffled",1,13306,61,13367,39736,92716,2,1,3,1,26490,13245,29020,20875,2.27592107912221,0.0573786994161466,6653,0.0286893497080733,0.0286893497080733,2.97269394778185,37.3770491803279,18.6885245901639,8.72132864517094,74.7540983606557,37.3770491803279,68.5461445964227,0.571422408214332,0.416281979377885,0.573223052766597,0.978482446206115,0.0122956124077829,1,0.750018875047188,0.979880437238113,0.561690055579899,0.5,0.573223052766597,0.982968199191128,1,0.333324944634588,0.525342258908798,0.572799097065463,0.420765982837007,1.83085740814342,0.425963745863272,0.984937016627709,3.19633431254202,"QuBE",1.56,"ok" "vis.prodcell^04.E-f4-shuffled",1,13306,61,13367,39736,92716,2,1,3,1,26490,13245,29020,20875,2.27592107912221,0.0573786994161466,6653,0.0286893497080733,0.0286893497080733,2.97269394778185,37.3770491803279,18.6885245901639,8.72132864517094,74.7540983606557,37.3770491803279,68.5461445964227,0.571422408214332,0.416281979377885,0.573223052766597,0.978482446206115,0.0122956124077829,1,0.750018875047188,0.979880437238113,0.561690055579899,0.5,0.573223052766597,0.982968199191128,1,0.333324944634588,0.525342258908798,0.572799097065463,0.420765982837007,1.83085740814342,0.425963745863272,0.984937016627709,3.19633431254202,"sKizzo",3600,"memout" "vis.prodcell^04.E-f4-shuffled",1,13306,61,13367,39736,92716,2,1,3,1,26490,13245,29020,20875,2.27592107912221,0.0573786994161466,6653,0.0286893497080733,0.0286893497080733,2.97269394778185,37.3770491803279,18.6885245901639,8.72132864517094,74.7540983606557,37.3770491803279,68.5461445964227,0.571422408214332,0.416281979377885,0.573223052766597,0.978482446206115,0.0122956124077829,1,0.750018875047188,0.979880437238113,0.561690055579899,0.5,0.573223052766597,0.982968199191128,1,0.333324944634588,0.525342258908798,0.572799097065463,0.420765982837007,1.83085740814342,0.425963745863272,0.984937016627709,3.19633431254202,"sSolve",2693.1,"ok" "vis.prodcell^05.E-f4-shuffled",1,13694,61,13755,40900,95432,2,1,3,1,27266,13633,29850,21469,2.27765281173594,0.0556479217603912,6847,0.0278239608801956,0.0278239608801956,2.97346419483824,37.3114754098361,18.655737704918,8.71668484187568,74.6229508196721,37.3114754098361,68.5232948736673,0.57142258361975,0.41665269511275,0.573167589849285,0.979131519108047,0.0119247212674994,1,0.750018337856671,0.980498825612027,0.5619901487261,0.5,0.573167589849285,0.983493065965472,1,0.333325183374083,0.524914425427873,0.572848531486469,0.420909565860006,1.82840745109335,0.425950604765699,0.98538714457603,3.1917816850274,"X2clsQ",3600,"memout" "vis.prodcell^05.E-f4-shuffled",1,13694,61,13755,40900,95432,2,1,3,1,27266,13633,29850,21469,2.27765281173594,0.0556479217603912,6847,0.0278239608801956,0.0278239608801956,2.97346419483824,37.3114754098361,18.655737704918,8.71668484187568,74.6229508196721,37.3114754098361,68.5232948736673,0.57142258361975,0.41665269511275,0.573167589849285,0.979131519108047,0.0119247212674994,1,0.750018337856671,0.980498825612027,0.5619901487261,0.5,0.573167589849285,0.983493065965472,1,0.333325183374083,0.524914425427873,0.572848531486469,0.420909565860006,1.82840745109335,0.425950604765699,0.98538714457603,3.1917816850274,"quantor",3600,"memout" "vis.prodcell^05.E-f4-shuffled",1,13694,61,13755,40900,95432,2,1,3,1,27266,13633,29850,21469,2.27765281173594,0.0556479217603912,6847,0.0278239608801956,0.0278239608801956,2.97346419483824,37.3114754098361,18.655737704918,8.71668484187568,74.6229508196721,37.3114754098361,68.5232948736673,0.57142258361975,0.41665269511275,0.573167589849285,0.979131519108047,0.0119247212674994,1,0.750018337856671,0.980498825612027,0.5619901487261,0.5,0.573167589849285,0.983493065965472,1,0.333325183374083,0.524914425427873,0.572848531486469,0.420909565860006,1.82840745109335,0.425950604765699,0.98538714457603,3.1917816850274,"QuBE",1.14,"ok" "vis.prodcell^05.E-f4-shuffled",1,13694,61,13755,40900,95432,2,1,3,1,27266,13633,29850,21469,2.27765281173594,0.0556479217603912,6847,0.0278239608801956,0.0278239608801956,2.97346419483824,37.3114754098361,18.655737704918,8.71668484187568,74.6229508196721,37.3114754098361,68.5232948736673,0.57142258361975,0.41665269511275,0.573167589849285,0.979131519108047,0.0119247212674994,1,0.750018337856671,0.980498825612027,0.5619901487261,0.5,0.573167589849285,0.983493065965472,1,0.333325183374083,0.524914425427873,0.572848531486469,0.420909565860006,1.82840745109335,0.425950604765699,0.98538714457603,3.1917816850274,"sKizzo",3600,"memout" "vis.prodcell^05.E-f4-shuffled",1,13694,61,13755,40900,95432,2,1,3,1,27266,13633,29850,21469,2.27765281173594,0.0556479217603912,6847,0.0278239608801956,0.0278239608801956,2.97346419483824,37.3114754098361,18.655737704918,8.71668484187568,74.6229508196721,37.3114754098361,68.5232948736673,0.57142258361975,0.41665269511275,0.573167589849285,0.979131519108047,0.0119247212674994,1,0.750018337856671,0.980498825612027,0.5619901487261,0.5,0.573167589849285,0.983493065965472,1,0.333325183374083,0.524914425427873,0.572848531486469,0.420909565860006,1.82840745109335,0.425950604765699,0.98538714457603,3.1917816850274,"sSolve",3600,"timeout" "vis.prodcell^08.E-f4-shuffled",1,17823,61,17884,53287,124335,2,1,3,1,35524,17762,38925,28011,2.29059620545349,0.042712106142211,8911.5,0.0213560530711055,0.0213560530711055,2.97959069559383,37.3114754098361,18.655737704918,8.7441847461418,74.6229508196721,37.3114754098361,70.4661953655389,0.571423975549926,0.419423332126915,0.572755798425352,0.983982659610404,0.00915269232315921,1,0.750014074991555,0.985054508274995,0.564195681379537,0.5,0.572755798425352,0.987350383463641,1,0.333327077898925,0.525662919661456,0.572782034995697,0.422441041752564,1.82294096690163,0.426301477483658,0.988818533762524,3.18260848896095,"X2clsQ",3600,"memout" "vis.prodcell^08.E-f4-shuffled",1,17823,61,17884,53287,124335,2,1,3,1,35524,17762,38925,28011,2.29059620545349,0.042712106142211,8911.5,0.0213560530711055,0.0213560530711055,2.97959069559383,37.3114754098361,18.655737704918,8.7441847461418,74.6229508196721,37.3114754098361,70.4661953655389,0.571423975549926,0.419423332126915,0.572755798425352,0.983982659610404,0.00915269232315921,1,0.750014074991555,0.985054508274995,0.564195681379537,0.5,0.572755798425352,0.987350383463641,1,0.333327077898925,0.525662919661456,0.572782034995697,0.422441041752564,1.82294096690163,0.426301477483658,0.988818533762524,3.18260848896095,"quantor",3600,"memout" "vis.prodcell^08.E-f4-shuffled",1,17823,61,17884,53287,124335,2,1,3,1,35524,17762,38925,28011,2.29059620545349,0.042712106142211,8911.5,0.0213560530711055,0.0213560530711055,2.97959069559383,37.3114754098361,18.655737704918,8.7441847461418,74.6229508196721,37.3114754098361,70.4661953655389,0.571423975549926,0.419423332126915,0.572755798425352,0.983982659610404,0.00915269232315921,1,0.750014074991555,0.985054508274995,0.564195681379537,0.5,0.572755798425352,0.987350383463641,1,0.333327077898925,0.525662919661456,0.572782034995697,0.422441041752564,1.82294096690163,0.426301477483658,0.988818533762524,3.18260848896095,"QuBE",7.45,"ok" "vis.prodcell^08.E-f4-shuffled",1,17823,61,17884,53287,124335,2,1,3,1,35524,17762,38925,28011,2.29059620545349,0.042712106142211,8911.5,0.0213560530711055,0.0213560530711055,2.97959069559383,37.3114754098361,18.655737704918,8.7441847461418,74.6229508196721,37.3114754098361,70.4661953655389,0.571423975549926,0.419423332126915,0.572755798425352,0.983982659610404,0.00915269232315921,1,0.750014074991555,0.985054508274995,0.564195681379537,0.5,0.572755798425352,0.987350383463641,1,0.333327077898925,0.525662919661456,0.572782034995697,0.422441041752564,1.82294096690163,0.426301477483658,0.988818533762524,3.18260848896095,"sKizzo",3600,"memout" "vis.prodcell^08.E-f4-shuffled",1,17823,61,17884,53287,124335,2,1,3,1,35524,17762,38925,28011,2.29059620545349,0.042712106142211,8911.5,0.0213560530711055,0.0213560530711055,2.97959069559383,37.3114754098361,18.655737704918,8.7441847461418,74.6229508196721,37.3114754098361,70.4661953655389,0.571423975549926,0.419423332126915,0.572755798425352,0.983982659610404,0.00915269232315921,1,0.750014074991555,0.985054508274995,0.564195681379537,0.5,0.572755798425352,0.987350383463641,1,0.333327077898925,0.525662919661456,0.572782034995697,0.422441041752564,1.82294096690163,0.426301477483658,0.988818533762524,3.18260848896095,"sSolve",3600,"timeout" "vis.prodcell^09.E-f2-shuffled",1,5418,61,5479,16072,37500,2,1,3,1,10714,5357,11762,8497,2.1913887506222,0.14186162269786,2709,0.0709308113489298,0.0709308113489298,2.93338200401533,37.3770491803279,18.6885245901639,8.42197481292207,74.7540983606557,37.3770491803279,54.8870431893688,0.571413333333333,0.398186666666667,0.576036342986939,0.946798581295501,0.0304,1,0.750046667911144,0.949774234034699,0.547104476436569,0.5,0.576036342986939,0.957458365987089,1,0.333312593330015,0.528683424589348,0.574144486692015,0.409371465898315,1.88996319962915,0.422598173340013,0.961291924386222,3.29179020862561,"X2clsQ",3600,"memout" "vis.prodcell^09.E-f2-shuffled",1,5418,61,5479,16072,37500,2,1,3,1,10714,5357,11762,8497,2.1913887506222,0.14186162269786,2709,0.0709308113489298,0.0709308113489298,2.93338200401533,37.3770491803279,18.6885245901639,8.42197481292207,74.7540983606557,37.3770491803279,54.8870431893688,0.571413333333333,0.398186666666667,0.576036342986939,0.946798581295501,0.0304,1,0.750046667911144,0.949774234034699,0.547104476436569,0.5,0.576036342986939,0.957458365987089,1,0.333312593330015,0.528683424589348,0.574144486692015,0.409371465898315,1.88996319962915,0.422598173340013,0.961291924386222,3.29179020862561,"quantor",3600,"memout" "vis.prodcell^09.E-f2-shuffled",1,5418,61,5479,16072,37500,2,1,3,1,10714,5357,11762,8497,2.1913887506222,0.14186162269786,2709,0.0709308113489298,0.0709308113489298,2.93338200401533,37.3770491803279,18.6885245901639,8.42197481292207,74.7540983606557,37.3770491803279,54.8870431893688,0.571413333333333,0.398186666666667,0.576036342986939,0.946798581295501,0.0304,1,0.750046667911144,0.949774234034699,0.547104476436569,0.5,0.576036342986939,0.957458365987089,1,0.333312593330015,0.528683424589348,0.574144486692015,0.409371465898315,1.88996319962915,0.422598173340013,0.961291924386222,3.29179020862561,"QuBE",0.32,"ok" "vis.prodcell^09.E-f2-shuffled",1,5418,61,5479,16072,37500,2,1,3,1,10714,5357,11762,8497,2.1913887506222,0.14186162269786,2709,0.0709308113489298,0.0709308113489298,2.93338200401533,37.3770491803279,18.6885245901639,8.42197481292207,74.7540983606557,37.3770491803279,54.8870431893688,0.571413333333333,0.398186666666667,0.576036342986939,0.946798581295501,0.0304,1,0.750046667911144,0.949774234034699,0.547104476436569,0.5,0.576036342986939,0.957458365987089,1,0.333312593330015,0.528683424589348,0.574144486692015,0.409371465898315,1.88996319962915,0.422598173340013,0.961291924386222,3.29179020862561,"sKizzo",3600,"memout" "vis.prodcell^09.E-f2-shuffled",1,5418,61,5479,16072,37500,2,1,3,1,10714,5357,11762,8497,2.1913887506222,0.14186162269786,2709,0.0709308113489298,0.0709308113489298,2.93338200401533,37.3770491803279,18.6885245901639,8.42197481292207,74.7540983606557,37.3770491803279,54.8870431893688,0.571413333333333,0.398186666666667,0.576036342986939,0.946798581295501,0.0304,1,0.750046667911144,0.949774234034699,0.547104476436569,0.5,0.576036342986939,0.957458365987089,1,0.333312593330015,0.528683424589348,0.574144486692015,0.409371465898315,1.88996319962915,0.422598173340013,0.961291924386222,3.29179020862561,"sSolve",3600,"timeout" "vis.prodcell^23.E-f4-shuffled",1,17404,61,17465,52030,121402,2,1,3,1,34686,17343,38018,27329,2.28960215260427,0.0437055544877955,8702,0.0218527772438978,0.0218527772438978,2.97910105926138,37.2786885245902,18.6393442622951,8.74188376753507,74.5573770491803,37.2786885245902,69.4022063893358,0.571423864516235,0.419210556662987,0.572787254046068,0.983610102058467,0.00936557882077725,1,0.750014415037767,0.984708132141476,0.564028267046149,0.5,0.572787254046068,0.987057597819532,1,0.333326926773016,0.525254660772631,0.572788068722368,0.422324007220042,1.82179275583963,0.426273693996009,0.988558549750773,3.18057036331645,"X2clsQ",3600,"timeout" "vis.prodcell^23.E-f4-shuffled",1,17404,61,17465,52030,121402,2,1,3,1,34686,17343,38018,27329,2.28960215260427,0.0437055544877955,8702,0.0218527772438978,0.0218527772438978,2.97910105926138,37.2786885245902,18.6393442622951,8.74188376753507,74.5573770491803,37.2786885245902,69.4022063893358,0.571423864516235,0.419210556662987,0.572787254046068,0.983610102058467,0.00936557882077725,1,0.750014415037767,0.984708132141476,0.564028267046149,0.5,0.572787254046068,0.987057597819532,1,0.333326926773016,0.525254660772631,0.572788068722368,0.422324007220042,1.82179275583963,0.426273693996009,0.988558549750773,3.18057036331645,"quantor",3600,"memout" "vis.prodcell^23.E-f4-shuffled",1,17404,61,17465,52030,121402,2,1,3,1,34686,17343,38018,27329,2.28960215260427,0.0437055544877955,8702,0.0218527772438978,0.0218527772438978,2.97910105926138,37.2786885245902,18.6393442622951,8.74188376753507,74.5573770491803,37.2786885245902,69.4022063893358,0.571423864516235,0.419210556662987,0.572787254046068,0.983610102058467,0.00936557882077725,1,0.750014415037767,0.984708132141476,0.564028267046149,0.5,0.572787254046068,0.987057597819532,1,0.333326926773016,0.525254660772631,0.572788068722368,0.422324007220042,1.82179275583963,0.426273693996009,0.988558549750773,3.18057036331645,"QuBE",3600,"timeout" "vis.prodcell^23.E-f4-shuffled",1,17404,61,17465,52030,121402,2,1,3,1,34686,17343,38018,27329,2.28960215260427,0.0437055544877955,8702,0.0218527772438978,0.0218527772438978,2.97910105926138,37.2786885245902,18.6393442622951,8.74188376753507,74.5573770491803,37.2786885245902,69.4022063893358,0.571423864516235,0.419210556662987,0.572787254046068,0.983610102058467,0.00936557882077725,1,0.750014415037767,0.984708132141476,0.564028267046149,0.5,0.572787254046068,0.987057597819532,1,0.333326926773016,0.525254660772631,0.572788068722368,0.422324007220042,1.82179275583963,0.426273693996009,0.988558549750773,3.18057036331645,"sKizzo",3600,"memout" "vis.prodcell^23.E-f4-shuffled",1,17404,61,17465,52030,121402,2,1,3,1,34686,17343,38018,27329,2.28960215260427,0.0437055544877955,8702,0.0218527772438978,0.0218527772438978,2.97910105926138,37.2786885245902,18.6393442622951,8.74188376753507,74.5573770491803,37.2786885245902,69.4022063893358,0.571423864516235,0.419210556662987,0.572787254046068,0.983610102058467,0.00936557882077725,1,0.750014415037767,0.984708132141476,0.564028267046149,0.5,0.572787254046068,0.987057597819532,1,0.333326926773016,0.525254660772631,0.572788068722368,0.422324007220042,1.82179275583963,0.426273693996009,0.988558549750773,3.18057036331645,"sSolve",3600,"timeout" "vis.prodcell^24.E-f3-shuffled",1,11014,61,11075,32860,76672,2,1,3,1,21906,10953,24001,17279,2.26402921485088,0.0692635423006695,5507,0.0346317711503348,0.0346317711503348,2.96704288939052,37.3114754098361,18.655737704918,8.64433408577878,74.6229508196721,37.3114754098361,64.3830579262757,0.571421118530885,0.41373643572621,0.573606107855261,0.974025381174108,0.0148424457429048,1,0.750022824796859,0.975689107208522,0.559661231262655,0.5,0.573606107855261,0.979419928863559,1,0.333323189287888,0.525836883749239,0.573232053065155,0.418929511222403,1.8420553393421,0.425251164822365,0.98163302617092,3.21345488182729,"X2clsQ",3600,"timeout" "vis.prodcell^24.E-f3-shuffled",1,11014,61,11075,32860,76672,2,1,3,1,21906,10953,24001,17279,2.26402921485088,0.0692635423006695,5507,0.0346317711503348,0.0346317711503348,2.96704288939052,37.3114754098361,18.655737704918,8.64433408577878,74.6229508196721,37.3114754098361,64.3830579262757,0.571421118530885,0.41373643572621,0.573606107855261,0.974025381174108,0.0148424457429048,1,0.750022824796859,0.975689107208522,0.559661231262655,0.5,0.573606107855261,0.979419928863559,1,0.333323189287888,0.525836883749239,0.573232053065155,0.418929511222403,1.8420553393421,0.425251164822365,0.98163302617092,3.21345488182729,"quantor",3600,"memout" "vis.prodcell^24.E-f3-shuffled",1,11014,61,11075,32860,76672,2,1,3,1,21906,10953,24001,17279,2.26402921485088,0.0692635423006695,5507,0.0346317711503348,0.0346317711503348,2.96704288939052,37.3114754098361,18.655737704918,8.64433408577878,74.6229508196721,37.3114754098361,64.3830579262757,0.571421118530885,0.41373643572621,0.573606107855261,0.974025381174108,0.0148424457429048,1,0.750022824796859,0.975689107208522,0.559661231262655,0.5,0.573606107855261,0.979419928863559,1,0.333323189287888,0.525836883749239,0.573232053065155,0.418929511222403,1.8420553393421,0.425251164822365,0.98163302617092,3.21345488182729,"QuBE",3600,"timeout" "vis.prodcell^24.E-f3-shuffled",1,11014,61,11075,32860,76672,2,1,3,1,21906,10953,24001,17279,2.26402921485088,0.0692635423006695,5507,0.0346317711503348,0.0346317711503348,2.96704288939052,37.3114754098361,18.655737704918,8.64433408577878,74.6229508196721,37.3114754098361,64.3830579262757,0.571421118530885,0.41373643572621,0.573606107855261,0.974025381174108,0.0148424457429048,1,0.750022824796859,0.975689107208522,0.559661231262655,0.5,0.573606107855261,0.979419928863559,1,0.333323189287888,0.525836883749239,0.573232053065155,0.418929511222403,1.8420553393421,0.425251164822365,0.98163302617092,3.21345488182729,"sKizzo",3600,"memout" "vis.prodcell^24.E-f3-shuffled",1,11014,61,11075,32860,76672,2,1,3,1,21906,10953,24001,17279,2.26402921485088,0.0692635423006695,5507,0.0346317711503348,0.0346317711503348,2.96704288939052,37.3114754098361,18.655737704918,8.64433408577878,74.6229508196721,37.3114754098361,64.3830579262757,0.571421118530885,0.41373643572621,0.573606107855261,0.974025381174108,0.0148424457429048,1,0.750022824796859,0.975689107208522,0.559661231262655,0.5,0.573606107855261,0.979419928863559,1,0.333323189287888,0.525836883749239,0.573232053065155,0.418929511222403,1.8420553393421,0.425251164822365,0.98163302617092,3.21345488182729,"sSolve",3600,"timeout" "vonNeumann-ripple-carry-13-c-shuffled",1,764006,364,764370,1122585,2621145,2,1,3,1,746608,375976,1036976,817700,2.32489032010939,0.0100286392567155,382003,0.00501431962835776,0.00501431962835776,1.47037037037037,30.9285714285714,15.4642857142857,4.23133037665005,61.8571428571429,30.9285714285714,270.635250246726,0.571215251350078,0.42663721388935,0.57152244522464,0.996240410676192,0.00214753476057219,1,0.750653536712266,0.996179320201835,0.569338840963972,0.5,0.57152244522464,0.99671505552124,1,0.334919850167248,0.728408093819176,0.573794695491303,0.424924116362014,3.11531363196683,0.425985698019517,0.996993964802573,5.42931758771208,"X2clsQ",3600,"memout" "vonNeumann-ripple-carry-13-c-shuffled",1,764006,364,764370,1122585,2621145,2,1,3,1,746608,375976,1036976,817700,2.32489032010939,0.0100286392567155,382003,0.00501431962835776,0.00501431962835776,1.47037037037037,30.9285714285714,15.4642857142857,4.23133037665005,61.8571428571429,30.9285714285714,270.635250246726,0.571215251350078,0.42663721388935,0.57152244522464,0.996240410676192,0.00214753476057219,1,0.750653536712266,0.996179320201835,0.569338840963972,0.5,0.57152244522464,0.99671505552124,1,0.334919850167248,0.728408093819176,0.573794695491303,0.424924116362014,3.11531363196683,0.425985698019517,0.996993964802573,5.42931758771208,"quantor",8.6,"ok" "vonNeumann-ripple-carry-13-c-shuffled",1,764006,364,764370,1122585,2621145,2,1,3,1,746608,375976,1036976,817700,2.32489032010939,0.0100286392567155,382003,0.00501431962835776,0.00501431962835776,1.47037037037037,30.9285714285714,15.4642857142857,4.23133037665005,61.8571428571429,30.9285714285714,270.635250246726,0.571215251350078,0.42663721388935,0.57152244522464,0.996240410676192,0.00214753476057219,1,0.750653536712266,0.996179320201835,0.569338840963972,0.5,0.57152244522464,0.99671505552124,1,0.334919850167248,0.728408093819176,0.573794695491303,0.424924116362014,3.11531363196683,0.425985698019517,0.996993964802573,5.42931758771208,"QuBE",13.41,"ok" "vonNeumann-ripple-carry-13-c-shuffled",1,764006,364,764370,1122585,2621145,2,1,3,1,746608,375976,1036976,817700,2.32489032010939,0.0100286392567155,382003,0.00501431962835776,0.00501431962835776,1.47037037037037,30.9285714285714,15.4642857142857,4.23133037665005,61.8571428571429,30.9285714285714,270.635250246726,0.571215251350078,0.42663721388935,0.57152244522464,0.996240410676192,0.00214753476057219,1,0.750653536712266,0.996179320201835,0.569338840963972,0.5,0.57152244522464,0.99671505552124,1,0.334919850167248,0.728408093819176,0.573794695491303,0.424924116362014,3.11531363196683,0.425985698019517,0.996993964802573,5.42931758771208,"sKizzo",5.35,"ok" "vonNeumann-ripple-carry-13-c-shuffled",1,764006,364,764370,1122585,2621145,2,1,3,1,746608,375976,1036976,817700,2.32489032010939,0.0100286392567155,382003,0.00501431962835776,0.00501431962835776,1.47037037037037,30.9285714285714,15.4642857142857,4.23133037665005,61.8571428571429,30.9285714285714,270.635250246726,0.571215251350078,0.42663721388935,0.57152244522464,0.996240410676192,0.00214753476057219,1,0.750653536712266,0.996179320201835,0.569338840963972,0.5,0.57152244522464,0.99671505552124,1,0.334919850167248,0.728408093819176,0.573794695491303,0.424924116362014,3.11531363196683,0.425985698019517,0.996993964802573,5.42931758771208,"sSolve",6.01,"ok" "vonNeumann-ripple-carry-15-c-shuffled",1,1306142,480,1306622,1923754,4491434,2,1,3,1,1279826,643927,1779163,1402386,2.32600633968792,0.00871733080217117,653071,0.00435866540108559,0.00435866540108559,1.47383481986374,34.9375,17.46875,4.23946941043393,69.875,34.9375,397.675966319129,0.571240499136801,0.426892613806637,0.571507491959173,0.99673187202346,0.00186688705656145,1,0.750576161023413,0.996632348244496,0.569582853750593,0.5,0.571507491959173,0.997098165503473,1,0.334724190307077,0.728984059292404,0.573847776268898,0.425018219669241,3.51192375158132,0.425961183068591,0.997338969507344,6.119957063205,"X2clsQ",3600,"memout" "vonNeumann-ripple-carry-15-c-shuffled",1,1306142,480,1306622,1923754,4491434,2,1,3,1,1279826,643927,1779163,1402386,2.32600633968792,0.00871733080217117,653071,0.00435866540108559,0.00435866540108559,1.47383481986374,34.9375,17.46875,4.23946941043393,69.875,34.9375,397.675966319129,0.571240499136801,0.426892613806637,0.571507491959173,0.99673187202346,0.00186688705656145,1,0.750576161023413,0.996632348244496,0.569582853750593,0.5,0.571507491959173,0.997098165503473,1,0.334724190307077,0.728984059292404,0.573847776268898,0.425018219669241,3.51192375158132,0.425961183068591,0.997338969507344,6.119957063205,"quantor",11.68,"ok" "vonNeumann-ripple-carry-15-c-shuffled",1,1306142,480,1306622,1923754,4491434,2,1,3,1,1279826,643927,1779163,1402386,2.32600633968792,0.00871733080217117,653071,0.00435866540108559,0.00435866540108559,1.47383481986374,34.9375,17.46875,4.23946941043393,69.875,34.9375,397.675966319129,0.571240499136801,0.426892613806637,0.571507491959173,0.99673187202346,0.00186688705656145,1,0.750576161023413,0.996632348244496,0.569582853750593,0.5,0.571507491959173,0.997098165503473,1,0.334724190307077,0.728984059292404,0.573847776268898,0.425018219669241,3.51192375158132,0.425961183068591,0.997338969507344,6.119957063205,"QuBE",22.98,"ok" "vonNeumann-ripple-carry-15-c-shuffled",1,1306142,480,1306622,1923754,4491434,2,1,3,1,1279826,643927,1779163,1402386,2.32600633968792,0.00871733080217117,653071,0.00435866540108559,0.00435866540108559,1.47383481986374,34.9375,17.46875,4.23946941043393,69.875,34.9375,397.675966319129,0.571240499136801,0.426892613806637,0.571507491959173,0.99673187202346,0.00186688705656145,1,0.750576161023413,0.996632348244496,0.569582853750593,0.5,0.571507491959173,0.997098165503473,1,0.334724190307077,0.728984059292404,0.573847776268898,0.425018219669241,3.51192375158132,0.425961183068591,0.997338969507344,6.119957063205,"sKizzo",9.69,"ok" "vonNeumann-ripple-carry-15-c-shuffled",1,1306142,480,1306622,1923754,4491434,2,1,3,1,1279826,643927,1779163,1402386,2.32600633968792,0.00871733080217117,653071,0.00435866540108559,0.00435866540108559,1.47383481986374,34.9375,17.46875,4.23946941043393,69.875,34.9375,397.675966319129,0.571240499136801,0.426892613806637,0.571507491959173,0.99673187202346,0.00186688705656145,1,0.750576161023413,0.996632348244496,0.569582853750593,0.5,0.571507491959173,0.997098165503473,1,0.334724190307077,0.728984059292404,0.573847776268898,0.425018219669241,3.51192375158132,0.425961183068591,0.997338969507344,6.119957063205,"sSolve",8.5,"ok" "W3-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1503,195,1698,4394,12304,10,10,20,0,2134,2260,2600,2145,2.17546654528903,0.624715521165225,150.3,0.446062812926718,0.178652708238507,2.98998822143698,14.0769230769231,10.0512820512821,38.4982332155477,147.051282051282,118.974358974359,91.6167664670659,0.587369960988296,0.253332249674902,0.67391986609478,0.891379548913796,0.0638003901170351,2.4968152866242,0.702504497025045,0.877697465926405,0.59149775870885,0.714025500910747,0.67391986609478,1.00702759418205,2.4968152866242,0.514337733272645,0.488165680473373,0.534882066242129,0.338974404529729,0.339212461379739,0.37695539465451,0.728792377002153,0.634181780972602,"X2clsQ",221.44,"ok" "W3-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1503,195,1698,4394,12304,10,10,20,0,2134,2260,2600,2145,2.17546654528903,0.624715521165225,150.3,0.446062812926718,0.178652708238507,2.98998822143698,14.0769230769231,10.0512820512821,38.4982332155477,147.051282051282,118.974358974359,91.6167664670659,0.587369960988296,0.253332249674902,0.67391986609478,0.891379548913796,0.0638003901170351,2.4968152866242,0.702504497025045,0.877697465926405,0.59149775870885,0.714025500910747,0.67391986609478,1.00702759418205,2.4968152866242,0.514337733272645,0.488165680473373,0.534882066242129,0.338974404529729,0.339212461379739,0.37695539465451,0.728792377002153,0.634181780972602,"quantor",3600,"memout" "W3-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1503,195,1698,4394,12304,10,10,20,0,2134,2260,2600,2145,2.17546654528903,0.624715521165225,150.3,0.446062812926718,0.178652708238507,2.98998822143698,14.0769230769231,10.0512820512821,38.4982332155477,147.051282051282,118.974358974359,91.6167664670659,0.587369960988296,0.253332249674902,0.67391986609478,0.891379548913796,0.0638003901170351,2.4968152866242,0.702504497025045,0.877697465926405,0.59149775870885,0.714025500910747,0.67391986609478,1.00702759418205,2.4968152866242,0.514337733272645,0.488165680473373,0.534882066242129,0.338974404529729,0.339212461379739,0.37695539465451,0.728792377002153,0.634181780972602,"QuBE",4.69,"ok" "W3-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1503,195,1698,4394,12304,10,10,20,0,2134,2260,2600,2145,2.17546654528903,0.624715521165225,150.3,0.446062812926718,0.178652708238507,2.98998822143698,14.0769230769231,10.0512820512821,38.4982332155477,147.051282051282,118.974358974359,91.6167664670659,0.587369960988296,0.253332249674902,0.67391986609478,0.891379548913796,0.0638003901170351,2.4968152866242,0.702504497025045,0.877697465926405,0.59149775870885,0.714025500910747,0.67391986609478,1.00702759418205,2.4968152866242,0.514337733272645,0.488165680473373,0.534882066242129,0.338974404529729,0.339212461379739,0.37695539465451,0.728792377002153,0.634181780972602,"sKizzo",3600,"memout" "W3-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1503,195,1698,4394,12304,10,10,20,0,2134,2260,2600,2145,2.17546654528903,0.624715521165225,150.3,0.446062812926718,0.178652708238507,2.98998822143698,14.0769230769231,10.0512820512821,38.4982332155477,147.051282051282,118.974358974359,91.6167664670659,0.587369960988296,0.253332249674902,0.67391986609478,0.891379548913796,0.0638003901170351,2.4968152866242,0.702504497025045,0.877697465926405,0.59149775870885,0.714025500910747,0.67391986609478,1.00702759418205,2.4968152866242,0.514337733272645,0.488165680473373,0.534882066242129,0.338974404529729,0.339212461379739,0.37695539465451,0.728792377002153,0.634181780972602,"sSolve",3600,"timeout" "W4-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2142,230,2372,6535,18334,10,10,20,0,3044,3491,3922,3056,2.20642693190513,0.599081866870696,214.2,0.432287681713849,0.166794185156848,3.04426644182125,17.0217391304348,12.2826086956522,38.7204890387858,173.804347826087,143.152173913043,100.056022408964,0.606141594851096,0.239773099160031,0.695124488522089,0.901916674165392,0.0594523835496891,2.59174311926605,0.649779537478629,0.870909710744412,0.60539066723013,0.721583652618135,0.695124488522089,0.998761134976802,2.59174311926606,0.534200459066565,0.467635807192043,0.555046871593634,0.316094327661544,0.352236787644983,0.354001441961067,0.710399157757719,0.634607283946401,"X2clsQ",553.99,"ok" "W4-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2142,230,2372,6535,18334,10,10,20,0,3044,3491,3922,3056,2.20642693190513,0.599081866870696,214.2,0.432287681713849,0.166794185156848,3.04426644182125,17.0217391304348,12.2826086956522,38.7204890387858,173.804347826087,143.152173913043,100.056022408964,0.606141594851096,0.239773099160031,0.695124488522089,0.901916674165392,0.0594523835496891,2.59174311926605,0.649779537478629,0.870909710744412,0.60539066723013,0.721583652618135,0.695124488522089,0.998761134976802,2.59174311926606,0.534200459066565,0.467635807192043,0.555046871593634,0.316094327661544,0.352236787644983,0.354001441961067,0.710399157757719,0.634607283946401,"quantor",3600,"memout" "W4-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2142,230,2372,6535,18334,10,10,20,0,3044,3491,3922,3056,2.20642693190513,0.599081866870696,214.2,0.432287681713849,0.166794185156848,3.04426644182125,17.0217391304348,12.2826086956522,38.7204890387858,173.804347826087,143.152173913043,100.056022408964,0.606141594851096,0.239773099160031,0.695124488522089,0.901916674165392,0.0594523835496891,2.59174311926605,0.649779537478629,0.870909710744412,0.60539066723013,0.721583652618135,0.695124488522089,0.998761134976802,2.59174311926606,0.534200459066565,0.467635807192043,0.555046871593634,0.316094327661544,0.352236787644983,0.354001441961067,0.710399157757719,0.634607283946401,"QuBE",0.94,"ok" "W4-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2142,230,2372,6535,18334,10,10,20,0,3044,3491,3922,3056,2.20642693190513,0.599081866870696,214.2,0.432287681713849,0.166794185156848,3.04426644182125,17.0217391304348,12.2826086956522,38.7204890387858,173.804347826087,143.152173913043,100.056022408964,0.606141594851096,0.239773099160031,0.695124488522089,0.901916674165392,0.0594523835496891,2.59174311926605,0.649779537478629,0.870909710744412,0.60539066723013,0.721583652618135,0.695124488522089,0.998761134976802,2.59174311926606,0.534200459066565,0.467635807192043,0.555046871593634,0.316094327661544,0.352236787644983,0.354001441961067,0.710399157757719,0.634607283946401,"sKizzo",2446.78,"ok" "W4-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2142,230,2372,6535,18334,10,10,20,0,3044,3491,3922,3056,2.20642693190513,0.599081866870696,214.2,0.432287681713849,0.166794185156848,3.04426644182125,17.0217391304348,12.2826086956522,38.7204890387858,173.804347826087,143.152173913043,100.056022408964,0.606141594851096,0.239773099160031,0.695124488522089,0.901916674165392,0.0594523835496891,2.59174311926605,0.649779537478629,0.870909710744412,0.60539066723013,0.721583652618135,0.695124488522089,0.998761134976802,2.59174311926606,0.534200459066565,0.467635807192043,0.555046871593634,0.316094327661544,0.352236787644983,0.354001441961067,0.710399157757719,0.634607283946401,"sSolve",3600,"timeout" "W4-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1580,195,1775,4763,13426,10,10,20,0,2162,2601,2963,2174,2.2214990552173,0.597312618097838,158,0.422002939323955,0.175309678773882,2.99605633802817,14.5897435897436,10.3076923076923,38.0450704225352,149.615384615385,120.25641025641,99.3860759493671,0.60390287501862,0.246387606137345,0.687364143275683,0.897015293537247,0.0621927603158052,2.40718562874252,0.655895411938826,0.88536294588056,0.608566742783226,0.706502636203866,0.687364143275683,1.0077228772333,2.40718562874252,0.546084400587865,0.456435019945413,0.553564935708855,0.327374165633537,0.344509169253823,0.36104513064133,0.73330746578642,0.622346443986141,"X2clsQ",3600,"timeout" "W4-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1580,195,1775,4763,13426,10,10,20,0,2162,2601,2963,2174,2.2214990552173,0.597312618097838,158,0.422002939323955,0.175309678773882,2.99605633802817,14.5897435897436,10.3076923076923,38.0450704225352,149.615384615385,120.25641025641,99.3860759493671,0.60390287501862,0.246387606137345,0.687364143275683,0.897015293537247,0.0621927603158052,2.40718562874252,0.655895411938826,0.88536294588056,0.608566742783226,0.706502636203866,0.687364143275683,1.0077228772333,2.40718562874252,0.546084400587865,0.456435019945413,0.553564935708855,0.327374165633537,0.344509169253823,0.36104513064133,0.73330746578642,0.622346443986141,"quantor",3600,"memout" "W4-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1580,195,1775,4763,13426,10,10,20,0,2162,2601,2963,2174,2.2214990552173,0.597312618097838,158,0.422002939323955,0.175309678773882,2.99605633802817,14.5897435897436,10.3076923076923,38.0450704225352,149.615384615385,120.25641025641,99.3860759493671,0.60390287501862,0.246387606137345,0.687364143275683,0.897015293537247,0.0621927603158052,2.40718562874252,0.655895411938826,0.88536294588056,0.608566742783226,0.706502636203866,0.687364143275683,1.0077228772333,2.40718562874252,0.546084400587865,0.456435019945413,0.553564935708855,0.327374165633537,0.344509169253823,0.36104513064133,0.73330746578642,0.622346443986141,"QuBE",3600,"timeout" "W4-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1580,195,1775,4763,13426,10,10,20,0,2162,2601,2963,2174,2.2214990552173,0.597312618097838,158,0.422002939323955,0.175309678773882,2.99605633802817,14.5897435897436,10.3076923076923,38.0450704225352,149.615384615385,120.25641025641,99.3860759493671,0.60390287501862,0.246387606137345,0.687364143275683,0.897015293537247,0.0621927603158052,2.40718562874252,0.655895411938826,0.88536294588056,0.608566742783226,0.706502636203866,0.687364143275683,1.0077228772333,2.40718562874252,0.546084400587865,0.456435019945413,0.553564935708855,0.327374165633537,0.344509169253823,0.36104513064133,0.73330746578642,0.622346443986141,"sKizzo",3600,"memout" "W4-Umbrella_tbm_21.tex.moduleQ3.6S.000001",1,1580,195,1775,4763,13426,10,10,20,0,2162,2601,2963,2174,2.2214990552173,0.597312618097838,158,0.422002939323955,0.175309678773882,2.99605633802817,14.5897435897436,10.3076923076923,38.0450704225352,149.615384615385,120.25641025641,99.3860759493671,0.60390287501862,0.246387606137345,0.687364143275683,0.897015293537247,0.0621927603158052,2.40718562874252,0.655895411938826,0.88536294588056,0.608566742783226,0.706502636203866,0.687364143275683,1.0077228772333,2.40718562874252,0.546084400587865,0.456435019945413,0.553564935708855,0.327374165633537,0.344509169253823,0.36104513064133,0.73330746578642,0.622346443986141,"sSolve",3600,"timeout" "W4-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2371,230,2601,7381,20642,10,10,20,0,3494,3887,4313,3506,2.21745020999865,0.579189811678634,237.1,0.439642324888227,0.139547486790408,3.12072279892349,18.5869565217391,14.1086956521739,41.1207227989235,204.021739130435,174.239130434783,100.674820750738,0.606772599554307,0.236023641120047,0.702327854829841,0.917764471057884,0.049898265671931,3.1504854368932,0.648063872255489,0.869813425972712,0.610894197565609,0.75906432748538,0.702327854829841,1.00679265677839,3.1504854368932,0.526622408887685,0.475003387074922,0.549881110199272,0.308767235644197,0.326008733528571,0.350726309717342,0.685968180053256,0.592871308873345,"X2clsQ",3600,"timeout" "W4-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2371,230,2601,7381,20642,10,10,20,0,3494,3887,4313,3506,2.21745020999865,0.579189811678634,237.1,0.439642324888227,0.139547486790408,3.12072279892349,18.5869565217391,14.1086956521739,41.1207227989235,204.021739130435,174.239130434783,100.674820750738,0.606772599554307,0.236023641120047,0.702327854829841,0.917764471057884,0.049898265671931,3.1504854368932,0.648063872255489,0.869813425972712,0.610894197565609,0.75906432748538,0.702327854829841,1.00679265677839,3.1504854368932,0.526622408887685,0.475003387074922,0.549881110199272,0.308767235644197,0.326008733528571,0.350726309717342,0.685968180053256,0.592871308873345,"quantor",3600,"memout" "W4-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2371,230,2601,7381,20642,10,10,20,0,3494,3887,4313,3506,2.21745020999865,0.579189811678634,237.1,0.439642324888227,0.139547486790408,3.12072279892349,18.5869565217391,14.1086956521739,41.1207227989235,204.021739130435,174.239130434783,100.674820750738,0.606772599554307,0.236023641120047,0.702327854829841,0.917764471057884,0.049898265671931,3.1504854368932,0.648063872255489,0.869813425972712,0.610894197565609,0.75906432748538,0.702327854829841,1.00679265677839,3.1504854368932,0.526622408887685,0.475003387074922,0.549881110199272,0.308767235644197,0.326008733528571,0.350726309717342,0.685968180053256,0.592871308873345,"QuBE",1.23,"ok" "W4-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2371,230,2601,7381,20642,10,10,20,0,3494,3887,4313,3506,2.21745020999865,0.579189811678634,237.1,0.439642324888227,0.139547486790408,3.12072279892349,18.5869565217391,14.1086956521739,41.1207227989235,204.021739130435,174.239130434783,100.674820750738,0.606772599554307,0.236023641120047,0.702327854829841,0.917764471057884,0.049898265671931,3.1504854368932,0.648063872255489,0.869813425972712,0.610894197565609,0.75906432748538,0.702327854829841,1.00679265677839,3.1504854368932,0.526622408887685,0.475003387074922,0.549881110199272,0.308767235644197,0.326008733528571,0.350726309717342,0.685968180053256,0.592871308873345,"sKizzo",255.56,"ok" "W4-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2371,230,2601,7381,20642,10,10,20,0,3494,3887,4313,3506,2.21745020999865,0.579189811678634,237.1,0.439642324888227,0.139547486790408,3.12072279892349,18.5869565217391,14.1086956521739,41.1207227989235,204.021739130435,174.239130434783,100.674820750738,0.606772599554307,0.236023641120047,0.702327854829841,0.917764471057884,0.049898265671931,3.1504854368932,0.648063872255489,0.869813425972712,0.610894197565609,0.75906432748538,0.702327854829841,1.00679265677839,3.1504854368932,0.526622408887685,0.475003387074922,0.549881110199272,0.308767235644197,0.326008733528571,0.350726309717342,0.685968180053256,0.592871308873345,"sSolve",3600,"timeout" "W4-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1488,195,1683,4462,12588,10,10,20,0,2032,2430,2792,2044,2.20372030479606,0.617436127297176,148.8,0.42021515015688,0.197220977140296,2.99465240641711,14.1282051282051,9.61538461538461,37.1628045157457,139.102564102564,108.076923076923,100.470430107527,0.599618684461392,0.251429933269781,0.678124682192617,0.883412824589295,0.0699078487448364,2.13068181818182,0.667726550079491,0.88350800685753,0.599128586364894,0.680580762250454,0.678124682192617,0.999182650392328,2.13068181818182,0.544598834603317,0.458090542357687,0.553138284571143,0.335116469209468,0.373065793921008,0.367511520737327,0.74993327384928,0.674453033404209,"X2clsQ",16.56,"ok" "W4-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1488,195,1683,4462,12588,10,10,20,0,2032,2430,2792,2044,2.20372030479606,0.617436127297176,148.8,0.42021515015688,0.197220977140296,2.99465240641711,14.1282051282051,9.61538461538461,37.1628045157457,139.102564102564,108.076923076923,100.470430107527,0.599618684461392,0.251429933269781,0.678124682192617,0.883412824589295,0.0699078487448364,2.13068181818182,0.667726550079491,0.88350800685753,0.599128586364894,0.680580762250454,0.678124682192617,0.999182650392328,2.13068181818182,0.544598834603317,0.458090542357687,0.553138284571143,0.335116469209468,0.373065793921008,0.367511520737327,0.74993327384928,0.674453033404209,"quantor",3600,"memout" "W4-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1488,195,1683,4462,12588,10,10,20,0,2032,2430,2792,2044,2.20372030479606,0.617436127297176,148.8,0.42021515015688,0.197220977140296,2.99465240641711,14.1282051282051,9.61538461538461,37.1628045157457,139.102564102564,108.076923076923,100.470430107527,0.599618684461392,0.251429933269781,0.678124682192617,0.883412824589295,0.0699078487448364,2.13068181818182,0.667726550079491,0.88350800685753,0.599128586364894,0.680580762250454,0.678124682192617,0.999182650392328,2.13068181818182,0.544598834603317,0.458090542357687,0.553138284571143,0.335116469209468,0.373065793921008,0.367511520737327,0.74993327384928,0.674453033404209,"QuBE",0.96,"ok" "W4-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1488,195,1683,4462,12588,10,10,20,0,2032,2430,2792,2044,2.20372030479606,0.617436127297176,148.8,0.42021515015688,0.197220977140296,2.99465240641711,14.1282051282051,9.61538461538461,37.1628045157457,139.102564102564,108.076923076923,100.470430107527,0.599618684461392,0.251429933269781,0.678124682192617,0.883412824589295,0.0699078487448364,2.13068181818182,0.667726550079491,0.88350800685753,0.599128586364894,0.680580762250454,0.678124682192617,0.999182650392328,2.13068181818182,0.544598834603317,0.458090542357687,0.553138284571143,0.335116469209468,0.373065793921008,0.367511520737327,0.74993327384928,0.674453033404209,"sKizzo",359.19,"ok" "W4-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1488,195,1683,4462,12588,10,10,20,0,2032,2430,2792,2044,2.20372030479606,0.617436127297176,148.8,0.42021515015688,0.197220977140296,2.99465240641711,14.1282051282051,9.61538461538461,37.1628045157457,139.102564102564,108.076923076923,100.470430107527,0.599618684461392,0.251429933269781,0.678124682192617,0.883412824589295,0.0699078487448364,2.13068181818182,0.667726550079491,0.88350800685753,0.599128586364894,0.680580762250454,0.678124682192617,0.999182650392328,2.13068181818182,0.544598834603317,0.458090542357687,0.553138284571143,0.335116469209468,0.373065793921008,0.367511520737327,0.74993327384928,0.674453033404209,"sSolve",3600,"timeout" "W5-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2235,230,2465,7010,19774,10,10,20,0,3080,3930,4391,3093,2.24807417974322,0.572753209700428,223.5,0.410128388017118,0.16262482168331,3.04016227180527,17.4565217391304,12.5,38.2657200811359,175.978260869565,144.239130434783,107.762863534676,0.621017497724284,0.233589562051178,0.706897645789707,0.907166123778502,0.0576514615151209,2.5219298245614,0.610260586319218,0.878968926045523,0.621341064543887,0.716064757160648,0.706897645789707,1.00052102689665,2.5219298245614,0.560627674750357,0.441226818830243,0.571863014320405,0.306120060655475,0.355114070860991,0.340024466192171,0.715004942097121,0.620977510292397,"X2clsQ",500.25,"ok" "W5-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2235,230,2465,7010,19774,10,10,20,0,3080,3930,4391,3093,2.24807417974322,0.572753209700428,223.5,0.410128388017118,0.16262482168331,3.04016227180527,17.4565217391304,12.5,38.2657200811359,175.978260869565,144.239130434783,107.762863534676,0.621017497724284,0.233589562051178,0.706897645789707,0.907166123778502,0.0576514615151209,2.5219298245614,0.610260586319218,0.878968926045523,0.621341064543887,0.716064757160648,0.706897645789707,1.00052102689665,2.5219298245614,0.560627674750357,0.441226818830243,0.571863014320405,0.306120060655475,0.355114070860991,0.340024466192171,0.715004942097121,0.620977510292397,"quantor",3600,"memout" "W5-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2235,230,2465,7010,19774,10,10,20,0,3080,3930,4391,3093,2.24807417974322,0.572753209700428,223.5,0.410128388017118,0.16262482168331,3.04016227180527,17.4565217391304,12.5,38.2657200811359,175.978260869565,144.239130434783,107.762863534676,0.621017497724284,0.233589562051178,0.706897645789707,0.907166123778502,0.0576514615151209,2.5219298245614,0.610260586319218,0.878968926045523,0.621341064543887,0.716064757160648,0.706897645789707,1.00052102689665,2.5219298245614,0.560627674750357,0.441226818830243,0.571863014320405,0.306120060655475,0.355114070860991,0.340024466192171,0.715004942097121,0.620977510292397,"QuBE",3600,"timeout" "W5-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2235,230,2465,7010,19774,10,10,20,0,3080,3930,4391,3093,2.24807417974322,0.572753209700428,223.5,0.410128388017118,0.16262482168331,3.04016227180527,17.4565217391304,12.5,38.2657200811359,175.978260869565,144.239130434783,107.762863534676,0.621017497724284,0.233589562051178,0.706897645789707,0.907166123778502,0.0576514615151209,2.5219298245614,0.610260586319218,0.878968926045523,0.621341064543887,0.716064757160648,0.706897645789707,1.00052102689665,2.5219298245614,0.560627674750357,0.441226818830243,0.571863014320405,0.306120060655475,0.355114070860991,0.340024466192171,0.715004942097121,0.620977510292397,"sKizzo",3600,"memout" "W5-Umbrella_tbm_05.tex.moduleQ3.8S.000001",1,2235,230,2465,7010,19774,10,10,20,0,3080,3930,4391,3093,2.24807417974322,0.572753209700428,223.5,0.410128388017118,0.16262482168331,3.04016227180527,17.4565217391304,12.5,38.2657200811359,175.978260869565,144.239130434783,107.762863534676,0.621017497724284,0.233589562051178,0.706897645789707,0.907166123778502,0.0576514615151209,2.5219298245614,0.610260586319218,0.878968926045523,0.621341064543887,0.716064757160648,0.706897645789707,1.00052102689665,2.5219298245614,0.560627674750357,0.441226818830243,0.571863014320405,0.306120060655475,0.355114070860991,0.340024466192171,0.715004942097121,0.620977510292397,"sSolve",3600,"timeout" "W5-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2465,230,2695,7900,22214,10,10,20,0,3530,4370,4826,3543,2.25810126582279,0.55379746835443,246.5,0.417088607594937,0.136708860759494,3.11280148423006,19.0217391304348,14.3260869565217,40.6029684601113,206.195652173913,175.326086956522,107.667342799189,0.622355271450437,0.229314846493202,0.714445877011043,0.921880650994575,0.0486179886558026,3.05092592592593,0.606799276672694,0.877981942568243,0.627270578958028,0.753142857142857,0.714445877011043,1.00789791254782,3.05092592592593,0.553164556962025,0.448481012658228,0.567207862835446,0.298801449574001,0.329042570296318,0.336400369991724,0.690404062170826,0.580109324739346,"X2clsQ",3600,"timeout" "W5-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2465,230,2695,7900,22214,10,10,20,0,3530,4370,4826,3543,2.25810126582279,0.55379746835443,246.5,0.417088607594937,0.136708860759494,3.11280148423006,19.0217391304348,14.3260869565217,40.6029684601113,206.195652173913,175.326086956522,107.667342799189,0.622355271450437,0.229314846493202,0.714445877011043,0.921880650994575,0.0486179886558026,3.05092592592593,0.606799276672694,0.877981942568243,0.627270578958028,0.753142857142857,0.714445877011043,1.00789791254782,3.05092592592593,0.553164556962025,0.448481012658228,0.567207862835446,0.298801449574001,0.329042570296318,0.336400369991724,0.690404062170826,0.580109324739346,"quantor",3600,"memout" "W5-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2465,230,2695,7900,22214,10,10,20,0,3530,4370,4826,3543,2.25810126582279,0.55379746835443,246.5,0.417088607594937,0.136708860759494,3.11280148423006,19.0217391304348,14.3260869565217,40.6029684601113,206.195652173913,175.326086956522,107.667342799189,0.622355271450437,0.229314846493202,0.714445877011043,0.921880650994575,0.0486179886558026,3.05092592592593,0.606799276672694,0.877981942568243,0.627270578958028,0.753142857142857,0.714445877011043,1.00789791254782,3.05092592592593,0.553164556962025,0.448481012658228,0.567207862835446,0.298801449574001,0.329042570296318,0.336400369991724,0.690404062170826,0.580109324739346,"QuBE",3600,"timeout" "W5-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2465,230,2695,7900,22214,10,10,20,0,3530,4370,4826,3543,2.25810126582279,0.55379746835443,246.5,0.417088607594937,0.136708860759494,3.11280148423006,19.0217391304348,14.3260869565217,40.6029684601113,206.195652173913,175.326086956522,107.667342799189,0.622355271450437,0.229314846493202,0.714445877011043,0.921880650994575,0.0486179886558026,3.05092592592593,0.606799276672694,0.877981942568243,0.627270578958028,0.753142857142857,0.714445877011043,1.00789791254782,3.05092592592593,0.553164556962025,0.448481012658228,0.567207862835446,0.298801449574001,0.329042570296318,0.336400369991724,0.690404062170826,0.580109324739346,"sKizzo",3600,"memout" "W5-Umbrella_tbm_25.tex.moduleQ3.7S.000003",1,2465,230,2695,7900,22214,10,10,20,0,3530,4370,4826,3543,2.25810126582279,0.55379746835443,246.5,0.417088607594937,0.136708860759494,3.11280148423006,19.0217391304348,14.3260869565217,40.6029684601113,206.195652173913,175.326086956522,107.667342799189,0.622355271450437,0.229314846493202,0.714445877011043,0.921880650994575,0.0486179886558026,3.05092592592593,0.606799276672694,0.877981942568243,0.627270578958028,0.753142857142857,0.714445877011043,1.00789791254782,3.05092592592593,0.553164556962025,0.448481012658228,0.567207862835446,0.298801449574001,0.329042570296318,0.336400369991724,0.690404062170826,0.580109324739346,"sSolve",3600,"timeout" "W5-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1562,195,1757,4812,13653,10,10,20,0,2060,2752,3136,2073,2.24397339983375,0.593308395677473,156.2,0.400041562759767,0.193266832917706,3.00455321570859,14.6410256410256,9.87179487179487,36.8155947638019,141.666666666667,109.358974358974,107.829705505762,0.613345052369443,0.245660294440782,0.689386923504353,0.888941963219489,0.0681168973851901,2.06989247311828,0.630403630284213,0.889622922944805,0.613294409927869,0.674255691768827,0.689386923504353,0.999917432379412,2.06989247311828,0.57190357439734,0.430798004987531,0.569097025613696,0.324904640970305,0.378140861237154,0.353988080659646,0.754008814705996,0.664457630628765,"X2clsQ",3600,"timeout" "W5-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1562,195,1757,4812,13653,10,10,20,0,2060,2752,3136,2073,2.24397339983375,0.593308395677473,156.2,0.400041562759767,0.193266832917706,3.00455321570859,14.6410256410256,9.87179487179487,36.8155947638019,141.666666666667,109.358974358974,107.829705505762,0.613345052369443,0.245660294440782,0.689386923504353,0.888941963219489,0.0681168973851901,2.06989247311828,0.630403630284213,0.889622922944805,0.613294409927869,0.674255691768827,0.689386923504353,0.999917432379412,2.06989247311828,0.57190357439734,0.430798004987531,0.569097025613696,0.324904640970305,0.378140861237154,0.353988080659646,0.754008814705996,0.664457630628765,"quantor",3600,"memout" "W5-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1562,195,1757,4812,13653,10,10,20,0,2060,2752,3136,2073,2.24397339983375,0.593308395677473,156.2,0.400041562759767,0.193266832917706,3.00455321570859,14.6410256410256,9.87179487179487,36.8155947638019,141.666666666667,109.358974358974,107.829705505762,0.613345052369443,0.245660294440782,0.689386923504353,0.888941963219489,0.0681168973851901,2.06989247311828,0.630403630284213,0.889622922944805,0.613294409927869,0.674255691768827,0.689386923504353,0.999917432379412,2.06989247311828,0.57190357439734,0.430798004987531,0.569097025613696,0.324904640970305,0.378140861237154,0.353988080659646,0.754008814705996,0.664457630628765,"QuBE",3600,"timeout" "W5-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1562,195,1757,4812,13653,10,10,20,0,2060,2752,3136,2073,2.24397339983375,0.593308395677473,156.2,0.400041562759767,0.193266832917706,3.00455321570859,14.6410256410256,9.87179487179487,36.8155947638019,141.666666666667,109.358974358974,107.829705505762,0.613345052369443,0.245660294440782,0.689386923504353,0.888941963219489,0.0681168973851901,2.06989247311828,0.630403630284213,0.889622922944805,0.613294409927869,0.674255691768827,0.689386923504353,0.999917432379412,2.06989247311828,0.57190357439734,0.430798004987531,0.569097025613696,0.324904640970305,0.378140861237154,0.353988080659646,0.754008814705996,0.664457630628765,"sKizzo",3600,"memout" "W5-Umbrella_tbm_26.tex.moduleQ3.7S.000003",1,1562,195,1757,4812,13653,10,10,20,0,2060,2752,3136,2073,2.24397339983375,0.593308395677473,156.2,0.400041562759767,0.193266832917706,3.00455321570859,14.6410256410256,9.87179487179487,36.8155947638019,141.666666666667,109.358974358974,107.829705505762,0.613345052369443,0.245660294440782,0.689386923504353,0.888941963219489,0.0681168973851901,2.06989247311828,0.630403630284213,0.889622922944805,0.613294409927869,0.674255691768827,0.689386923504353,0.999917432379412,2.06989247311828,0.57190357439734,0.430798004987531,0.569097025613696,0.324904640970305,0.378140861237154,0.353988080659646,0.754008814705996,0.664457630628765,"sSolve",3600,"timeout" "x120.0",1,3604,120,3724,11212,30148,1,1,2,0,9528,1684,10850,7033,1.99964323938637,0.689261505529789,3604,0.444880485194435,0.244381020335355,3.01020408163265,64.4,41.5666666666667,4.68098818474758,64.4,41.5666666666667,69.6714761376249,0.628167705983813,0.206381849542258,0.722479928635147,0.85531735135706,0.0908849674936978,1.82043795620438,0.59193156616327,0.768425895070077,0.555172285831629,0.645445134575569,0.722479928635147,0.883796286474387,1.82043795620438,0.150196218337496,0.62727434891188,0.668391416831532,0.244603934382923,1.61754933292751,0.277520071364853,0.737628477664153,2.42006299332119,"X2clsQ",3600,"timeout" "x120.0",1,3604,120,3724,11212,30148,1,1,2,0,9528,1684,10850,7033,1.99964323938637,0.689261505529789,3604,0.444880485194435,0.244381020335355,3.01020408163265,64.4,41.5666666666667,4.68098818474758,64.4,41.5666666666667,69.6714761376249,0.628167705983813,0.206381849542258,0.722479928635147,0.85531735135706,0.0908849674936978,1.82043795620438,0.59193156616327,0.768425895070077,0.555172285831629,0.645445134575569,0.722479928635147,0.883796286474387,1.82043795620438,0.150196218337496,0.62727434891188,0.668391416831532,0.244603934382923,1.61754933292751,0.277520071364853,0.737628477664153,2.42006299332119,"quantor",3600,"memout" "x120.0",1,3604,120,3724,11212,30148,1,1,2,0,9528,1684,10850,7033,1.99964323938637,0.689261505529789,3604,0.444880485194435,0.244381020335355,3.01020408163265,64.4,41.5666666666667,4.68098818474758,64.4,41.5666666666667,69.6714761376249,0.628167705983813,0.206381849542258,0.722479928635147,0.85531735135706,0.0908849674936978,1.82043795620438,0.59193156616327,0.768425895070077,0.555172285831629,0.645445134575569,0.722479928635147,0.883796286474387,1.82043795620438,0.150196218337496,0.62727434891188,0.668391416831532,0.244603934382923,1.61754933292751,0.277520071364853,0.737628477664153,2.42006299332119,"QuBE",1.22,"ok" "x120.0",1,3604,120,3724,11212,30148,1,1,2,0,9528,1684,10850,7033,1.99964323938637,0.689261505529789,3604,0.444880485194435,0.244381020335355,3.01020408163265,64.4,41.5666666666667,4.68098818474758,64.4,41.5666666666667,69.6714761376249,0.628167705983813,0.206381849542258,0.722479928635147,0.85531735135706,0.0908849674936978,1.82043795620438,0.59193156616327,0.768425895070077,0.555172285831629,0.645445134575569,0.722479928635147,0.883796286474387,1.82043795620438,0.150196218337496,0.62727434891188,0.668391416831532,0.244603934382923,1.61754933292751,0.277520071364853,0.737628477664153,2.42006299332119,"sKizzo",3600,"memout" "x120.0",1,3604,120,3724,11212,30148,1,1,2,0,9528,1684,10850,7033,1.99964323938637,0.689261505529789,3604,0.444880485194435,0.244381020335355,3.01020408163265,64.4,41.5666666666667,4.68098818474758,64.4,41.5666666666667,69.6714761376249,0.628167705983813,0.206381849542258,0.722479928635147,0.85531735135706,0.0908849674936978,1.82043795620438,0.59193156616327,0.768425895070077,0.555172285831629,0.645445134575569,0.722479928635147,0.883796286474387,1.82043795620438,0.150196218337496,0.62727434891188,0.668391416831532,0.244603934382923,1.61754933292751,0.277520071364853,0.737628477664153,2.42006299332119,"sSolve",3600,"timeout" "x120.1",1,3604,120,3724,11206,30130,1,1,2,0,9522,1684,10844,7027,1.99964304836695,0.689095127610209,3604,0.44458325896841,0.244511868641799,3.00859291084855,64.35,41.5166666666667,4.67937701396348,64.35,41.5166666666667,69.1864594894562,0.628144706272818,0.206505144374378,0.722331310246341,0.855225615555321,0.0909392631928311,1.81824817518248,0.591989855225616,0.768473426104343,0.555092416767445,0.645169645169645,0.722331310246341,0.883701496206441,1.81824817518248,0.150276637515617,0.627074781367125,0.66831626632152,0.24474360696337,1.61847297828873,0.277668689753659,0.737882452808534,2.42171717171717,"X2clsQ",3600,"timeout" "x120.1",1,3604,120,3724,11206,30130,1,1,2,0,9522,1684,10844,7027,1.99964304836695,0.689095127610209,3604,0.44458325896841,0.244511868641799,3.00859291084855,64.35,41.5166666666667,4.67937701396348,64.35,41.5166666666667,69.1864594894562,0.628144706272818,0.206505144374378,0.722331310246341,0.855225615555321,0.0909392631928311,1.81824817518248,0.591989855225616,0.768473426104343,0.555092416767445,0.645169645169645,0.722331310246341,0.883701496206441,1.81824817518248,0.150276637515617,0.627074781367125,0.66831626632152,0.24474360696337,1.61847297828873,0.277668689753659,0.737882452808534,2.42171717171717,"quantor",3600,"memout" "x120.1",1,3604,120,3724,11206,30130,1,1,2,0,9522,1684,10844,7027,1.99964304836695,0.689095127610209,3604,0.44458325896841,0.244511868641799,3.00859291084855,64.35,41.5166666666667,4.67937701396348,64.35,41.5166666666667,69.1864594894562,0.628144706272818,0.206505144374378,0.722331310246341,0.855225615555321,0.0909392631928311,1.81824817518248,0.591989855225616,0.768473426104343,0.555092416767445,0.645169645169645,0.722331310246341,0.883701496206441,1.81824817518248,0.150276637515617,0.627074781367125,0.66831626632152,0.24474360696337,1.61847297828873,0.277668689753659,0.737882452808534,2.42171717171717,"QuBE",62.62,"ok" "x120.1",1,3604,120,3724,11206,30130,1,1,2,0,9522,1684,10844,7027,1.99964304836695,0.689095127610209,3604,0.44458325896841,0.244511868641799,3.00859291084855,64.35,41.5166666666667,4.67937701396348,64.35,41.5166666666667,69.1864594894562,0.628144706272818,0.206505144374378,0.722331310246341,0.855225615555321,0.0909392631928311,1.81824817518248,0.591989855225616,0.768473426104343,0.555092416767445,0.645169645169645,0.722331310246341,0.883701496206441,1.81824817518248,0.150276637515617,0.627074781367125,0.66831626632152,0.24474360696337,1.61847297828873,0.277668689753659,0.737882452808534,2.42171717171717,"sKizzo",3600,"memout" "x120.1",1,3604,120,3724,11206,30130,1,1,2,0,9522,1684,10844,7027,1.99964304836695,0.689095127610209,3604,0.44458325896841,0.244511868641799,3.00859291084855,64.35,41.5166666666667,4.67937701396348,64.35,41.5166666666667,69.1864594894562,0.628144706272818,0.206505144374378,0.722331310246341,0.855225615555321,0.0909392631928311,1.81824817518248,0.591989855225616,0.768473426104343,0.555092416767445,0.645169645169645,0.722331310246341,0.883701496206441,1.81824817518248,0.150276637515617,0.627074781367125,0.66831626632152,0.24474360696337,1.61847297828873,0.277668689753659,0.737882452808534,2.42171717171717,"sSolve",3600,"timeout" "x120.2",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7031,1.99964333481944,0.689344627730718,3604,0.444583147570218,0.244761480160499,3.0110096670247,64.425,41.55,4.68313641245972,64.425,41.55,69.5932297447281,0.628179195543323,0.206486056305335,0.722331222687951,0.855099239864865,0.0910236429353052,1.81639344262295,0.591902449324324,0.768402150830557,0.555040865125488,0.644935972060536,0.722331222687951,0.883570912668356,1.81639344262295,0.150156041016496,0.626928221132412,0.668333872164007,0.244730665538587,1.62003879580853,0.277668777312048,0.737882602409148,2.42399624391771,"X2clsQ",5.87,"ok" "x120.2",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7031,1.99964333481944,0.689344627730718,3604,0.444583147570218,0.244761480160499,3.0110096670247,64.425,41.55,4.68313641245972,64.425,41.55,69.5932297447281,0.628179195543323,0.206486056305335,0.722331222687951,0.855099239864865,0.0910236429353052,1.81639344262295,0.591902449324324,0.768402150830557,0.555040865125488,0.644935972060536,0.722331222687951,0.883570912668356,1.81639344262295,0.150156041016496,0.626928221132412,0.668333872164007,0.244730665538587,1.62003879580853,0.277668777312048,0.737882602409148,2.42399624391771,"quantor",3600,"memout" "x120.2",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7031,1.99964333481944,0.689344627730718,3604,0.444583147570218,0.244761480160499,3.0110096670247,64.425,41.55,4.68313641245972,64.425,41.55,69.5932297447281,0.628179195543323,0.206486056305335,0.722331222687951,0.855099239864865,0.0910236429353052,1.81639344262295,0.591902449324324,0.768402150830557,0.555040865125488,0.644935972060536,0.722331222687951,0.883570912668356,1.81639344262295,0.150156041016496,0.626928221132412,0.668333872164007,0.244730665538587,1.62003879580853,0.277668777312048,0.737882602409148,2.42399624391771,"QuBE",1.15,"ok" "x120.2",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7031,1.99964333481944,0.689344627730718,3604,0.444583147570218,0.244761480160499,3.0110096670247,64.425,41.55,4.68313641245972,64.425,41.55,69.5932297447281,0.628179195543323,0.206486056305335,0.722331222687951,0.855099239864865,0.0910236429353052,1.81639344262295,0.591902449324324,0.768402150830557,0.555040865125488,0.644935972060536,0.722331222687951,0.883570912668356,1.81639344262295,0.150156041016496,0.626928221132412,0.668333872164007,0.244730665538587,1.62003879580853,0.277668777312048,0.737882602409148,2.42399624391771,"sKizzo",3600,"memout" "x120.2",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7031,1.99964333481944,0.689344627730718,3604,0.444583147570218,0.244761480160499,3.0110096670247,64.425,41.55,4.68313641245972,64.425,41.55,69.5932297447281,0.628179195543323,0.206486056305335,0.722331222687951,0.855099239864865,0.0910236429353052,1.81639344262295,0.591902449324324,0.768402150830557,0.555040865125488,0.644935972060536,0.722331222687951,0.883570912668356,1.81639344262295,0.150156041016496,0.626928221132412,0.668333872164007,0.244730665538587,1.62003879580853,0.277668777312048,0.737882602409148,2.42399624391771,"sSolve",3600,"timeout" "x120.3",1,3604,120,3724,11226,30190,1,1,2,0,9542,1684,10864,7039,1.99964368430429,0.689649029039729,3604,0.444860146089435,0.244788882950294,3.01396348012889,64.5166666666667,41.6166666666667,4.68689581095596,64.5166666666667,41.6166666666667,69.527192008879,0.628221265319642,0.206359721762173,0.722469707769066,0.855109142676368,0.0910235177210997,1.81732168850073,0.591795845196668,0.768315209738986,0.555084465054654,0.645052957892018,0.722469707769066,0.883581145207213,1.81732168850073,0.150008907892393,0.62702654551933,0.668414453436681,0.244592734603138,1.62011474600099,0.277530292230934,0.737645947292309,2.42381764438381,"X2clsQ",3600,"timeout" "x120.3",1,3604,120,3724,11226,30190,1,1,2,0,9542,1684,10864,7039,1.99964368430429,0.689649029039729,3604,0.444860146089435,0.244788882950294,3.01396348012889,64.5166666666667,41.6166666666667,4.68689581095596,64.5166666666667,41.6166666666667,69.527192008879,0.628221265319642,0.206359721762173,0.722469707769066,0.855109142676368,0.0910235177210997,1.81732168850073,0.591795845196668,0.768315209738986,0.555084465054654,0.645052957892018,0.722469707769066,0.883581145207213,1.81732168850073,0.150008907892393,0.62702654551933,0.668414453436681,0.244592734603138,1.62011474600099,0.277530292230934,0.737645947292309,2.42381764438381,"quantor",3600,"memout" "x120.3",1,3604,120,3724,11226,30190,1,1,2,0,9542,1684,10864,7039,1.99964368430429,0.689649029039729,3604,0.444860146089435,0.244788882950294,3.01396348012889,64.5166666666667,41.6166666666667,4.68689581095596,64.5166666666667,41.6166666666667,69.527192008879,0.628221265319642,0.206359721762173,0.722469707769066,0.855109142676368,0.0910235177210997,1.81732168850073,0.591795845196668,0.768315209738986,0.555084465054654,0.645052957892018,0.722469707769066,0.883581145207213,1.81732168850073,0.150008907892393,0.62702654551933,0.668414453436681,0.244592734603138,1.62011474600099,0.277530292230934,0.737645947292309,2.42381764438381,"QuBE",3.58,"ok" "x120.3",1,3604,120,3724,11226,30190,1,1,2,0,9542,1684,10864,7039,1.99964368430429,0.689649029039729,3604,0.444860146089435,0.244788882950294,3.01396348012889,64.5166666666667,41.6166666666667,4.68689581095596,64.5166666666667,41.6166666666667,69.527192008879,0.628221265319642,0.206359721762173,0.722469707769066,0.855109142676368,0.0910235177210997,1.81732168850073,0.591795845196668,0.768315209738986,0.555084465054654,0.645052957892018,0.722469707769066,0.883581145207213,1.81732168850073,0.150008907892393,0.62702654551933,0.668414453436681,0.244592734603138,1.62011474600099,0.277530292230934,0.737645947292309,2.42381764438381,"sKizzo",3600,"memout" "x120.3",1,3604,120,3724,11226,30190,1,1,2,0,9542,1684,10864,7039,1.99964368430429,0.689649029039729,3604,0.444860146089435,0.244788882950294,3.01396348012889,64.5166666666667,41.6166666666667,4.68689581095596,64.5166666666667,41.6166666666667,69.527192008879,0.628221265319642,0.206359721762173,0.722469707769066,0.855109142676368,0.0910235177210997,1.81732168850073,0.591795845196668,0.768315209738986,0.555084465054654,0.645052957892018,0.722469707769066,0.883581145207213,1.81732168850073,0.150008907892393,0.62702654551933,0.668414453436681,0.244592734603138,1.62011474600099,0.277530292230934,0.737645947292309,2.42381764438381,"sSolve",3600,"timeout" "x120.4",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7035,1.99964333481944,0.689344627730718,3604,0.44493981275078,0.244404814979938,3.0110096670247,64.425,41.5833333333333,4.68206229860365,64.425,41.5833333333333,69.3779134295227,0.628179195543323,0.206353417117087,0.722509587086418,0.855310388513513,0.0908910037470571,1.82050346588836,0.591902449324324,0.768402150830557,0.555177920712901,0.645453369551158,0.722509587086417,0.883789091793653,1.82050346588836,0.150156041016496,0.627284886312974,0.668409942376814,0.244573459394031,1.61767808353777,0.277490412913582,0.737577782479715,2.4201885414592,"X2clsQ",3340.99,"ok" "x120.4",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7035,1.99964333481944,0.689344627730718,3604,0.44493981275078,0.244404814979938,3.0110096670247,64.425,41.5833333333333,4.68206229860365,64.425,41.5833333333333,69.3779134295227,0.628179195543323,0.206353417117087,0.722509587086418,0.855310388513513,0.0908910037470571,1.82050346588836,0.591902449324324,0.768402150830557,0.555177920712901,0.645453369551158,0.722509587086417,0.883789091793653,1.82050346588836,0.150156041016496,0.627284886312974,0.668409942376814,0.244573459394031,1.61767808353777,0.277490412913582,0.737577782479715,2.4201885414592,"quantor",3600,"memout" "x120.4",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7035,1.99964333481944,0.689344627730718,3604,0.44493981275078,0.244404814979938,3.0110096670247,64.425,41.5833333333333,4.68206229860365,64.425,41.5833333333333,69.3779134295227,0.628179195543323,0.206353417117087,0.722509587086418,0.855310388513513,0.0908910037470571,1.82050346588836,0.591902449324324,0.768402150830557,0.555177920712901,0.645453369551158,0.722509587086417,0.883789091793653,1.82050346588836,0.150156041016496,0.627284886312974,0.668409942376814,0.244573459394031,1.61767808353777,0.277490412913582,0.737577782479715,2.4201885414592,"QuBE",130.63,"ok" "x120.4",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7035,1.99964333481944,0.689344627730718,3604,0.44493981275078,0.244404814979938,3.0110096670247,64.425,41.5833333333333,4.68206229860365,64.425,41.5833333333333,69.3779134295227,0.628179195543323,0.206353417117087,0.722509587086418,0.855310388513513,0.0908910037470571,1.82050346588836,0.591902449324324,0.768402150830557,0.555177920712901,0.645453369551158,0.722509587086417,0.883789091793653,1.82050346588836,0.150156041016496,0.627284886312974,0.668409942376814,0.244573459394031,1.61767808353777,0.277490412913582,0.737577782479715,2.4201885414592,"sKizzo",3600,"memout" "x120.4",1,3604,120,3724,11215,30157,1,1,2,0,9531,1684,10853,7035,1.99964333481944,0.689344627730718,3604,0.44493981275078,0.244404814979938,3.0110096670247,64.425,41.5833333333333,4.68206229860365,64.425,41.5833333333333,69.3779134295227,0.628179195543323,0.206353417117087,0.722509587086418,0.855310388513513,0.0908910037470571,1.82050346588836,0.591902449324324,0.768402150830557,0.555177920712901,0.645453369551158,0.722509587086417,0.883789091793653,1.82050346588836,0.150156041016496,0.627284886312974,0.668409942376814,0.244573459394031,1.61767808353777,0.277490412913582,0.737577782479715,2.4201885414592,"sSolve",3600,"timeout" "x120.5",1,3604,120,3724,11205,30127,1,1,2,0,9521,1684,10843,7023,1.99964301651049,0.689067380633646,3604,0.444265952699688,0.244801427933958,3.00832438238453,64.3416666666667,41.4833333333333,4.67991407089151,64.3416666666667,41.4833333333333,69.4206437291898,0.628140870315664,0.206625286288047,0.722172632330626,0.855051786091735,0.0910478972350383,1.81480131243164,0.591999577256394,0.768481353465299,0.554976201929037,0.644735137935501,0.722172632330626,0.883521878858386,1.81480131243164,0.15029004908523,0.626773761713521,0.668246625930368,0.244884918064187,1.62039924111194,0.277827367669374,0.738153511628754,2.42485211033566,"X2clsQ",3600,"timeout" "x120.5",1,3604,120,3724,11205,30127,1,1,2,0,9521,1684,10843,7023,1.99964301651049,0.689067380633646,3604,0.444265952699688,0.244801427933958,3.00832438238453,64.3416666666667,41.4833333333333,4.67991407089151,64.3416666666667,41.4833333333333,69.4206437291898,0.628140870315664,0.206625286288047,0.722172632330626,0.855051786091735,0.0910478972350383,1.81480131243164,0.591999577256394,0.768481353465299,0.554976201929037,0.644735137935501,0.722172632330626,0.883521878858386,1.81480131243164,0.15029004908523,0.626773761713521,0.668246625930368,0.244884918064187,1.62039924111194,0.277827367669374,0.738153511628754,2.42485211033566,"quantor",3600,"memout" "x120.5",1,3604,120,3724,11205,30127,1,1,2,0,9521,1684,10843,7023,1.99964301651049,0.689067380633646,3604,0.444265952699688,0.244801427933958,3.00832438238453,64.3416666666667,41.4833333333333,4.67991407089151,64.3416666666667,41.4833333333333,69.4206437291898,0.628140870315664,0.206625286288047,0.722172632330626,0.855051786091735,0.0910478972350383,1.81480131243164,0.591999577256394,0.768481353465299,0.554976201929037,0.644735137935501,0.722172632330626,0.883521878858386,1.81480131243164,0.15029004908523,0.626773761713521,0.668246625930368,0.244884918064187,1.62039924111194,0.277827367669374,0.738153511628754,2.42485211033566,"QuBE",3.07,"ok" "x120.5",1,3604,120,3724,11205,30127,1,1,2,0,9521,1684,10843,7023,1.99964301651049,0.689067380633646,3604,0.444265952699688,0.244801427933958,3.00832438238453,64.3416666666667,41.4833333333333,4.67991407089151,64.3416666666667,41.4833333333333,69.4206437291898,0.628140870315664,0.206625286288047,0.722172632330626,0.855051786091735,0.0910478972350383,1.81480131243164,0.591999577256394,0.768481353465299,0.554976201929037,0.644735137935501,0.722172632330626,0.883521878858386,1.81480131243164,0.15029004908523,0.626773761713521,0.668246625930368,0.244884918064187,1.62039924111194,0.277827367669374,0.738153511628754,2.42485211033566,"sKizzo",3600,"memout" "x120.5",1,3604,120,3724,11205,30127,1,1,2,0,9521,1684,10843,7023,1.99964301651049,0.689067380633646,3604,0.444265952699688,0.244801427933958,3.00832438238453,64.3416666666667,41.4833333333333,4.67991407089151,64.3416666666667,41.4833333333333,69.4206437291898,0.628140870315664,0.206625286288047,0.722172632330626,0.855051786091735,0.0910478972350383,1.81480131243164,0.591999577256394,0.768481353465299,0.554976201929037,0.644735137935501,0.722172632330626,0.883521878858386,1.81480131243164,0.15029004908523,0.626773761713521,0.668246625930368,0.244884918064187,1.62039924111194,0.277827367669374,0.738153511628754,2.42485211033566,"sSolve",3600,"timeout" "x120.6",1,3604,120,3724,11218,30166,1,1,2,0,9534,1684,10856,7028,1.99964343020146,0.689427705473346,3604,0.444196826528793,0.245230878944553,3.01181525241676,64.45,41.525,4.68555316863588,64.45,41.525,69.7097669256382,0.628190678247033,0.206623350792283,0.722138017118402,0.854828496042216,0.091195385533382,1.8113413304253,0.591873350923483,0.768378420759184,0.554875269163606,0.644297905352987,0.722138017118402,0.883291154068039,1.8113413304253,0.150115885184525,0.626493136031378,0.668257348188144,0.244896614739427,1.62311684854937,0.277861982881598,0.738212627776054,2.42887991123503,"X2clsQ",3600,"timeout" "x120.6",1,3604,120,3724,11218,30166,1,1,2,0,9534,1684,10856,7028,1.99964343020146,0.689427705473346,3604,0.444196826528793,0.245230878944553,3.01181525241676,64.45,41.525,4.68555316863588,64.45,41.525,69.7097669256382,0.628190678247033,0.206623350792283,0.722138017118402,0.854828496042216,0.091195385533382,1.8113413304253,0.591873350923483,0.768378420759184,0.554875269163606,0.644297905352987,0.722138017118402,0.883291154068039,1.8113413304253,0.150115885184525,0.626493136031378,0.668257348188144,0.244896614739427,1.62311684854937,0.277861982881598,0.738212627776054,2.42887991123503,"quantor",3600,"memout" "x120.6",1,3604,120,3724,11218,30166,1,1,2,0,9534,1684,10856,7028,1.99964343020146,0.689427705473346,3604,0.444196826528793,0.245230878944553,3.01181525241676,64.45,41.525,4.68555316863588,64.45,41.525,69.7097669256382,0.628190678247033,0.206623350792283,0.722138017118402,0.854828496042216,0.091195385533382,1.8113413304253,0.591873350923483,0.768378420759184,0.554875269163606,0.644297905352987,0.722138017118402,0.883291154068039,1.8113413304253,0.150115885184525,0.626493136031378,0.668257348188144,0.244896614739427,1.62311684854937,0.277861982881598,0.738212627776054,2.42887991123503,"QuBE",682.87,"ok" "x120.6",1,3604,120,3724,11218,30166,1,1,2,0,9534,1684,10856,7028,1.99964343020146,0.689427705473346,3604,0.444196826528793,0.245230878944553,3.01181525241676,64.45,41.525,4.68555316863588,64.45,41.525,69.7097669256382,0.628190678247033,0.206623350792283,0.722138017118402,0.854828496042216,0.091195385533382,1.8113413304253,0.591873350923483,0.768378420759184,0.554875269163606,0.644297905352987,0.722138017118402,0.883291154068039,1.8113413304253,0.150115885184525,0.626493136031378,0.668257348188144,0.244896614739427,1.62311684854937,0.277861982881598,0.738212627776054,2.42887991123503,"sKizzo",3600,"memout" "x120.6",1,3604,120,3724,11218,30166,1,1,2,0,9534,1684,10856,7028,1.99964343020146,0.689427705473346,3604,0.444196826528793,0.245230878944553,3.01181525241676,64.45,41.525,4.68555316863588,64.45,41.525,69.7097669256382,0.628190678247033,0.206623350792283,0.722138017118402,0.854828496042216,0.091195385533382,1.8113413304253,0.591873350923483,0.768378420759184,0.554875269163606,0.644297905352987,0.722138017118402,0.883291154068039,1.8113413304253,0.150115885184525,0.626493136031378,0.668257348188144,0.244896614739427,1.62311684854937,0.277861982881598,0.738212627776054,2.42887991123503,"sSolve",3600,"timeout" "x120.7",1,3604,120,3724,11200,30112,1,1,2,0,9516,1684,10838,7020,1.99964285714286,0.688928571428571,3604,0.444196428571429,0.244732142857143,3.00698174006445,64.3,41.4583333333333,4.67803437164339,64.3,41.4583333333333,69.4106548279689,0.628121679064825,0.206661795961743,0.722137881764601,0.855080892460611,0.0910268331562168,1.81503101058008,0.592048218251031,0.768521013963703,0.554978137115332,0.64476412649041,0.722137881764601,0.88355195436274,1.81503101058008,0.150357142857143,0.626785714285714,0.6682219852213,0.244922796817938,1.61998870013458,0.277862118235399,0.738212858924075,2.42432714870655,"X2clsQ",3600,"timeout" "x120.7",1,3604,120,3724,11200,30112,1,1,2,0,9516,1684,10838,7020,1.99964285714286,0.688928571428571,3604,0.444196428571429,0.244732142857143,3.00698174006445,64.3,41.4583333333333,4.67803437164339,64.3,41.4583333333333,69.4106548279689,0.628121679064825,0.206661795961743,0.722137881764601,0.855080892460611,0.0910268331562168,1.81503101058008,0.592048218251031,0.768521013963703,0.554978137115332,0.64476412649041,0.722137881764601,0.88355195436274,1.81503101058008,0.150357142857143,0.626785714285714,0.6682219852213,0.244922796817938,1.61998870013458,0.277862118235399,0.738212858924075,2.42432714870655,"quantor",3600,"memout" "x120.7",1,3604,120,3724,11200,30112,1,1,2,0,9516,1684,10838,7020,1.99964285714286,0.688928571428571,3604,0.444196428571429,0.244732142857143,3.00698174006445,64.3,41.4583333333333,4.67803437164339,64.3,41.4583333333333,69.4106548279689,0.628121679064825,0.206661795961743,0.722137881764601,0.855080892460611,0.0910268331562168,1.81503101058008,0.592048218251031,0.768521013963703,0.554978137115332,0.64476412649041,0.722137881764601,0.88355195436274,1.81503101058008,0.150357142857143,0.626785714285714,0.6682219852213,0.244922796817938,1.61998870013458,0.277862118235399,0.738212858924075,2.42432714870655,"QuBE",132.59,"ok" "x120.7",1,3604,120,3724,11200,30112,1,1,2,0,9516,1684,10838,7020,1.99964285714286,0.688928571428571,3604,0.444196428571429,0.244732142857143,3.00698174006445,64.3,41.4583333333333,4.67803437164339,64.3,41.4583333333333,69.4106548279689,0.628121679064825,0.206661795961743,0.722137881764601,0.855080892460611,0.0910268331562168,1.81503101058008,0.592048218251031,0.768521013963703,0.554978137115332,0.64476412649041,0.722137881764601,0.88355195436274,1.81503101058008,0.150357142857143,0.626785714285714,0.6682219852213,0.244922796817938,1.61998870013458,0.277862118235399,0.738212858924075,2.42432714870655,"sKizzo",3600,"memout" "x120.7",1,3604,120,3724,11200,30112,1,1,2,0,9516,1684,10838,7020,1.99964285714286,0.688928571428571,3604,0.444196428571429,0.244732142857143,3.00698174006445,64.3,41.4583333333333,4.67803437164339,64.3,41.4583333333333,69.4106548279689,0.628121679064825,0.206661795961743,0.722137881764601,0.855080892460611,0.0910268331562168,1.81503101058008,0.592048218251031,0.768521013963703,0.554978137115332,0.64476412649041,0.722137881764601,0.88355195436274,1.81503101058008,0.150357142857143,0.626785714285714,0.6682219852213,0.244922796817938,1.61998870013458,0.277862118235399,0.738212858924075,2.42432714870655,"sSolve",3600,"timeout" "x120.8",1,3604,120,3724,11203,30121,1,1,2,0,9519,1684,10841,7018,1.99964295278051,0.689011871820048,3604,0.443898955636883,0.245112916183165,3.0077873254565,64.325,41.4416666666667,4.68018259935553,64.325,41.4416666666667,69.3285238623751,0.628133196109027,0.20676604362405,0.721989108115347,0.854862579281184,0.0911656319511304,1.81099781500364,0.592019027484144,0.768497212924519,0.554846617348503,0.644254437103252,0.721989108115347,0.883326372154031,1.81099781500364,0.150316879407302,0.626439346603588,0.668164423205072,0.245049581682127,1.6224803102133,0.278010891884653,0.738466875821352,2.42826504055774,"X2clsQ",3600,"timeout" "x120.8",1,3604,120,3724,11203,30121,1,1,2,0,9519,1684,10841,7018,1.99964295278051,0.689011871820048,3604,0.443898955636883,0.245112916183165,3.0077873254565,64.325,41.4416666666667,4.68018259935553,64.325,41.4416666666667,69.3285238623751,0.628133196109027,0.20676604362405,0.721989108115347,0.854862579281184,0.0911656319511304,1.81099781500364,0.592019027484144,0.768497212924519,0.554846617348503,0.644254437103252,0.721989108115347,0.883326372154031,1.81099781500364,0.150316879407302,0.626439346603588,0.668164423205072,0.245049581682127,1.6224803102133,0.278010891884653,0.738466875821352,2.42826504055774,"quantor",3600,"memout" "x120.8",1,3604,120,3724,11203,30121,1,1,2,0,9519,1684,10841,7018,1.99964295278051,0.689011871820048,3604,0.443898955636883,0.245112916183165,3.0077873254565,64.325,41.4416666666667,4.68018259935553,64.325,41.4416666666667,69.3285238623751,0.628133196109027,0.20676604362405,0.721989108115347,0.854862579281184,0.0911656319511304,1.81099781500364,0.592019027484144,0.768497212924519,0.554846617348503,0.644254437103252,0.721989108115347,0.883326372154031,1.81099781500364,0.150316879407302,0.626439346603588,0.668164423205072,0.245049581682127,1.6224803102133,0.278010891884653,0.738466875821352,2.42826504055774,"QuBE",37.65,"ok" "x120.8",1,3604,120,3724,11203,30121,1,1,2,0,9519,1684,10841,7018,1.99964295278051,0.689011871820048,3604,0.443898955636883,0.245112916183165,3.0077873254565,64.325,41.4416666666667,4.68018259935553,64.325,41.4416666666667,69.3285238623751,0.628133196109027,0.20676604362405,0.721989108115347,0.854862579281184,0.0911656319511304,1.81099781500364,0.592019027484144,0.768497212924519,0.554846617348503,0.644254437103252,0.721989108115347,0.883326372154031,1.81099781500364,0.150316879407302,0.626439346603588,0.668164423205072,0.245049581682127,1.6224803102133,0.278010891884653,0.738466875821352,2.42826504055774,"sKizzo",3600,"memout" "x120.8",1,3604,120,3724,11203,30121,1,1,2,0,9519,1684,10841,7018,1.99964295278051,0.689011871820048,3604,0.443898955636883,0.245112916183165,3.0077873254565,64.325,41.4416666666667,4.68018259935553,64.325,41.4416666666667,69.3285238623751,0.628133196109027,0.20676604362405,0.721989108115347,0.854862579281184,0.0911656319511304,1.81099781500364,0.592019027484144,0.768497212924519,0.554846617348503,0.644254437103252,0.721989108115347,0.883326372154031,1.81099781500364,0.150316879407302,0.626439346603588,0.668164423205072,0.245049581682127,1.6224803102133,0.278010891884653,0.738466875821352,2.42826504055774,"sSolve",3600,"timeout" "x120.9",1,3604,120,3724,11213,30151,1,1,2,0,9529,1684,10851,7034,1.99964327120307,0.689289217872113,3604,0.444929991973602,0.244359225898511,3.01047261009667,64.4083333333333,41.575,4.6812567132116,64.4083333333333,41.575,69.3951165371809,0.628171536599118,0.20636131471593,0.722504682900722,0.855332629355861,0.0908759245132831,1.82080291970803,0.591921858500528,0.768417978748549,0.555185588070935,0.645491007892354,0.722504682900723,0.883812073174591,1.82080291970803,0.150182823508428,0.627307589405155,0.668403933578072,0.244580671117142,1.61739549451873,0.277495317099277,0.737586165470172,2.41978751660027,"X2clsQ",3600,"timeout" "x120.9",1,3604,120,3724,11213,30151,1,1,2,0,9529,1684,10851,7034,1.99964327120307,0.689289217872113,3604,0.444929991973602,0.244359225898511,3.01047261009667,64.4083333333333,41.575,4.6812567132116,64.4083333333333,41.575,69.3951165371809,0.628171536599118,0.20636131471593,0.722504682900722,0.855332629355861,0.0908759245132831,1.82080291970803,0.591921858500528,0.768417978748549,0.555185588070935,0.645491007892354,0.722504682900723,0.883812073174591,1.82080291970803,0.150182823508428,0.627307589405155,0.668403933578072,0.244580671117142,1.61739549451873,0.277495317099277,0.737586165470172,2.41978751660027,"quantor",3600,"memout" "x120.9",1,3604,120,3724,11213,30151,1,1,2,0,9529,1684,10851,7034,1.99964327120307,0.689289217872113,3604,0.444929991973602,0.244359225898511,3.01047261009667,64.4083333333333,41.575,4.6812567132116,64.4083333333333,41.575,69.3951165371809,0.628171536599118,0.20636131471593,0.722504682900722,0.855332629355861,0.0908759245132831,1.82080291970803,0.591921858500528,0.768417978748549,0.555185588070935,0.645491007892354,0.722504682900723,0.883812073174591,1.82080291970803,0.150182823508428,0.627307589405155,0.668403933578072,0.244580671117142,1.61739549451873,0.277495317099277,0.737586165470172,2.41978751660027,"QuBE",26.6,"ok" "x120.9",1,3604,120,3724,11213,30151,1,1,2,0,9529,1684,10851,7034,1.99964327120307,0.689289217872113,3604,0.444929991973602,0.244359225898511,3.01047261009667,64.4083333333333,41.575,4.6812567132116,64.4083333333333,41.575,69.3951165371809,0.628171536599118,0.20636131471593,0.722504682900722,0.855332629355861,0.0908759245132831,1.82080291970803,0.591921858500528,0.768417978748549,0.555185588070935,0.645491007892354,0.722504682900723,0.883812073174591,1.82080291970803,0.150182823508428,0.627307589405155,0.668403933578072,0.244580671117142,1.61739549451873,0.277495317099277,0.737586165470172,2.41978751660027,"sKizzo",3600,"memout" "x120.9",1,3604,120,3724,11213,30151,1,1,2,0,9529,1684,10851,7034,1.99964327120307,0.689289217872113,3604,0.444929991973602,0.244359225898511,3.01047261009667,64.4083333333333,41.575,4.6812567132116,64.4083333333333,41.575,69.3951165371809,0.628171536599118,0.20636131471593,0.722504682900722,0.855332629355861,0.0908759245132831,1.82080291970803,0.591921858500528,0.768417978748549,0.555185588070935,0.645491007892354,0.722504682900723,0.883812073174591,1.82080291970803,0.150182823508428,0.627307589405155,0.668403933578072,0.244580671117142,1.61739549451873,0.277495317099277,0.737586165470172,2.41978751660027,"sSolve",3600,"timeout" "x240.0",1,7204,240,7444,22484,60484,1,1,2,0,19120,3364,21762,14099,1.99982209571251,0.690268635474115,7204,0.445383383739548,0.244885251734567,3.02015045674369,64.6666666666667,41.725,4.69505642127888,64.6666666666667,41.725,70.0688506385341,0.628298392963428,0.206137160240725,0.722711502535362,0.855112888795327,0.0910323391310098,1.81874318924809,0.591600442081996,0.768169562126663,0.555164978446491,0.645231958762887,0.722711502535362,0.883600825123877,1.81874318924809,0.149617505781889,0.62706813734211,0.66855701388362,0.244354928292836,1.61954486255469,0.277288497464638,0.737245736155164,2.4224483909709,"X2clsQ",3600,"timeout" "x240.0",1,7204,240,7444,22484,60484,1,1,2,0,19120,3364,21762,14099,1.99982209571251,0.690268635474115,7204,0.445383383739548,0.244885251734567,3.02015045674369,64.6666666666667,41.725,4.69505642127888,64.6666666666667,41.725,70.0688506385341,0.628298392963428,0.206137160240725,0.722711502535362,0.855112888795327,0.0910323391310098,1.81874318924809,0.591600442081996,0.768169562126663,0.555164978446491,0.645231958762887,0.722711502535362,0.883600825123877,1.81874318924809,0.149617505781889,0.62706813734211,0.66855701388362,0.244354928292836,1.61954486255469,0.277288497464638,0.737245736155164,2.4224483909709,"quantor",3600,"memout" "x240.0",1,7204,240,7444,22484,60484,1,1,2,0,19120,3364,21762,14099,1.99982209571251,0.690268635474115,7204,0.445383383739548,0.244885251734567,3.02015045674369,64.6666666666667,41.725,4.69505642127888,64.6666666666667,41.725,70.0688506385341,0.628298392963428,0.206137160240725,0.722711502535362,0.855112888795327,0.0910323391310098,1.81874318924809,0.591600442081996,0.768169562126663,0.555164978446491,0.645231958762887,0.722711502535362,0.883600825123877,1.81874318924809,0.149617505781889,0.62706813734211,0.66855701388362,0.244354928292836,1.61954486255469,0.277288497464638,0.737245736155164,2.4224483909709,"QuBE",3600,"timeout" "x240.0",1,7204,240,7444,22484,60484,1,1,2,0,19120,3364,21762,14099,1.99982209571251,0.690268635474115,7204,0.445383383739548,0.244885251734567,3.02015045674369,64.6666666666667,41.725,4.69505642127888,64.6666666666667,41.725,70.0688506385341,0.628298392963428,0.206137160240725,0.722711502535362,0.855112888795327,0.0910323391310098,1.81874318924809,0.591600442081996,0.768169562126663,0.555164978446491,0.645231958762887,0.722711502535362,0.883600825123877,1.81874318924809,0.149617505781889,0.62706813734211,0.66855701388362,0.244354928292836,1.61954486255469,0.277288497464638,0.737245736155164,2.4224483909709,"sKizzo",3600,"memout" "x240.0",1,7204,240,7444,22484,60484,1,1,2,0,19120,3364,21762,14099,1.99982209571251,0.690268635474115,7204,0.445383383739548,0.244885251734567,3.02015045674369,64.6666666666667,41.725,4.69505642127888,64.6666666666667,41.725,70.0688506385341,0.628298392963428,0.206137160240725,0.722711502535362,0.855112888795327,0.0910323391310098,1.81874318924809,0.591600442081996,0.768169562126663,0.555164978446491,0.645231958762887,0.722711502535362,0.883600825123877,1.81874318924809,0.149617505781889,0.62706813734211,0.66855701388362,0.244354928292836,1.61954486255469,0.277288497464638,0.737245736155164,2.4224483909709,"sSolve",3600,"timeout" "x240.1",1,7204,240,7444,22475,60457,1,1,2,0,19111,3364,21753,14090,1.99982202447164,0.690144605116796,7204,0.445161290322581,0.244983314794216,3.01894142933907,64.6291666666667,41.6875,4.69384739387426,64.6291666666667,41.6875,69.8339811215991,0.628281257753445,0.20622922076848,0.722600453877987,0.855044229149115,0.0910729940288139,1.81710860879041,0.591643850042123,0.768204974259678,0.55510526307137,0.645026110502224,0.722600453877987,0.883529878093561,1.81710860879041,0.149677419354839,0.626918798665184,0.668500896558921,0.244459251431392,1.62023629940957,0.277399546122013,0.737435633743253,2.42368605300256,"X2clsQ",3600,"timeout" "x240.1",1,7204,240,7444,22475,60457,1,1,2,0,19111,3364,21753,14090,1.99982202447164,0.690144605116796,7204,0.445161290322581,0.244983314794216,3.01894142933907,64.6291666666667,41.6875,4.69384739387426,64.6291666666667,41.6875,69.8339811215991,0.628281257753445,0.20622922076848,0.722600453877987,0.855044229149115,0.0910729940288139,1.81710860879041,0.591643850042123,0.768204974259678,0.55510526307137,0.645026110502224,0.722600453877987,0.883529878093561,1.81710860879041,0.149677419354839,0.626918798665184,0.668500896558921,0.244459251431392,1.62023629940957,0.277399546122013,0.737435633743253,2.42368605300256,"quantor",3600,"memout" "x240.1",1,7204,240,7444,22475,60457,1,1,2,0,19111,3364,21753,14090,1.99982202447164,0.690144605116796,7204,0.445161290322581,0.244983314794216,3.01894142933907,64.6291666666667,41.6875,4.69384739387426,64.6291666666667,41.6875,69.8339811215991,0.628281257753445,0.20622922076848,0.722600453877987,0.855044229149115,0.0910729940288139,1.81710860879041,0.591643850042123,0.768204974259678,0.55510526307137,0.645026110502224,0.722600453877987,0.883529878093561,1.81710860879041,0.149677419354839,0.626918798665184,0.668500896558921,0.244459251431392,1.62023629940957,0.277399546122013,0.737435633743253,2.42368605300256,"QuBE",3600,"timeout" "x240.1",1,7204,240,7444,22475,60457,1,1,2,0,19111,3364,21753,14090,1.99982202447164,0.690144605116796,7204,0.445161290322581,0.244983314794216,3.01894142933907,64.6291666666667,41.6875,4.69384739387426,64.6291666666667,41.6875,69.8339811215991,0.628281257753445,0.20622922076848,0.722600453877987,0.855044229149115,0.0910729940288139,1.81710860879041,0.591643850042123,0.768204974259678,0.55510526307137,0.645026110502224,0.722600453877987,0.883529878093561,1.81710860879041,0.149677419354839,0.626918798665184,0.668500896558921,0.244459251431392,1.62023629940957,0.277399546122013,0.737435633743253,2.42368605300256,"sKizzo",3600,"memout" "x240.1",1,7204,240,7444,22475,60457,1,1,2,0,19111,3364,21753,14090,1.99982202447164,0.690144605116796,7204,0.445161290322581,0.244983314794216,3.01894142933907,64.6291666666667,41.6875,4.69384739387426,64.6291666666667,41.6875,69.8339811215991,0.628281257753445,0.20622922076848,0.722600453877987,0.855044229149115,0.0910729940288139,1.81710860879041,0.591643850042123,0.768204974259678,0.55510526307137,0.645026110502224,0.722600453877987,0.883529878093561,1.81710860879041,0.149677419354839,0.626918798665184,0.668500896558921,0.244459251431392,1.62023629940957,0.277399546122013,0.737435633743253,2.42368605300256,"sSolve",3600,"timeout" "x240.2",1,7204,240,7444,22495,60517,1,1,2,0,19131,3364,21773,14115,1.99982218270727,0.690420093354079,7204,0.445876861524783,0.244543231829295,3.02162815690489,64.7125,41.7916666666667,4.69586243954863,64.7125,41.7916666666667,69.9697390338701,0.628319315233736,0.205942131962919,0.722958253678922,0.855328213759731,0.0909000776641274,1.82330485366297,0.591547443719756,0.768126323542496,0.555323265473094,0.645805163865817,0.722958253678922,0.883823323601809,1.82330485366297,0.149544343187375,0.62747277172705,0.668672928731884,0.244129601341877,1.6172306316724,0.277041746321078,0.736823587663692,2.41856752708596,"X2clsQ",3600,"timeout" "x240.2",1,7204,240,7444,22495,60517,1,1,2,0,19131,3364,21773,14115,1.99982218270727,0.690420093354079,7204,0.445876861524783,0.244543231829295,3.02162815690489,64.7125,41.7916666666667,4.69586243954863,64.7125,41.7916666666667,69.9697390338701,0.628319315233736,0.205942131962919,0.722958253678922,0.855328213759731,0.0909000776641274,1.82330485366297,0.591547443719756,0.768126323542496,0.555323265473094,0.645805163865817,0.722958253678922,0.883823323601809,1.82330485366297,0.149544343187375,0.62747277172705,0.668672928731884,0.244129601341877,1.6172306316724,0.277041746321078,0.736823587663692,2.41856752708596,"quantor",3600,"memout" "x240.2",1,7204,240,7444,22495,60517,1,1,2,0,19131,3364,21773,14115,1.99982218270727,0.690420093354079,7204,0.445876861524783,0.244543231829295,3.02162815690489,64.7125,41.7916666666667,4.69586243954863,64.7125,41.7916666666667,69.9697390338701,0.628319315233736,0.205942131962919,0.722958253678922,0.855328213759731,0.0909000776641274,1.82330485366297,0.591547443719756,0.768126323542496,0.555323265473094,0.645805163865817,0.722958253678922,0.883823323601809,1.82330485366297,0.149544343187375,0.62747277172705,0.668672928731884,0.244129601341877,1.6172306316724,0.277041746321078,0.736823587663692,2.41856752708596,"QuBE",3600,"timeout" "x240.2",1,7204,240,7444,22495,60517,1,1,2,0,19131,3364,21773,14115,1.99982218270727,0.690420093354079,7204,0.445876861524783,0.244543231829295,3.02162815690489,64.7125,41.7916666666667,4.69586243954863,64.7125,41.7916666666667,69.9697390338701,0.628319315233736,0.205942131962919,0.722958253678922,0.855328213759731,0.0909000776641274,1.82330485366297,0.591547443719756,0.768126323542496,0.555323265473094,0.645805163865817,0.722958253678922,0.883823323601809,1.82330485366297,0.149544343187375,0.62747277172705,0.668672928731884,0.244129601341877,1.6172306316724,0.277041746321078,0.736823587663692,2.41856752708596,"sKizzo",3600,"memout" "x240.2",1,7204,240,7444,22495,60517,1,1,2,0,19131,3364,21773,14115,1.99982218270727,0.690420093354079,7204,0.445876861524783,0.244543231829295,3.02162815690489,64.7125,41.7916666666667,4.69586243954863,64.7125,41.7916666666667,69.9697390338701,0.628319315233736,0.205942131962919,0.722958253678922,0.855328213759731,0.0909000776641274,1.82330485366297,0.591547443719756,0.768126323542496,0.555323265473094,0.645805163865817,0.722958253678922,0.883823323601809,1.82330485366297,0.149544343187375,0.62747277172705,0.668672928731884,0.244129601341877,1.6172306316724,0.277041746321078,0.736823587663692,2.41856752708596,"sSolve",3600,"timeout" "x240.3",1,7204,240,7444,22481,60475,1,1,2,0,19117,3364,21759,14093,1.99982207197189,0.690227303055914,7204,0.445175926337796,0.245051376718118,3.01974744760881,64.6541666666667,41.7,4.69505642127888,64.6541666666667,41.7,69.9733481399223,0.628292682926829,0.2062174452253,0.722607767249433,0.855011053795136,0.0910954940057875,1.81666364131421,0.591614906832298,0.768181362657602,0.555093819312637,0.644970032867178,0.722607767249433,0.883495597508467,1.81666364131421,0.149637471642721,0.626884925047818,0.668509859341952,0.244448496842294,1.62065782692958,0.277392232750567,0.737423129258185,2.42428410633297,"X2clsQ",3600,"timeout" "x240.3",1,7204,240,7444,22481,60475,1,1,2,0,19117,3364,21759,14093,1.99982207197189,0.690227303055914,7204,0.445175926337796,0.245051376718118,3.01974744760881,64.6541666666667,41.7,4.69505642127888,64.6541666666667,41.7,69.9733481399223,0.628292682926829,0.2062174452253,0.722607767249433,0.855011053795136,0.0910954940057875,1.81666364131421,0.591614906832298,0.768181362657602,0.555093819312637,0.644970032867178,0.722607767249433,0.883495597508467,1.81666364131421,0.149637471642721,0.626884925047818,0.668509859341952,0.244448496842294,1.62065782692958,0.277392232750567,0.737423129258185,2.42428410633297,"quantor",3600,"memout" "x240.3",1,7204,240,7444,22481,60475,1,1,2,0,19117,3364,21759,14093,1.99982207197189,0.690227303055914,7204,0.445175926337796,0.245051376718118,3.01974744760881,64.6541666666667,41.7,4.69505642127888,64.6541666666667,41.7,69.9733481399223,0.628292682926829,0.2062174452253,0.722607767249433,0.855011053795136,0.0910954940057875,1.81666364131421,0.591614906832298,0.768181362657602,0.555093819312637,0.644970032867178,0.722607767249433,0.883495597508467,1.81666364131421,0.149637471642721,0.626884925047818,0.668509859341952,0.244448496842294,1.62065782692958,0.277392232750567,0.737423129258185,2.42428410633297,"QuBE",3600,"timeout" "x240.3",1,7204,240,7444,22481,60475,1,1,2,0,19117,3364,21759,14093,1.99982207197189,0.690227303055914,7204,0.445175926337796,0.245051376718118,3.01974744760881,64.6541666666667,41.7,4.69505642127888,64.6541666666667,41.7,69.9733481399223,0.628292682926829,0.2062174452253,0.722607767249433,0.855011053795136,0.0910954940057875,1.81666364131421,0.591614906832298,0.768181362657602,0.555093819312637,0.644970032867178,0.722607767249433,0.883495597508467,1.81666364131421,0.149637471642721,0.626884925047818,0.668509859341952,0.244448496842294,1.62065782692958,0.277392232750567,0.737423129258185,2.42428410633297,"sKizzo",3600,"memout" "x240.3",1,7204,240,7444,22481,60475,1,1,2,0,19117,3364,21759,14093,1.99982207197189,0.690227303055914,7204,0.445175926337796,0.245051376718118,3.01974744760881,64.6541666666667,41.7,4.69505642127888,64.6541666666667,41.7,69.9733481399223,0.628292682926829,0.2062174452253,0.722607767249433,0.855011053795136,0.0910954940057875,1.81666364131421,0.591614906832298,0.768181362657602,0.555093819312637,0.644970032867178,0.722607767249433,0.883495597508467,1.81666364131421,0.149637471642721,0.626884925047818,0.668509859341952,0.244448496842294,1.62065782692958,0.277392232750567,0.737423129258185,2.42428410633297,"sSolve",3600,"timeout" "x240.4",1,7204,240,7444,22499,60529,1,1,2,0,19135,3364,21777,14113,1.99982221432064,0.690475132228099,7204,0.445708698164363,0.244766434063736,3.02216550241805,64.7291666666667,41.7833333333333,4.69720580333154,64.7291666666667,41.7833333333333,70.029705719045,0.628326917675825,0.206000429546168,0.722874160999244,0.855200883466555,0.0909811825736424,1.82095514799346,0.591528186790072,0.768110612110261,0.555247314283821,0.645510138397168,0.722874160999244,0.883691751322186,1.82095514799346,0.149517756344726,0.627272323214365,0.668640959790756,0.244200838758121,1.61868771105193,0.277125839000756,0.736967485794005,2.42086232880272,"X2clsQ",3600,"timeout" "x240.4",1,7204,240,7444,22499,60529,1,1,2,0,19135,3364,21777,14113,1.99982221432064,0.690475132228099,7204,0.445708698164363,0.244766434063736,3.02216550241805,64.7291666666667,41.7833333333333,4.69720580333154,64.7291666666667,41.7833333333333,70.029705719045,0.628326917675825,0.206000429546168,0.722874160999244,0.855200883466555,0.0909811825736424,1.82095514799346,0.591528186790072,0.768110612110261,0.555247314283821,0.645510138397168,0.722874160999244,0.883691751322186,1.82095514799346,0.149517756344726,0.627272323214365,0.668640959790756,0.244200838758121,1.61868771105193,0.277125839000756,0.736967485794005,2.42086232880272,"quantor",3600,"memout" "x240.4",1,7204,240,7444,22499,60529,1,1,2,0,19135,3364,21777,14113,1.99982221432064,0.690475132228099,7204,0.445708698164363,0.244766434063736,3.02216550241805,64.7291666666667,41.7833333333333,4.69720580333154,64.7291666666667,41.7833333333333,70.029705719045,0.628326917675825,0.206000429546168,0.722874160999244,0.855200883466555,0.0909811825736424,1.82095514799346,0.591528186790072,0.768110612110261,0.555247314283821,0.645510138397168,0.722874160999244,0.883691751322186,1.82095514799346,0.149517756344726,0.627272323214365,0.668640959790756,0.244200838758121,1.61868771105193,0.277125839000756,0.736967485794005,2.42086232880272,"QuBE",3600,"timeout" "x240.4",1,7204,240,7444,22499,60529,1,1,2,0,19135,3364,21777,14113,1.99982221432064,0.690475132228099,7204,0.445708698164363,0.244766434063736,3.02216550241805,64.7291666666667,41.7833333333333,4.69720580333154,64.7291666666667,41.7833333333333,70.029705719045,0.628326917675825,0.206000429546168,0.722874160999244,0.855200883466555,0.0909811825736424,1.82095514799346,0.591528186790072,0.768110612110261,0.555247314283821,0.645510138397168,0.722874160999244,0.883691751322186,1.82095514799346,0.149517756344726,0.627272323214365,0.668640959790756,0.244200838758121,1.61868771105193,0.277125839000756,0.736967485794005,2.42086232880272,"sKizzo",3600,"memout" "x240.4",1,7204,240,7444,22499,60529,1,1,2,0,19135,3364,21777,14113,1.99982221432064,0.690475132228099,7204,0.445708698164363,0.244766434063736,3.02216550241805,64.7291666666667,41.7833333333333,4.69720580333154,64.7291666666667,41.7833333333333,70.029705719045,0.628326917675825,0.206000429546168,0.722874160999244,0.855200883466555,0.0909811825736424,1.82095514799346,0.591528186790072,0.768110612110261,0.555247314283821,0.645510138397168,0.722874160999244,0.883691751322186,1.82095514799346,0.149517756344726,0.627272323214365,0.668640959790756,0.244200838758121,1.61868771105193,0.277125839000756,0.736967485794005,2.42086232880272,"sSolve",3600,"timeout" "x240.5",1,7204,240,7444,22490,60502,1,1,2,0,19126,3364,21768,14102,1.99982214317474,0.69035126722988,7204,0.44539795464651,0.24495331258337,3.02095647501343,64.6916666666667,41.7375,4.6962654486835,64.6916666666667,41.7375,70.0860632981677,0.628309807940233,0.206125417341575,0.722718783351121,0.855079707476193,0.0910548411622756,1.81829733163914,0.591571526279792,0.768145971597141,0.55515352202875,0.645175834084761,0.722718783351121,0.8835665383749,1.81829733163914,0.149577590040018,0.627034237438862,0.668565956882004,0.244344207963495,1.61996640689686,0.277281216648879,0.737233283777384,2.42304650756061,"X2clsQ",3600,"timeout" "x240.5",1,7204,240,7444,22490,60502,1,1,2,0,19126,3364,21768,14102,1.99982214317474,0.69035126722988,7204,0.44539795464651,0.24495331258337,3.02095647501343,64.6916666666667,41.7375,4.6962654486835,64.6916666666667,41.7375,70.0860632981677,0.628309807940233,0.206125417341575,0.722718783351121,0.855079707476193,0.0910548411622756,1.81829733163914,0.591571526279792,0.768145971597141,0.55515352202875,0.645175834084761,0.722718783351121,0.8835665383749,1.81829733163914,0.149577590040018,0.627034237438862,0.668565956882004,0.244344207963495,1.61996640689686,0.277281216648879,0.737233283777384,2.42304650756061,"quantor",3600,"memout" "x240.5",1,7204,240,7444,22490,60502,1,1,2,0,19126,3364,21768,14102,1.99982214317474,0.69035126722988,7204,0.44539795464651,0.24495331258337,3.02095647501343,64.6916666666667,41.7375,4.6962654486835,64.6916666666667,41.7375,70.0860632981677,0.628309807940233,0.206125417341575,0.722718783351121,0.855079707476193,0.0910548411622756,1.81829733163914,0.591571526279792,0.768145971597141,0.55515352202875,0.645175834084761,0.722718783351121,0.8835665383749,1.81829733163914,0.149577590040018,0.627034237438862,0.668565956882004,0.244344207963495,1.61996640689686,0.277281216648879,0.737233283777384,2.42304650756061,"QuBE",3577.76,"ok" "x240.5",1,7204,240,7444,22490,60502,1,1,2,0,19126,3364,21768,14102,1.99982214317474,0.69035126722988,7204,0.44539795464651,0.24495331258337,3.02095647501343,64.6916666666667,41.7375,4.6962654486835,64.6916666666667,41.7375,70.0860632981677,0.628309807940233,0.206125417341575,0.722718783351121,0.855079707476193,0.0910548411622756,1.81829733163914,0.591571526279792,0.768145971597141,0.55515352202875,0.645175834084761,0.722718783351121,0.8835665383749,1.81829733163914,0.149577590040018,0.627034237438862,0.668565956882004,0.244344207963495,1.61996640689686,0.277281216648879,0.737233283777384,2.42304650756061,"sKizzo",3600,"memout" "x240.5",1,7204,240,7444,22490,60502,1,1,2,0,19126,3364,21768,14102,1.99982214317474,0.69035126722988,7204,0.44539795464651,0.24495331258337,3.02095647501343,64.6916666666667,41.7375,4.6962654486835,64.6916666666667,41.7375,70.0860632981677,0.628309807940233,0.206125417341575,0.722718783351121,0.855079707476193,0.0910548411622756,1.81829733163914,0.591571526279792,0.768145971597141,0.55515352202875,0.645175834084761,0.722718783351121,0.8835665383749,1.81829733163914,0.149577590040018,0.627034237438862,0.668565956882004,0.244344207963495,1.61996640689686,0.277281216648879,0.737233283777384,2.42304650756061,"sSolve",3600,"timeout" "x240.6",1,7204,240,7444,22487,60493,1,1,2,0,19123,3364,21765,14102,1.99982211944679,0.690309956863966,7204,0.445457375372437,0.244852581491528,3.02055346587856,64.6791666666667,41.7375,4.69545943041376,64.6791666666667,41.7375,70.1654636313159,0.628304101300977,0.206106491660192,0.722748498999333,0.855135760892444,0.091018795563123,1.81928804940065,0.591585981898548,0.768157765107032,0.555184871725789,0.645300521806352,0.722748498999333,0.883624459200909,1.81928804940065,0.149597545248366,0.627117890336639,0.668575709016432,0.244320173697154,1.61931451472712,0.277251501000667,0.737182458690899,2.42203611780835,"X2clsQ",3600,"timeout" "x240.6",1,7204,240,7444,22487,60493,1,1,2,0,19123,3364,21765,14102,1.99982211944679,0.690309956863966,7204,0.445457375372437,0.244852581491528,3.02055346587856,64.6791666666667,41.7375,4.69545943041376,64.6791666666667,41.7375,70.1654636313159,0.628304101300977,0.206106491660192,0.722748498999333,0.855135760892444,0.091018795563123,1.81928804940065,0.591585981898548,0.768157765107032,0.555184871725789,0.645300521806352,0.722748498999333,0.883624459200909,1.81928804940065,0.149597545248366,0.627117890336639,0.668575709016432,0.244320173697154,1.61931451472712,0.277251501000667,0.737182458690899,2.42203611780835,"quantor",3600,"memout" "x240.6",1,7204,240,7444,22487,60493,1,1,2,0,19123,3364,21765,14102,1.99982211944679,0.690309956863966,7204,0.445457375372437,0.244852581491528,3.02055346587856,64.6791666666667,41.7375,4.69545943041376,64.6791666666667,41.7375,70.1654636313159,0.628304101300977,0.206106491660192,0.722748498999333,0.855135760892444,0.091018795563123,1.81928804940065,0.591585981898548,0.768157765107032,0.555184871725789,0.645300521806352,0.722748498999333,0.883624459200909,1.81928804940065,0.149597545248366,0.627117890336639,0.668575709016432,0.244320173697154,1.61931451472712,0.277251501000667,0.737182458690899,2.42203611780835,"QuBE",3600,"timeout" "x240.6",1,7204,240,7444,22487,60493,1,1,2,0,19123,3364,21765,14102,1.99982211944679,0.690309956863966,7204,0.445457375372437,0.244852581491528,3.02055346587856,64.6791666666667,41.7375,4.69545943041376,64.6791666666667,41.7375,70.1654636313159,0.628304101300977,0.206106491660192,0.722748498999333,0.855135760892444,0.091018795563123,1.81928804940065,0.591585981898548,0.768157765107032,0.555184871725789,0.645300521806352,0.722748498999333,0.883624459200909,1.81928804940065,0.149597545248366,0.627117890336639,0.668575709016432,0.244320173697154,1.61931451472712,0.277251501000667,0.737182458690899,2.42203611780835,"sKizzo",3600,"memout" "x240.6",1,7204,240,7444,22487,60493,1,1,2,0,19123,3364,21765,14102,1.99982211944679,0.690309956863966,7204,0.445457375372437,0.244852581491528,3.02055346587856,64.6791666666667,41.7375,4.69545943041376,64.6791666666667,41.7375,70.1654636313159,0.628304101300977,0.206106491660192,0.722748498999333,0.855135760892444,0.091018795563123,1.81928804940065,0.591585981898548,0.768157765107032,0.555184871725789,0.645300521806352,0.722748498999333,0.883624459200909,1.81928804940065,0.149597545248366,0.627117890336639,0.668575709016432,0.244320173697154,1.61931451472712,0.277251501000667,0.737182458690899,2.42203611780835,"sSolve",3600,"timeout" "x240.7",1,7204,240,7444,22507,60553,1,1,2,0,19143,3364,21785,14121,1.99982227751366,0.690585151286267,7204,0.445905718220998,0.244679433065269,3.02324019344438,64.7625,41.8166666666667,4.69828049435787,64.7625,41.8166666666667,70.1471404775125,0.628342113520387,0.205918781893548,0.722972672739391,0.855261774600505,0.0909451224547091,1.82240784456147,0.591489697224559,0.768079207927329,0.555300277830776,0.645692594737181,0.722972672739391,0.883754671033614,1.82240784456147,0.149464611009908,0.627404807393255,0.668690734442939,0.2441083060189,1.61807435686115,0.277027327260609,0.736798910855869,2.41976488310266,"X2clsQ",3600,"timeout" "x240.7",1,7204,240,7444,22507,60553,1,1,2,0,19143,3364,21785,14121,1.99982227751366,0.690585151286267,7204,0.445905718220998,0.244679433065269,3.02324019344438,64.7625,41.8166666666667,4.69828049435787,64.7625,41.8166666666667,70.1471404775125,0.628342113520387,0.205918781893548,0.722972672739391,0.855261774600505,0.0909451224547091,1.82240784456147,0.591489697224559,0.768079207927329,0.555300277830776,0.645692594737181,0.722972672739391,0.883754671033614,1.82240784456147,0.149464611009908,0.627404807393255,0.668690734442939,0.2441083060189,1.61807435686115,0.277027327260609,0.736798910855869,2.41976488310266,"quantor",3600,"memout" "x240.7",1,7204,240,7444,22507,60553,1,1,2,0,19143,3364,21785,14121,1.99982227751366,0.690585151286267,7204,0.445905718220998,0.244679433065269,3.02324019344438,64.7625,41.8166666666667,4.69828049435787,64.7625,41.8166666666667,70.1471404775125,0.628342113520387,0.205918781893548,0.722972672739391,0.855261774600505,0.0909451224547091,1.82240784456147,0.591489697224559,0.768079207927329,0.555300277830776,0.645692594737181,0.722972672739391,0.883754671033614,1.82240784456147,0.149464611009908,0.627404807393255,0.668690734442939,0.2441083060189,1.61807435686115,0.277027327260609,0.736798910855869,2.41976488310266,"QuBE",3600,"timeout" "x240.7",1,7204,240,7444,22507,60553,1,1,2,0,19143,3364,21785,14121,1.99982227751366,0.690585151286267,7204,0.445905718220998,0.244679433065269,3.02324019344438,64.7625,41.8166666666667,4.69828049435787,64.7625,41.8166666666667,70.1471404775125,0.628342113520387,0.205918781893548,0.722972672739391,0.855261774600505,0.0909451224547091,1.82240784456147,0.591489697224559,0.768079207927329,0.555300277830776,0.645692594737181,0.722972672739391,0.883754671033614,1.82240784456147,0.149464611009908,0.627404807393255,0.668690734442939,0.2441083060189,1.61807435686115,0.277027327260609,0.736798910855869,2.41976488310266,"sKizzo",3600,"memout" "x240.7",1,7204,240,7444,22507,60553,1,1,2,0,19143,3364,21785,14121,1.99982227751366,0.690585151286267,7204,0.445905718220998,0.244679433065269,3.02324019344438,64.7625,41.8166666666667,4.69828049435787,64.7625,41.8166666666667,70.1471404775125,0.628342113520387,0.205918781893548,0.722972672739391,0.855261774600505,0.0909451224547091,1.82240784456147,0.591489697224559,0.768079207927329,0.555300277830776,0.645692594737181,0.722972672739391,0.883754671033614,1.82240784456147,0.149464611009908,0.627404807393255,0.668690734442939,0.2441083060189,1.61807435686115,0.277027327260609,0.736798910855869,2.41976488310266,"sSolve",3600,"timeout" "x240.8",1,7204,240,7444,22485,60487,1,1,2,0,19121,3364,21763,14106,1.99982210362464,0.690282410495886,7204,0.445674894374027,0.244607516121859,3.02028479312198,64.6708333333333,41.7541666666667,4.69438473938743,64.6708333333333,41.7541666666667,69.9222654081066,0.628300295931357,0.206027741498173,0.722857269937286,0.855278391748237,0.0909286292922446,1.822,0.591595621513525,0.768165629396718,0.555274109725369,0.645641389085755,0.722857269937286,0.883771841778717,1.822,0.149610851678897,0.627351567711808,0.668620143571069,0.244225756505001,1.617703305422,0.277142730062714,0.73699638576969,2.41946540345304,"X2clsQ",3600,"timeout" "x240.8",1,7204,240,7444,22485,60487,1,1,2,0,19121,3364,21763,14106,1.99982210362464,0.690282410495886,7204,0.445674894374027,0.244607516121859,3.02028479312198,64.6708333333333,41.7541666666667,4.69438473938743,64.6708333333333,41.7541666666667,69.9222654081066,0.628300295931357,0.206027741498173,0.722857269937286,0.855278391748237,0.0909286292922446,1.822,0.591595621513525,0.768165629396718,0.555274109725369,0.645641389085755,0.722857269937286,0.883771841778717,1.822,0.149610851678897,0.627351567711808,0.668620143571069,0.244225756505001,1.617703305422,0.277142730062714,0.73699638576969,2.41946540345304,"quantor",3600,"memout" "x240.8",1,7204,240,7444,22485,60487,1,1,2,0,19121,3364,21763,14106,1.99982210362464,0.690282410495886,7204,0.445674894374027,0.244607516121859,3.02028479312198,64.6708333333333,41.7541666666667,4.69438473938743,64.6708333333333,41.7541666666667,69.9222654081066,0.628300295931357,0.206027741498173,0.722857269937286,0.855278391748237,0.0909286292922446,1.822,0.591595621513525,0.768165629396718,0.555274109725369,0.645641389085755,0.722857269937286,0.883771841778717,1.822,0.149610851678897,0.627351567711808,0.668620143571069,0.244225756505001,1.617703305422,0.277142730062714,0.73699638576969,2.41946540345304,"QuBE",3600,"timeout" "x240.8",1,7204,240,7444,22485,60487,1,1,2,0,19121,3364,21763,14106,1.99982210362464,0.690282410495886,7204,0.445674894374027,0.244607516121859,3.02028479312198,64.6708333333333,41.7541666666667,4.69438473938743,64.6708333333333,41.7541666666667,69.9222654081066,0.628300295931357,0.206027741498173,0.722857269937286,0.855278391748237,0.0909286292922446,1.822,0.591595621513525,0.768165629396718,0.555274109725369,0.645641389085755,0.722857269937286,0.883771841778717,1.822,0.149610851678897,0.627351567711808,0.668620143571069,0.244225756505001,1.617703305422,0.277142730062714,0.73699638576969,2.41946540345304,"sKizzo",3600,"memout" "x240.8",1,7204,240,7444,22485,60487,1,1,2,0,19121,3364,21763,14106,1.99982210362464,0.690282410495886,7204,0.445674894374027,0.244607516121859,3.02028479312198,64.6708333333333,41.7541666666667,4.69438473938743,64.6708333333333,41.7541666666667,69.9222654081066,0.628300295931357,0.206027741498173,0.722857269937286,0.855278391748237,0.0909286292922446,1.822,0.591595621513525,0.768165629396718,0.555274109725369,0.645641389085755,0.722857269937286,0.883771841778717,1.822,0.149610851678897,0.627351567711808,0.668620143571069,0.244225756505001,1.617703305422,0.277142730062714,0.73699638576969,2.41946540345304,"sSolve",3600,"timeout" "x240.9",1,7204,240,7444,22486,60490,1,1,2,0,19122,3364,21764,14101,1.99982211153607,0.690296184292449,7204,0.445432713688517,0.244863470603931,3.02041912950027,64.675,41.7333333333333,4.69532509403547,64.675,41.7333333333333,69.8814547473626,0.628302198710531,0.206116713506365,0.722736167941647,0.855128137662474,0.0910233096379567,1.8191064293498,0.591590801452402,0.76816169705686,0.555178241290428,0.645277670403299,0.722736167941648,0.883616582004367,1.8191064293498,0.149604198167749,0.62710130748021,0.668569477896414,0.244331757463853,1.61939129005544,0.277263832058353,0.737203549972047,2.42217352660294,"X2clsQ",3600,"timeout" "x240.9",1,7204,240,7444,22486,60490,1,1,2,0,19122,3364,21764,14101,1.99982211153607,0.690296184292449,7204,0.445432713688517,0.244863470603931,3.02041912950027,64.675,41.7333333333333,4.69532509403547,64.675,41.7333333333333,69.8814547473626,0.628302198710531,0.206116713506365,0.722736167941647,0.855128137662474,0.0910233096379567,1.8191064293498,0.591590801452402,0.76816169705686,0.555178241290428,0.645277670403299,0.722736167941648,0.883616582004367,1.8191064293498,0.149604198167749,0.62710130748021,0.668569477896414,0.244331757463853,1.61939129005544,0.277263832058353,0.737203549972047,2.42217352660294,"quantor",3600,"memout" "x240.9",1,7204,240,7444,22486,60490,1,1,2,0,19122,3364,21764,14101,1.99982211153607,0.690296184292449,7204,0.445432713688517,0.244863470603931,3.02041912950027,64.675,41.7333333333333,4.69532509403547,64.675,41.7333333333333,69.8814547473626,0.628302198710531,0.206116713506365,0.722736167941647,0.855128137662474,0.0910233096379567,1.8191064293498,0.591590801452402,0.76816169705686,0.555178241290428,0.645277670403299,0.722736167941648,0.883616582004367,1.8191064293498,0.149604198167749,0.62710130748021,0.668569477896414,0.244331757463853,1.61939129005544,0.277263832058353,0.737203549972047,2.42217352660294,"QuBE",3600,"timeout" "x240.9",1,7204,240,7444,22486,60490,1,1,2,0,19122,3364,21764,14101,1.99982211153607,0.690296184292449,7204,0.445432713688517,0.244863470603931,3.02041912950027,64.675,41.7333333333333,4.69532509403547,64.675,41.7333333333333,69.8814547473626,0.628302198710531,0.206116713506365,0.722736167941647,0.855128137662474,0.0910233096379567,1.8191064293498,0.591590801452402,0.76816169705686,0.555178241290428,0.645277670403299,0.722736167941648,0.883616582004367,1.8191064293498,0.149604198167749,0.62710130748021,0.668569477896414,0.244331757463853,1.61939129005544,0.277263832058353,0.737203549972047,2.42217352660294,"sKizzo",3600,"memout" "x240.9",1,7204,240,7444,22486,60490,1,1,2,0,19122,3364,21764,14101,1.99982211153607,0.690296184292449,7204,0.445432713688517,0.244863470603931,3.02041912950027,64.675,41.7333333333333,4.69532509403547,64.675,41.7333333333333,69.8814547473626,0.628302198710531,0.206116713506365,0.722736167941647,0.855128137662474,0.0910233096379567,1.8191064293498,0.591590801452402,0.76816169705686,0.555178241290428,0.645277670403299,0.722736167941648,0.883616582004367,1.8191064293498,0.149604198167749,0.62710130748021,0.668569477896414,0.244331757463853,1.61939129005544,0.277263832058353,0.737203549972047,2.42217352660294,"sSolve",3600,"timeout" "x30.0",1,904,30,934,2754,7384,1,1,2,0,2330,424,2662,1717,1.99854756717502,0.682643427741467,904,0.436456063907044,0.246187363834423,2.94646680942184,62.6666666666667,40.0666666666667,4.60599571734475,62.6666666666667,40.0666666666667,66.0353982300885,0.627302275189599,0.209913326110509,0.718386627906977,0.853626943005181,0.0918201516793066,1.77286135693215,0.59412780656304,0.770132024276359,0.553252547963068,0.63936170212766,0.718386627906977,0.881955270759778,1.77286135693215,0.153957879448076,0.623456790123457,0.666201117318436,0.248516147283883,1.63783364369957,0.281613372093023,0.744508625335818,2.45846727230375,"X2clsQ",0.33,"ok" "x30.0",1,904,30,934,2754,7384,1,1,2,0,2330,424,2662,1717,1.99854756717502,0.682643427741467,904,0.436456063907044,0.246187363834423,2.94646680942184,62.6666666666667,40.0666666666667,4.60599571734475,62.6666666666667,40.0666666666667,66.0353982300885,0.627302275189599,0.209913326110509,0.718386627906977,0.853626943005181,0.0918201516793066,1.77286135693215,0.59412780656304,0.770132024276359,0.553252547963068,0.63936170212766,0.718386627906977,0.881955270759778,1.77286135693215,0.153957879448076,0.623456790123457,0.666201117318436,0.248516147283883,1.63783364369957,0.281613372093023,0.744508625335818,2.45846727230375,"quantor",34.89,"ok" "x30.0",1,904,30,934,2754,7384,1,1,2,0,2330,424,2662,1717,1.99854756717502,0.682643427741467,904,0.436456063907044,0.246187363834423,2.94646680942184,62.6666666666667,40.0666666666667,4.60599571734475,62.6666666666667,40.0666666666667,66.0353982300885,0.627302275189599,0.209913326110509,0.718386627906977,0.853626943005181,0.0918201516793066,1.77286135693215,0.59412780656304,0.770132024276359,0.553252547963068,0.63936170212766,0.718386627906977,0.881955270759778,1.77286135693215,0.153957879448076,0.623456790123457,0.666201117318436,0.248516147283883,1.63783364369957,0.281613372093023,0.744508625335818,2.45846727230375,"QuBE",0.35,"ok" "x30.0",1,904,30,934,2754,7384,1,1,2,0,2330,424,2662,1717,1.99854756717502,0.682643427741467,904,0.436456063907044,0.246187363834423,2.94646680942184,62.6666666666667,40.0666666666667,4.60599571734475,62.6666666666667,40.0666666666667,66.0353982300885,0.627302275189599,0.209913326110509,0.718386627906977,0.853626943005181,0.0918201516793066,1.77286135693215,0.59412780656304,0.770132024276359,0.553252547963068,0.63936170212766,0.718386627906977,0.881955270759778,1.77286135693215,0.153957879448076,0.623456790123457,0.666201117318436,0.248516147283883,1.63783364369957,0.281613372093023,0.744508625335818,2.45846727230375,"sKizzo",9.8,"ok" "x30.0",1,904,30,934,2754,7384,1,1,2,0,2330,424,2662,1717,1.99854756717502,0.682643427741467,904,0.436456063907044,0.246187363834423,2.94646680942184,62.6666666666667,40.0666666666667,4.60599571734475,62.6666666666667,40.0666666666667,66.0353982300885,0.627302275189599,0.209913326110509,0.718386627906977,0.853626943005181,0.0918201516793066,1.77286135693215,0.59412780656304,0.770132024276359,0.553252547963068,0.63936170212766,0.718386627906977,0.881955270759778,1.77286135693215,0.153957879448076,0.623456790123457,0.666201117318436,0.248516147283883,1.63783364369957,0.281613372093023,0.744508625335818,2.45846727230375,"sSolve",6.22,"ok" "x30.1",1,904,30,934,2749,7369,1,1,2,0,2325,424,2657,1712,1.99854492542743,0.682066205893052,904,0.435431065842124,0.246635140050928,2.94111349036403,62.5,39.9,4.60064239828694,62.5,39.9,65.6216814159292,0.62722214683132,0.21034061609445,0.717874044412086,0.853310255300736,0.0920070565884109,1.76548672566372,0.594331458243185,0.770297599246785,0.55297665297221,0.6384,0.717874044412086,0.881628073507619,1.76548672566372,0.154237904692616,0.622771917060749,0.665941071289746,0.248999153089846,1.64101687009251,0.282125955587914,0.745374937443493,2.46420733130983,"X2clsQ",3.97,"ok" "x30.1",1,904,30,934,2749,7369,1,1,2,0,2325,424,2657,1712,1.99854492542743,0.682066205893052,904,0.435431065842124,0.246635140050928,2.94111349036403,62.5,39.9,4.60064239828694,62.5,39.9,65.6216814159292,0.62722214683132,0.21034061609445,0.717874044412086,0.853310255300736,0.0920070565884109,1.76548672566372,0.594331458243185,0.770297599246785,0.55297665297221,0.6384,0.717874044412086,0.881628073507619,1.76548672566372,0.154237904692616,0.622771917060749,0.665941071289746,0.248999153089846,1.64101687009251,0.282125955587914,0.745374937443493,2.46420733130983,"quantor",15.25,"ok" "x30.1",1,904,30,934,2749,7369,1,1,2,0,2325,424,2657,1712,1.99854492542743,0.682066205893052,904,0.435431065842124,0.246635140050928,2.94111349036403,62.5,39.9,4.60064239828694,62.5,39.9,65.6216814159292,0.62722214683132,0.21034061609445,0.717874044412086,0.853310255300736,0.0920070565884109,1.76548672566372,0.594331458243185,0.770297599246785,0.55297665297221,0.6384,0.717874044412086,0.881628073507619,1.76548672566372,0.154237904692616,0.622771917060749,0.665941071289746,0.248999153089846,1.64101687009251,0.282125955587914,0.745374937443493,2.46420733130983,"QuBE",0.32,"ok" "x30.1",1,904,30,934,2749,7369,1,1,2,0,2325,424,2657,1712,1.99854492542743,0.682066205893052,904,0.435431065842124,0.246635140050928,2.94111349036403,62.5,39.9,4.60064239828694,62.5,39.9,65.6216814159292,0.62722214683132,0.21034061609445,0.717874044412086,0.853310255300736,0.0920070565884109,1.76548672566372,0.594331458243185,0.770297599246785,0.55297665297221,0.6384,0.717874044412086,0.881628073507619,1.76548672566372,0.154237904692616,0.622771917060749,0.665941071289746,0.248999153089846,1.64101687009251,0.282125955587914,0.745374937443493,2.46420733130983,"sKizzo",8.82,"ok" "x30.1",1,904,30,934,2749,7369,1,1,2,0,2325,424,2657,1712,1.99854492542743,0.682066205893052,904,0.435431065842124,0.246635140050928,2.94111349036403,62.5,39.9,4.60064239828694,62.5,39.9,65.6216814159292,0.62722214683132,0.21034061609445,0.717874044412086,0.853310255300736,0.0920070565884109,1.76548672566372,0.594331458243185,0.770297599246785,0.55297665297221,0.6384,0.717874044412086,0.881628073507619,1.76548672566372,0.154237904692616,0.622771917060749,0.665941071289746,0.248999153089846,1.64101687009251,0.282125955587914,0.745374937443493,2.46420733130983,"sSolve",4.32,"ok" "x30.2",1,904,30,934,2751,7375,1,1,2,0,2327,424,2659,1722,1.99854598327881,0.682297346419484,904,0.438749545619775,0.243547800799709,2.94325481798715,62.5666666666667,40.2333333333333,4.59421841541756,62.5666666666667,40.2333333333333,65.391592920354,0.627254237288136,0.209084745762712,0.719534376136777,0.85516645049719,0.0908474576271186,1.80149253731343,0.594249891915262,0.770231288435578,0.55420788960552,0.643047416089505,0.719534376136777,0.883545868102185,1.80149253731343,0.154125772446383,0.625954198473282,0.666666666666667,0.247521567058445,1.62039410652787,0.280465623863223,0.742564701175335,2.43059115979181,"X2clsQ",0.78,"ok" "x30.2",1,904,30,934,2751,7375,1,1,2,0,2327,424,2659,1722,1.99854598327881,0.682297346419484,904,0.438749545619775,0.243547800799709,2.94325481798715,62.5666666666667,40.2333333333333,4.59421841541756,62.5666666666667,40.2333333333333,65.391592920354,0.627254237288136,0.209084745762712,0.719534376136777,0.85516645049719,0.0908474576271186,1.80149253731343,0.594249891915262,0.770231288435578,0.55420788960552,0.643047416089505,0.719534376136777,0.883545868102185,1.80149253731343,0.154125772446383,0.625954198473282,0.666666666666667,0.247521567058445,1.62039410652787,0.280465623863223,0.742564701175335,2.43059115979181,"quantor",16.65,"ok" "x30.2",1,904,30,934,2751,7375,1,1,2,0,2327,424,2659,1722,1.99854598327881,0.682297346419484,904,0.438749545619775,0.243547800799709,2.94325481798715,62.5666666666667,40.2333333333333,4.59421841541756,62.5666666666667,40.2333333333333,65.391592920354,0.627254237288136,0.209084745762712,0.719534376136777,0.85516645049719,0.0908474576271186,1.80149253731343,0.594249891915262,0.770231288435578,0.55420788960552,0.643047416089505,0.719534376136777,0.883545868102185,1.80149253731343,0.154125772446383,0.625954198473282,0.666666666666667,0.247521567058445,1.62039410652787,0.280465623863223,0.742564701175335,2.43059115979181,"QuBE",0.11,"ok" "x30.2",1,904,30,934,2751,7375,1,1,2,0,2327,424,2659,1722,1.99854598327881,0.682297346419484,904,0.438749545619775,0.243547800799709,2.94325481798715,62.5666666666667,40.2333333333333,4.59421841541756,62.5666666666667,40.2333333333333,65.391592920354,0.627254237288136,0.209084745762712,0.719534376136777,0.85516645049719,0.0908474576271186,1.80149253731343,0.594249891915262,0.770231288435578,0.55420788960552,0.643047416089505,0.719534376136777,0.883545868102185,1.80149253731343,0.154125772446383,0.625954198473282,0.666666666666667,0.247521567058445,1.62039410652787,0.280465623863223,0.742564701175335,2.43059115979181,"sKizzo",7.08,"ok" "x30.2",1,904,30,934,2751,7375,1,1,2,0,2327,424,2659,1722,1.99854598327881,0.682297346419484,904,0.438749545619775,0.243547800799709,2.94325481798715,62.5666666666667,40.2333333333333,4.59421841541756,62.5666666666667,40.2333333333333,65.391592920354,0.627254237288136,0.209084745762712,0.719534376136777,0.85516645049719,0.0908474576271186,1.80149253731343,0.594249891915262,0.770231288435578,0.55420788960552,0.643047416089505,0.719534376136777,0.883545868102185,1.80149253731343,0.154125772446383,0.625954198473282,0.666666666666667,0.247521567058445,1.62039410652787,0.280465623863223,0.742564701175335,2.43059115979181,"sSolve",1.09,"ok" "x30.3",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1720,1.99854809437387,0.682758620689655,904,0.437386569872958,0.245372050816697,2.9475374732334,62.7,40.1666666666667,4.60492505353319,62.7,40.1666666666667,66.1592920353982,0.62731826181129,0.209557330445377,0.718852161278605,0.854121709106603,0.0915121158792473,1.78254437869822,0.594087181700475,0.770098989974016,0.553587323341292,0.640616693248272,0.718852161278605,0.88246645609023,1.78254437869822,0.153901996370236,0.62431941923775,0.66640812844179,0.248099229258657,1.63236898575454,0.281147838721395,0.743720846973229,2.44950341402855,"X2clsQ",2.86,"ok" "x30.3",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1720,1.99854809437387,0.682758620689655,904,0.437386569872958,0.245372050816697,2.9475374732334,62.7,40.1666666666667,4.60492505353319,62.7,40.1666666666667,66.1592920353982,0.62731826181129,0.209557330445377,0.718852161278605,0.854121709106603,0.0915121158792473,1.78254437869822,0.594087181700475,0.770098989974016,0.553587323341292,0.640616693248272,0.718852161278605,0.88246645609023,1.78254437869822,0.153901996370236,0.62431941923775,0.66640812844179,0.248099229258657,1.63236898575454,0.281147838721395,0.743720846973229,2.44950341402855,"quantor",26.22,"ok" "x30.3",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1720,1.99854809437387,0.682758620689655,904,0.437386569872958,0.245372050816697,2.9475374732334,62.7,40.1666666666667,4.60492505353319,62.7,40.1666666666667,66.1592920353982,0.62731826181129,0.209557330445377,0.718852161278605,0.854121709106603,0.0915121158792473,1.78254437869822,0.594087181700475,0.770098989974016,0.553587323341292,0.640616693248272,0.718852161278605,0.88246645609023,1.78254437869822,0.153901996370236,0.62431941923775,0.66640812844179,0.248099229258657,1.63236898575454,0.281147838721395,0.743720846973229,2.44950341402855,"QuBE",0.44,"ok" "x30.3",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1720,1.99854809437387,0.682758620689655,904,0.437386569872958,0.245372050816697,2.9475374732334,62.7,40.1666666666667,4.60492505353319,62.7,40.1666666666667,66.1592920353982,0.62731826181129,0.209557330445377,0.718852161278605,0.854121709106603,0.0915121158792473,1.78254437869822,0.594087181700475,0.770098989974016,0.553587323341292,0.640616693248272,0.718852161278605,0.88246645609023,1.78254437869822,0.153901996370236,0.62431941923775,0.66640812844179,0.248099229258657,1.63236898575454,0.281147838721395,0.743720846973229,2.44950341402855,"sKizzo",7.57,"ok" "x30.3",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1720,1.99854809437387,0.682758620689655,904,0.437386569872958,0.245372050816697,2.9475374732334,62.7,40.1666666666667,4.60492505353319,62.7,40.1666666666667,66.1592920353982,0.62731826181129,0.209557330445377,0.718852161278605,0.854121709106603,0.0915121158792473,1.78254437869822,0.594087181700475,0.770098989974016,0.553587323341292,0.640616693248272,0.718852161278605,0.88246645609023,1.78254437869822,0.153901996370236,0.62431941923775,0.66640812844179,0.248099229258657,1.63236898575454,0.281147838721395,0.743720846973229,2.44950341402855,"sSolve",9.17,"ok" "x30.4",1,904,30,934,2746,7360,1,1,2,0,2322,424,2654,1721,1.99854333576111,0.681718863801894,904,0.43918426802622,0.242534595775674,2.93790149892934,62.4,40.2,4.58458244111349,62.4,40.2,65.141592920354,0.627173913043478,0.208967391304348,0.719752186588921,0.855719237435009,0.0904891304347826,1.81081081081081,0.594454072790295,0.770397268180069,0.554495118314736,0.644230769230769,0.719752186588921,0.884116999739268,1.81081081081081,0.154406409322651,0.626729788783685,0.666718555417185,0.24735987282205,1.61385429638854,0.280247813411079,0.742195153203573,2.4205930422601,"X2clsQ",3.22,"ok" "x30.4",1,904,30,934,2746,7360,1,1,2,0,2322,424,2654,1721,1.99854333576111,0.681718863801894,904,0.43918426802622,0.242534595775674,2.93790149892934,62.4,40.2,4.58458244111349,62.4,40.2,65.141592920354,0.627173913043478,0.208967391304348,0.719752186588921,0.855719237435009,0.0904891304347826,1.81081081081081,0.594454072790295,0.770397268180069,0.554495118314736,0.644230769230769,0.719752186588921,0.884116999739268,1.81081081081081,0.154406409322651,0.626729788783685,0.666718555417185,0.24735987282205,1.61385429638854,0.280247813411079,0.742195153203573,2.4205930422601,"quantor",14.2,"ok" "x30.4",1,904,30,934,2746,7360,1,1,2,0,2322,424,2654,1721,1.99854333576111,0.681718863801894,904,0.43918426802622,0.242534595775674,2.93790149892934,62.4,40.2,4.58458244111349,62.4,40.2,65.141592920354,0.627173913043478,0.208967391304348,0.719752186588921,0.855719237435009,0.0904891304347826,1.81081081081081,0.594454072790295,0.770397268180069,0.554495118314736,0.644230769230769,0.719752186588921,0.884116999739268,1.81081081081081,0.154406409322651,0.626729788783685,0.666718555417185,0.24735987282205,1.61385429638854,0.280247813411079,0.742195153203573,2.4205930422601,"QuBE",0.31,"ok" "x30.4",1,904,30,934,2746,7360,1,1,2,0,2322,424,2654,1721,1.99854333576111,0.681718863801894,904,0.43918426802622,0.242534595775674,2.93790149892934,62.4,40.2,4.58458244111349,62.4,40.2,65.141592920354,0.627173913043478,0.208967391304348,0.719752186588921,0.855719237435009,0.0904891304347826,1.81081081081081,0.594454072790295,0.770397268180069,0.554495118314736,0.644230769230769,0.719752186588921,0.884116999739268,1.81081081081081,0.154406409322651,0.626729788783685,0.666718555417185,0.24735987282205,1.61385429638854,0.280247813411079,0.742195153203573,2.4205930422601,"sKizzo",9.93,"ok" "x30.4",1,904,30,934,2746,7360,1,1,2,0,2322,424,2654,1721,1.99854333576111,0.681718863801894,904,0.43918426802622,0.242534595775674,2.93790149892934,62.4,40.2,4.58458244111349,62.4,40.2,65.141592920354,0.627173913043478,0.208967391304348,0.719752186588921,0.855719237435009,0.0904891304347826,1.81081081081081,0.594454072790295,0.770397268180069,0.554495118314736,0.644230769230769,0.719752186588921,0.884116999739268,1.81081081081081,0.154406409322651,0.626729788783685,0.666718555417185,0.24735987282205,1.61385429638854,0.280247813411079,0.742195153203573,2.4205930422601,"sSolve",4.47,"ok" "x30.5",1,904,30,934,2748,7366,1,1,2,0,2324,424,2656,1715,1.99854439592431,0.681950509461427,904,0.436681222707424,0.245269286754003,2.94004282655246,62.4666666666667,40,4.59528907922912,62.4666666666667,40,65.3008849557522,0.627206081998371,0.209883247352702,0.71849963583394,0.854112554112554,0.0915014933478143,1.78041543026706,0.594372294372294,0.770330795162658,0.55348239579604,0.64034151547492,0.71849963583394,0.882456997280006,1.78041543026706,0.15429403202329,0.624090247452693,0.666200031109037,0.248453151304171,1.63196972053715,0.28150036416606,0.744317478907043,2.44966923495992,"X2clsQ",0.47,"ok" "x30.5",1,904,30,934,2748,7366,1,1,2,0,2324,424,2656,1715,1.99854439592431,0.681950509461427,904,0.436681222707424,0.245269286754003,2.94004282655246,62.4666666666667,40,4.59528907922912,62.4666666666667,40,65.3008849557522,0.627206081998371,0.209883247352702,0.71849963583394,0.854112554112554,0.0915014933478143,1.78041543026706,0.594372294372294,0.770330795162658,0.55348239579604,0.64034151547492,0.71849963583394,0.882456997280006,1.78041543026706,0.15429403202329,0.624090247452693,0.666200031109037,0.248453151304171,1.63196972053715,0.28150036416606,0.744317478907043,2.44966923495992,"quantor",18.53,"ok" "x30.5",1,904,30,934,2748,7366,1,1,2,0,2324,424,2656,1715,1.99854439592431,0.681950509461427,904,0.436681222707424,0.245269286754003,2.94004282655246,62.4666666666667,40,4.59528907922912,62.4666666666667,40,65.3008849557522,0.627206081998371,0.209883247352702,0.71849963583394,0.854112554112554,0.0915014933478143,1.78041543026706,0.594372294372294,0.770330795162658,0.55348239579604,0.64034151547492,0.71849963583394,0.882456997280006,1.78041543026706,0.15429403202329,0.624090247452693,0.666200031109037,0.248453151304171,1.63196972053715,0.28150036416606,0.744317478907043,2.44966923495992,"QuBE",0.14,"ok" "x30.5",1,904,30,934,2748,7366,1,1,2,0,2324,424,2656,1715,1.99854439592431,0.681950509461427,904,0.436681222707424,0.245269286754003,2.94004282655246,62.4666666666667,40,4.59528907922912,62.4666666666667,40,65.3008849557522,0.627206081998371,0.209883247352702,0.71849963583394,0.854112554112554,0.0915014933478143,1.78041543026706,0.594372294372294,0.770330795162658,0.55348239579604,0.64034151547492,0.71849963583394,0.882456997280006,1.78041543026706,0.15429403202329,0.624090247452693,0.666200031109037,0.248453151304171,1.63196972053715,0.28150036416606,0.744317478907043,2.44966923495992,"sKizzo",9,"ok" "x30.5",1,904,30,934,2748,7366,1,1,2,0,2324,424,2656,1715,1.99854439592431,0.681950509461427,904,0.436681222707424,0.245269286754003,2.94004282655246,62.4666666666667,40,4.59528907922912,62.4666666666667,40,65.3008849557522,0.627206081998371,0.209883247352702,0.71849963583394,0.854112554112554,0.0915014933478143,1.78041543026706,0.594372294372294,0.770330795162658,0.55348239579604,0.64034151547492,0.71849963583394,0.882456997280006,1.78041543026706,0.15429403202329,0.624090247452693,0.666200031109037,0.248453151304171,1.63196972053715,0.28150036416606,0.744317478907043,2.44966923495992,"sSolve",4.94,"ok" "x30.6",1,904,30,934,2740,7342,1,1,2,0,2316,424,2648,1716,1.9985401459854,0.681021897810219,904,0.438321167883212,0.242700729927007,2.93147751605996,62.2,40.0333333333333,4.57708779443255,62.2,40.0333333333333,64.6991150442478,0.627077090710978,0.209343503132661,0.719320672023375,0.855560382276281,0.0905747752655952,1.80601503759398,0.594700260642919,0.770597339106077,0.554306595825207,0.643622722400857,0.719320672023375,0.883952872838548,1.80601503759398,0.154744525547445,0.626277372262774,0.666484630987674,0.247777599807792,1.61520257970562,0.280679327976625,0.74292708171609,2.42346560536892,"X2clsQ",1.74,"ok" "x30.6",1,904,30,934,2740,7342,1,1,2,0,2316,424,2648,1716,1.9985401459854,0.681021897810219,904,0.438321167883212,0.242700729927007,2.93147751605996,62.2,40.0333333333333,4.57708779443255,62.2,40.0333333333333,64.6991150442478,0.627077090710978,0.209343503132661,0.719320672023375,0.855560382276281,0.0905747752655952,1.80601503759398,0.594700260642919,0.770597339106077,0.554306595825207,0.643622722400857,0.719320672023375,0.883952872838548,1.80601503759398,0.154744525547445,0.626277372262774,0.666484630987674,0.247777599807792,1.61520257970562,0.280679327976625,0.74292708171609,2.42346560536892,"quantor",20.09,"ok" "x30.6",1,904,30,934,2740,7342,1,1,2,0,2316,424,2648,1716,1.9985401459854,0.681021897810219,904,0.438321167883212,0.242700729927007,2.93147751605996,62.2,40.0333333333333,4.57708779443255,62.2,40.0333333333333,64.6991150442478,0.627077090710978,0.209343503132661,0.719320672023375,0.855560382276281,0.0905747752655952,1.80601503759398,0.594700260642919,0.770597339106077,0.554306595825207,0.643622722400857,0.719320672023375,0.883952872838548,1.80601503759398,0.154744525547445,0.626277372262774,0.666484630987674,0.247777599807792,1.61520257970562,0.280679327976625,0.74292708171609,2.42346560536892,"QuBE",0.37,"ok" "x30.6",1,904,30,934,2740,7342,1,1,2,0,2316,424,2648,1716,1.9985401459854,0.681021897810219,904,0.438321167883212,0.242700729927007,2.93147751605996,62.2,40.0333333333333,4.57708779443255,62.2,40.0333333333333,64.6991150442478,0.627077090710978,0.209343503132661,0.719320672023375,0.855560382276281,0.0905747752655952,1.80601503759398,0.594700260642919,0.770597339106077,0.554306595825207,0.643622722400857,0.719320672023375,0.883952872838548,1.80601503759398,0.154744525547445,0.626277372262774,0.666484630987674,0.247777599807792,1.61520257970562,0.280679327976625,0.74292708171609,2.42346560536892,"sKizzo",6.21,"ok" "x30.6",1,904,30,934,2740,7342,1,1,2,0,2316,424,2648,1716,1.9985401459854,0.681021897810219,904,0.438321167883212,0.242700729927007,2.93147751605996,62.2,40.0333333333333,4.57708779443255,62.2,40.0333333333333,64.6991150442478,0.627077090710978,0.209343503132661,0.719320672023375,0.855560382276281,0.0905747752655952,1.80601503759398,0.594700260642919,0.770597339106077,0.554306595825207,0.643622722400857,0.719320672023375,0.883952872838548,1.80601503759398,0.154744525547445,0.626277372262774,0.666484630987674,0.247777599807792,1.61520257970562,0.280679327976625,0.74292708171609,2.42346560536892,"sSolve",7.44,"ok" "x30.7",1,902,30,932,2740,7344,1,1,2,0,2317,423,2648,1715,1.9985401459854,0.681751824817518,902,0.438321167883212,0.243430656934307,2.93776824034335,62.2666666666667,40.0333333333333,4.58690987124464,62.2666666666667,40.0333333333333,65.0842572062084,0.627178649237473,0.209286492374728,0.719320672023375,0.855188884064264,0.090822440087146,1.80059970014992,0.594442032132002,0.77044234791724,0.554195107459096,0.642933618843683,0.719320672023375,0.883631973334694,1.80059970014992,0.154379562043796,0.625912408759124,0.666536661466459,0.24775658875579,1.61633905356214,0.280679327976625,0.742979992479351,2.4249814706456,"X2clsQ",2.26,"ok" "x30.7",1,902,30,932,2740,7344,1,1,2,0,2317,423,2648,1715,1.9985401459854,0.681751824817518,902,0.438321167883212,0.243430656934307,2.93776824034335,62.2666666666667,40.0333333333333,4.58690987124464,62.2666666666667,40.0333333333333,65.0842572062084,0.627178649237473,0.209286492374728,0.719320672023375,0.855188884064264,0.090822440087146,1.80059970014992,0.594442032132002,0.77044234791724,0.554195107459096,0.642933618843683,0.719320672023375,0.883631973334694,1.80059970014992,0.154379562043796,0.625912408759124,0.666536661466459,0.24775658875579,1.61633905356214,0.280679327976625,0.742979992479351,2.4249814706456,"quantor",25.87,"ok" "x30.7",1,902,30,932,2740,7344,1,1,2,0,2317,423,2648,1715,1.9985401459854,0.681751824817518,902,0.438321167883212,0.243430656934307,2.93776824034335,62.2666666666667,40.0333333333333,4.58690987124464,62.2666666666667,40.0333333333333,65.0842572062084,0.627178649237473,0.209286492374728,0.719320672023375,0.855188884064264,0.090822440087146,1.80059970014992,0.594442032132002,0.77044234791724,0.554195107459096,0.642933618843683,0.719320672023375,0.883631973334694,1.80059970014992,0.154379562043796,0.625912408759124,0.666536661466459,0.24775658875579,1.61633905356214,0.280679327976625,0.742979992479351,2.4249814706456,"QuBE",0.1,"ok" "x30.7",1,902,30,932,2740,7344,1,1,2,0,2317,423,2648,1715,1.9985401459854,0.681751824817518,902,0.438321167883212,0.243430656934307,2.93776824034335,62.2666666666667,40.0333333333333,4.58690987124464,62.2666666666667,40.0333333333333,65.0842572062084,0.627178649237473,0.209286492374728,0.719320672023375,0.855188884064264,0.090822440087146,1.80059970014992,0.594442032132002,0.77044234791724,0.554195107459096,0.642933618843683,0.719320672023375,0.883631973334694,1.80059970014992,0.154379562043796,0.625912408759124,0.666536661466459,0.24775658875579,1.61633905356214,0.280679327976625,0.742979992479351,2.4249814706456,"sKizzo",9,"ok" "x30.7",1,902,30,932,2740,7344,1,1,2,0,2317,423,2648,1715,1.9985401459854,0.681751824817518,902,0.438321167883212,0.243430656934307,2.93776824034335,62.2666666666667,40.0333333333333,4.58690987124464,62.2666666666667,40.0333333333333,65.0842572062084,0.627178649237473,0.209286492374728,0.719320672023375,0.855188884064264,0.090822440087146,1.80059970014992,0.594442032132002,0.77044234791724,0.554195107459096,0.642933618843683,0.719320672023375,0.883631973334694,1.80059970014992,0.154379562043796,0.625912408759124,0.666536661466459,0.24775658875579,1.61633905356214,0.280679327976625,0.742979992479351,2.4249814706456,"sSolve",1.86,"ok" "x30.8",1,904,30,934,2737,7333,1,1,2,0,2313,424,2645,1706,1.99853854585312,0.680672268907563,904,0.435147972232371,0.245524296675192,2.92826552462527,62.1,39.7,4.5813704496788,62.1,39.7,64.7101769911504,0.627028501295513,0.210555025228419,0.717733089579525,0.853849499782514,0.0916405291149598,1.77232142857143,0.594823836450631,0.770697742898209,0.553155272142298,0.639291465378422,0.717733089579525,0.882185213270872,1.77232142857143,0.154914139568871,0.623310193642674,0.665781457470905,0.24919767836493,1.63411700382723,0.282266910420475,0.745612964736199,2.45443453777569,"X2clsQ",1.69,"ok" "x30.8",1,904,30,934,2737,7333,1,1,2,0,2313,424,2645,1706,1.99853854585312,0.680672268907563,904,0.435147972232371,0.245524296675192,2.92826552462527,62.1,39.7,4.5813704496788,62.1,39.7,64.7101769911504,0.627028501295513,0.210555025228419,0.717733089579525,0.853849499782514,0.0916405291149598,1.77232142857143,0.594823836450631,0.770697742898209,0.553155272142298,0.639291465378422,0.717733089579525,0.882185213270872,1.77232142857143,0.154914139568871,0.623310193642674,0.665781457470905,0.24919767836493,1.63411700382723,0.282266910420475,0.745612964736199,2.45443453777569,"quantor",17.09,"ok" "x30.8",1,904,30,934,2737,7333,1,1,2,0,2313,424,2645,1706,1.99853854585312,0.680672268907563,904,0.435147972232371,0.245524296675192,2.92826552462527,62.1,39.7,4.5813704496788,62.1,39.7,64.7101769911504,0.627028501295513,0.210555025228419,0.717733089579525,0.853849499782514,0.0916405291149598,1.77232142857143,0.594823836450631,0.770697742898209,0.553155272142298,0.639291465378422,0.717733089579525,0.882185213270872,1.77232142857143,0.154914139568871,0.623310193642674,0.665781457470905,0.24919767836493,1.63411700382723,0.282266910420475,0.745612964736199,2.45443453777569,"QuBE",0.27,"ok" "x30.8",1,904,30,934,2737,7333,1,1,2,0,2313,424,2645,1706,1.99853854585312,0.680672268907563,904,0.435147972232371,0.245524296675192,2.92826552462527,62.1,39.7,4.5813704496788,62.1,39.7,64.7101769911504,0.627028501295513,0.210555025228419,0.717733089579525,0.853849499782514,0.0916405291149598,1.77232142857143,0.594823836450631,0.770697742898209,0.553155272142298,0.639291465378422,0.717733089579525,0.882185213270872,1.77232142857143,0.154914139568871,0.623310193642674,0.665781457470905,0.24919767836493,1.63411700382723,0.282266910420475,0.745612964736199,2.45443453777569,"sKizzo",8.18,"ok" "x30.8",1,904,30,934,2737,7333,1,1,2,0,2313,424,2645,1706,1.99853854585312,0.680672268907563,904,0.435147972232371,0.245524296675192,2.92826552462527,62.1,39.7,4.5813704496788,62.1,39.7,64.7101769911504,0.627028501295513,0.210555025228419,0.717733089579525,0.853849499782514,0.0916405291149598,1.77232142857143,0.594823836450631,0.770697742898209,0.553155272142298,0.639291465378422,0.717733089579525,0.882185213270872,1.77232142857143,0.154914139568871,0.623310193642674,0.665781457470905,0.24919767836493,1.63411700382723,0.282266910420475,0.745612964736199,2.45443453777569,"sSolve",6.34,"ok" "x30.9",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1718,1.99854809437387,0.682758620689655,904,0.436660617059891,0.246098003629764,2.9475374732334,62.7,40.1,4.60706638115632,62.7,40.1,66.0154867256637,0.62731826181129,0.209828076350345,0.718488921176898,0.853690116529996,0.0917828617842155,1.77433628318584,0.594087181700475,0.770098989974016,0.553307592505849,0.639553429027113,0.718488921176898,0.882020540751124,1.77433628318584,0.153901996370236,0.623593466424682,0.666253005506864,0.248419770898526,1.63719847979524,0.281511078823102,0.744335604507248,2.45732246798603,"X2clsQ",1.61,"ok" "x30.9",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1718,1.99854809437387,0.682758620689655,904,0.436660617059891,0.246098003629764,2.9475374732334,62.7,40.1,4.60706638115632,62.7,40.1,66.0154867256637,0.62731826181129,0.209828076350345,0.718488921176898,0.853690116529996,0.0917828617842155,1.77433628318584,0.594087181700475,0.770098989974016,0.553307592505849,0.639553429027113,0.718488921176898,0.882020540751124,1.77433628318584,0.153901996370236,0.623593466424682,0.666253005506864,0.248419770898526,1.63719847979524,0.281511078823102,0.744335604507248,2.45732246798603,"quantor",15.92,"ok" "x30.9",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1718,1.99854809437387,0.682758620689655,904,0.436660617059891,0.246098003629764,2.9475374732334,62.7,40.1,4.60706638115632,62.7,40.1,66.0154867256637,0.62731826181129,0.209828076350345,0.718488921176898,0.853690116529996,0.0917828617842155,1.77433628318584,0.594087181700475,0.770098989974016,0.553307592505849,0.639553429027113,0.718488921176898,0.882020540751124,1.77433628318584,0.153901996370236,0.623593466424682,0.666253005506864,0.248419770898526,1.63719847979524,0.281511078823102,0.744335604507248,2.45732246798603,"QuBE",0.23,"ok" "x30.9",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1718,1.99854809437387,0.682758620689655,904,0.436660617059891,0.246098003629764,2.9475374732334,62.7,40.1,4.60706638115632,62.7,40.1,66.0154867256637,0.62731826181129,0.209828076350345,0.718488921176898,0.853690116529996,0.0917828617842155,1.77433628318584,0.594087181700475,0.770098989974016,0.553307592505849,0.639553429027113,0.718488921176898,0.882020540751124,1.77433628318584,0.153901996370236,0.623593466424682,0.666253005506864,0.248419770898526,1.63719847979524,0.281511078823102,0.744335604507248,2.45732246798603,"sKizzo",16.78,"ok" "x30.9",1,904,30,934,2755,7387,1,1,2,0,2331,424,2663,1718,1.99854809437387,0.682758620689655,904,0.436660617059891,0.246098003629764,2.9475374732334,62.7,40.1,4.60706638115632,62.7,40.1,66.0154867256637,0.62731826181129,0.209828076350345,0.718488921176898,0.853690116529996,0.0917828617842155,1.77433628318584,0.594087181700475,0.770098989974016,0.553307592505849,0.639553429027113,0.718488921176898,0.882020540751124,1.77433628318584,0.153901996370236,0.623593466424682,0.666253005506864,0.248419770898526,1.63719847979524,0.281511078823102,0.744335604507248,2.45732246798603,"sSolve",6.14,"ok" "x60.0",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3489,1.99928199605098,0.68695027822653,1804,0.442290432597379,0.244659845629151,2.98766094420601,63.7833333333333,41.0666666666667,4.65343347639485,63.7833333333333,41.0666666666667,68.2095343680709,0.627865018376211,0.207484129635817,0.72122463637996,0.854938271604938,0.0910791847644504,1.80777696258254,0.59269902085994,0.769023953156034,0.55463902098244,0.643846354847139,0.721224636379961,0.883373025649449,1.80777696258254,0.151498833243583,0.626278944534195,0.667701030532889,0.245816228784264,1.6221839124494,0.27877536362004,0.739744180303857,2.42950637825846,"X2clsQ",321.98,"ok" "x60.0",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3489,1.99928199605098,0.68695027822653,1804,0.442290432597379,0.244659845629151,2.98766094420601,63.7833333333333,41.0666666666667,4.65343347639485,63.7833333333333,41.0666666666667,68.2095343680709,0.627865018376211,0.207484129635817,0.72122463637996,0.854938271604938,0.0910791847644504,1.80777696258254,0.59269902085994,0.769023953156034,0.55463902098244,0.643846354847139,0.721224636379961,0.883373025649449,1.80777696258254,0.151498833243583,0.626278944534195,0.667701030532889,0.245816228784264,1.6221839124494,0.27877536362004,0.739744180303857,2.42950637825846,"quantor",3600,"memout" "x60.0",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3489,1.99928199605098,0.68695027822653,1804,0.442290432597379,0.244659845629151,2.98766094420601,63.7833333333333,41.0666666666667,4.65343347639485,63.7833333333333,41.0666666666667,68.2095343680709,0.627865018376211,0.207484129635817,0.72122463637996,0.854938271604938,0.0910791847644504,1.80777696258254,0.59269902085994,0.769023953156034,0.55463902098244,0.643846354847139,0.721224636379961,0.883373025649449,1.80777696258254,0.151498833243583,0.626278944534195,0.667701030532889,0.245816228784264,1.6221839124494,0.27877536362004,0.739744180303857,2.42950637825846,"QuBE",0.98,"ok" "x60.0",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3489,1.99928199605098,0.68695027822653,1804,0.442290432597379,0.244659845629151,2.98766094420601,63.7833333333333,41.0666666666667,4.65343347639485,63.7833333333333,41.0666666666667,68.2095343680709,0.627865018376211,0.207484129635817,0.72122463637996,0.854938271604938,0.0910791847644504,1.80777696258254,0.59269902085994,0.769023953156034,0.55463902098244,0.643846354847139,0.721224636379961,0.883373025649449,1.80777696258254,0.151498833243583,0.626278944534195,0.667701030532889,0.245816228784264,1.6221839124494,0.27877536362004,0.739744180303857,2.42950637825846,"sKizzo",3600,"memout" "x60.0",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3489,1.99928199605098,0.68695027822653,1804,0.442290432597379,0.244659845629151,2.98766094420601,63.7833333333333,41.0666666666667,4.65343347639485,63.7833333333333,41.0666666666667,68.2095343680709,0.627865018376211,0.207484129635817,0.72122463637996,0.854938271604938,0.0910791847644504,1.80777696258254,0.59269902085994,0.769023953156034,0.55463902098244,0.643846354847139,0.721224636379961,0.883373025649449,1.80777696258254,0.151498833243583,0.626278944534195,0.667701030532889,0.245816228784264,1.6221839124494,0.27877536362004,0.739744180303857,2.42950637825846,"sSolve",3600,"timeout" "x60.1",1,1804,60,1864,5572,14968,1,1,2,0,4728,844,5390,3488,1.99928212491027,0.687006460875808,1804,0.442031586503948,0.244974874371859,2.9881974248927,63.8,41.05,4.65504291845494,63.8,41.05,67.9113082039911,0.627872795296633,0.207576162479957,0.721095152603232,0.854756331134284,0.0911945483698557,1.8043956043956,0.592679293466695,0.769007882003411,0.554527856026337,0.643416927899687,0.721095152603232,0.883185033943628,1.8043956043956,0.151471643933955,0.625987078248385,0.667649762524897,0.245927457394273,1.62425310249732,0.278904847396768,0.739964740999155,2.43279215191326,"X2clsQ",3.14,"ok" "x60.1",1,1804,60,1864,5572,14968,1,1,2,0,4728,844,5390,3488,1.99928212491027,0.687006460875808,1804,0.442031586503948,0.244974874371859,2.9881974248927,63.8,41.05,4.65504291845494,63.8,41.05,67.9113082039911,0.627872795296633,0.207576162479957,0.721095152603232,0.854756331134284,0.0911945483698557,1.8043956043956,0.592679293466695,0.769007882003411,0.554527856026337,0.643416927899687,0.721095152603232,0.883185033943628,1.8043956043956,0.151471643933955,0.625987078248385,0.667649762524897,0.245927457394273,1.62425310249732,0.278904847396768,0.739964740999155,2.43279215191326,"quantor",3600,"memout" "x60.1",1,1804,60,1864,5572,14968,1,1,2,0,4728,844,5390,3488,1.99928212491027,0.687006460875808,1804,0.442031586503948,0.244974874371859,2.9881974248927,63.8,41.05,4.65504291845494,63.8,41.05,67.9113082039911,0.627872795296633,0.207576162479957,0.721095152603232,0.854756331134284,0.0911945483698557,1.8043956043956,0.592679293466695,0.769007882003411,0.554527856026337,0.643416927899687,0.721095152603232,0.883185033943628,1.8043956043956,0.151471643933955,0.625987078248385,0.667649762524897,0.245927457394273,1.62425310249732,0.278904847396768,0.739964740999155,2.43279215191326,"QuBE",1.32,"ok" "x60.1",1,1804,60,1864,5572,14968,1,1,2,0,4728,844,5390,3488,1.99928212491027,0.687006460875808,1804,0.442031586503948,0.244974874371859,2.9881974248927,63.8,41.05,4.65504291845494,63.8,41.05,67.9113082039911,0.627872795296633,0.207576162479957,0.721095152603232,0.854756331134284,0.0911945483698557,1.8043956043956,0.592679293466695,0.769007882003411,0.554527856026337,0.643416927899687,0.721095152603232,0.883185033943628,1.8043956043956,0.151471643933955,0.625987078248385,0.667649762524897,0.245927457394273,1.62425310249732,0.278904847396768,0.739964740999155,2.43279215191326,"sKizzo",3600,"memout" "x60.1",1,1804,60,1864,5572,14968,1,1,2,0,4728,844,5390,3488,1.99928212491027,0.687006460875808,1804,0.442031586503948,0.244974874371859,2.9881974248927,63.8,41.05,4.65504291845494,63.8,41.05,67.9113082039911,0.627872795296633,0.207576162479957,0.721095152603232,0.854756331134284,0.0911945483698557,1.8043956043956,0.592679293466695,0.769007882003411,0.554527856026337,0.643416927899687,0.721095152603232,0.883185033943628,1.8043956043956,0.151471643933955,0.625987078248385,0.667649762524897,0.245927457394273,1.62425310249732,0.278904847396768,0.739964740999155,2.43279215191326,"sSolve",3600,"timeout" "x60.2",1,1804,60,1864,5565,14947,1,1,2,0,4721,844,5383,3488,1.99928122192273,0.686612758310872,1804,0.442587601078167,0.244025157232704,2.98444206008584,63.6833333333333,41.05,4.6475321888412,63.6833333333333,41.05,68.2095343680709,0.627818291295912,0.207399478156152,0.721373359698005,0.855285592497869,0.0908543520438884,1.81369661266568,0.592817561807332,0.769120515548137,0.554823050313621,0.644595655587543,0.721373359698005,0.883731898235048,1.81369661266568,0.151662174303684,0.626774483378257,0.667740574540713,0.245702773970031,1.61809279075416,0.278626640301995,0.739490756749466,2.42323568830174,"X2clsQ",148.56,"ok" "x60.2",1,1804,60,1864,5565,14947,1,1,2,0,4721,844,5383,3488,1.99928122192273,0.686612758310872,1804,0.442587601078167,0.244025157232704,2.98444206008584,63.6833333333333,41.05,4.6475321888412,63.6833333333333,41.05,68.2095343680709,0.627818291295912,0.207399478156152,0.721373359698005,0.855285592497869,0.0908543520438884,1.81369661266568,0.592817561807332,0.769120515548137,0.554823050313621,0.644595655587543,0.721373359698005,0.883731898235048,1.81369661266568,0.151662174303684,0.626774483378257,0.667740574540713,0.245702773970031,1.61809279075416,0.278626640301995,0.739490756749466,2.42323568830174,"quantor",3600,"memout" "x60.2",1,1804,60,1864,5565,14947,1,1,2,0,4721,844,5383,3488,1.99928122192273,0.686612758310872,1804,0.442587601078167,0.244025157232704,2.98444206008584,63.6833333333333,41.05,4.6475321888412,63.6833333333333,41.05,68.2095343680709,0.627818291295912,0.207399478156152,0.721373359698005,0.855285592497869,0.0908543520438884,1.81369661266568,0.592817561807332,0.769120515548137,0.554823050313621,0.644595655587543,0.721373359698005,0.883731898235048,1.81369661266568,0.151662174303684,0.626774483378257,0.667740574540713,0.245702773970031,1.61809279075416,0.278626640301995,0.739490756749466,2.42323568830174,"QuBE",4.87,"ok" "x60.2",1,1804,60,1864,5565,14947,1,1,2,0,4721,844,5383,3488,1.99928122192273,0.686612758310872,1804,0.442587601078167,0.244025157232704,2.98444206008584,63.6833333333333,41.05,4.6475321888412,63.6833333333333,41.05,68.2095343680709,0.627818291295912,0.207399478156152,0.721373359698005,0.855285592497869,0.0908543520438884,1.81369661266568,0.592817561807332,0.769120515548137,0.554823050313621,0.644595655587543,0.721373359698005,0.883731898235048,1.81369661266568,0.151662174303684,0.626774483378257,0.667740574540713,0.245702773970031,1.61809279075416,0.278626640301995,0.739490756749466,2.42323568830174,"sKizzo",3600,"memout" "x60.2",1,1804,60,1864,5565,14947,1,1,2,0,4721,844,5383,3488,1.99928122192273,0.686612758310872,1804,0.442587601078167,0.244025157232704,2.98444206008584,63.6833333333333,41.05,4.6475321888412,63.6833333333333,41.05,68.2095343680709,0.627818291295912,0.207399478156152,0.721373359698005,0.855285592497869,0.0908543520438884,1.81369661266568,0.592817561807332,0.769120515548137,0.554823050313621,0.644595655587543,0.721373359698005,0.883731898235048,1.81369661266568,0.151662174303684,0.626774483378257,0.667740574540713,0.245702773970031,1.61809279075416,0.278626640301995,0.739490756749466,2.42323568830174,"sSolve",3600,"timeout" "x60.3",1,1804,60,1864,5575,14977,1,1,2,0,4731,844,5393,3489,1.99928251121076,0.687174887892377,1804,0.441973094170404,0.245201793721973,2.98980686695279,63.85,41.0666666666667,4.65772532188841,63.85,41.0666666666667,68.3824833702882,0.627896107364626,0.20758496361087,0.721065853220886,0.854636324968099,0.0912732857047473,1.80248719824433,0.592620161633348,0.768959707175541,0.554470587347014,0.643174105977552,0.721065853220886,0.883061036441539,1.80248719824433,0.151390134529148,0.625829596412556,0.667649198024729,0.245944458727699,1.62569893707971,0.278934146779114,0.740014638947671,2.43495976912639,"X2clsQ",14.84,"ok" "x60.3",1,1804,60,1864,5575,14977,1,1,2,0,4731,844,5393,3489,1.99928251121076,0.687174887892377,1804,0.441973094170404,0.245201793721973,2.98980686695279,63.85,41.0666666666667,4.65772532188841,63.85,41.0666666666667,68.3824833702882,0.627896107364626,0.20758496361087,0.721065853220886,0.854636324968099,0.0912732857047473,1.80248719824433,0.592620161633348,0.768959707175541,0.554470587347014,0.643174105977552,0.721065853220886,0.883061036441539,1.80248719824433,0.151390134529148,0.625829596412556,0.667649198024729,0.245944458727699,1.62569893707971,0.278934146779114,0.740014638947671,2.43495976912639,"quantor",3600,"memout" "x60.3",1,1804,60,1864,5575,14977,1,1,2,0,4731,844,5393,3489,1.99928251121076,0.687174887892377,1804,0.441973094170404,0.245201793721973,2.98980686695279,63.85,41.0666666666667,4.65772532188841,63.85,41.0666666666667,68.3824833702882,0.627896107364626,0.20758496361087,0.721065853220886,0.854636324968099,0.0912732857047473,1.80248719824433,0.592620161633348,0.768959707175541,0.554470587347014,0.643174105977552,0.721065853220886,0.883061036441539,1.80248719824433,0.151390134529148,0.625829596412556,0.667649198024729,0.245944458727699,1.62569893707971,0.278934146779114,0.740014638947671,2.43495976912639,"QuBE",2.33,"ok" "x60.3",1,1804,60,1864,5575,14977,1,1,2,0,4731,844,5393,3489,1.99928251121076,0.687174887892377,1804,0.441973094170404,0.245201793721973,2.98980686695279,63.85,41.0666666666667,4.65772532188841,63.85,41.0666666666667,68.3824833702882,0.627896107364626,0.20758496361087,0.721065853220886,0.854636324968099,0.0912732857047473,1.80248719824433,0.592620161633348,0.768959707175541,0.554470587347014,0.643174105977552,0.721065853220886,0.883061036441539,1.80248719824433,0.151390134529148,0.625829596412556,0.667649198024729,0.245944458727699,1.62569893707971,0.278934146779114,0.740014638947671,2.43495976912639,"sKizzo",3600,"memout" "x60.3",1,1804,60,1864,5575,14977,1,1,2,0,4731,844,5393,3489,1.99928251121076,0.687174887892377,1804,0.441973094170404,0.245201793721973,2.98980686695279,63.85,41.0666666666667,4.65772532188841,63.85,41.0666666666667,68.3824833702882,0.627896107364626,0.20758496361087,0.721065853220886,0.854636324968099,0.0912732857047473,1.80248719824433,0.592620161633348,0.768959707175541,0.554470587347014,0.643174105977552,0.721065853220886,0.883061036441539,1.80248719824433,0.151390134529148,0.625829596412556,0.667649198024729,0.245944458727699,1.62569893707971,0.278934146779114,0.740014638947671,2.43495976912639,"sSolve",3600,"timeout" "x60.4",1,1804,60,1864,5562,14938,1,1,2,0,4718,844,5380,3477,1.99928083423229,0.686443725278677,1804,0.440848615605897,0.24559510967278,2.98283261802575,63.6333333333333,40.8666666666667,4.65021459227468,63.6333333333333,40.8666666666667,67.7217294900222,0.627794885526844,0.208059981255858,0.720503597122302,0.854339944551077,0.0914446378363904,1.79502196193265,0.592876946043933,0.769168884011045,0.554188947724504,0.642221058145626,0.720503597122302,0.882754798582709,1.79502196193265,0.151743976986695,0.625134843581446,0.66735743341776,0.246478646730243,1.62856192596004,0.279496402877698,0.740971455525689,2.4403143569101,"X2clsQ",69.99,"ok" "x60.4",1,1804,60,1864,5562,14938,1,1,2,0,4718,844,5380,3477,1.99928083423229,0.686443725278677,1804,0.440848615605897,0.24559510967278,2.98283261802575,63.6333333333333,40.8666666666667,4.65021459227468,63.6333333333333,40.8666666666667,67.7217294900222,0.627794885526844,0.208059981255858,0.720503597122302,0.854339944551077,0.0914446378363904,1.79502196193265,0.592876946043933,0.769168884011045,0.554188947724504,0.642221058145626,0.720503597122302,0.882754798582709,1.79502196193265,0.151743976986695,0.625134843581446,0.66735743341776,0.246478646730243,1.62856192596004,0.279496402877698,0.740971455525689,2.4403143569101,"quantor",3600,"memout" "x60.4",1,1804,60,1864,5562,14938,1,1,2,0,4718,844,5380,3477,1.99928083423229,0.686443725278677,1804,0.440848615605897,0.24559510967278,2.98283261802575,63.6333333333333,40.8666666666667,4.65021459227468,63.6333333333333,40.8666666666667,67.7217294900222,0.627794885526844,0.208059981255858,0.720503597122302,0.854339944551077,0.0914446378363904,1.79502196193265,0.592876946043933,0.769168884011045,0.554188947724504,0.642221058145626,0.720503597122302,0.882754798582709,1.79502196193265,0.151743976986695,0.625134843581446,0.66735743341776,0.246478646730243,1.62856192596004,0.279496402877698,0.740971455525689,2.4403143569101,"QuBE",0.46,"ok" "x60.4",1,1804,60,1864,5562,14938,1,1,2,0,4718,844,5380,3477,1.99928083423229,0.686443725278677,1804,0.440848615605897,0.24559510967278,2.98283261802575,63.6333333333333,40.8666666666667,4.65021459227468,63.6333333333333,40.8666666666667,67.7217294900222,0.627794885526844,0.208059981255858,0.720503597122302,0.854339944551077,0.0914446378363904,1.79502196193265,0.592876946043933,0.769168884011045,0.554188947724504,0.642221058145626,0.720503597122302,0.882754798582709,1.79502196193265,0.151743976986695,0.625134843581446,0.66735743341776,0.246478646730243,1.62856192596004,0.279496402877698,0.740971455525689,2.4403143569101,"sKizzo",3600,"memout" "x60.4",1,1804,60,1864,5562,14938,1,1,2,0,4718,844,5380,3477,1.99928083423229,0.686443725278677,1804,0.440848615605897,0.24559510967278,2.98283261802575,63.6333333333333,40.8666666666667,4.65021459227468,63.6333333333333,40.8666666666667,67.7217294900222,0.627794885526844,0.208059981255858,0.720503597122302,0.854339944551077,0.0914446378363904,1.79502196193265,0.592876946043933,0.769168884011045,0.554188947724504,0.642221058145626,0.720503597122302,0.882754798582709,1.79502196193265,0.151743976986695,0.625134843581446,0.66735743341776,0.246478646730243,1.62856192596004,0.279496402877698,0.740971455525689,2.4403143569101,"sSolve",1000.33,"ok" "x60.5",1,1804,60,1864,5557,14923,1,1,2,0,4713,844,5375,3475,1.99928018715134,0.686161597984524,1804,0.440885369803851,0.245276228180673,2.98015021459227,63.55,40.8333333333333,4.64592274678112,63.55,40.8333333333333,67.8858093126386,0.627755813174295,0.208068082825169,0.72052205220522,0.854504696840307,0.0913355223480533,1.79750550256787,0.59297608881298,0.769249627763985,0.554261320454609,0.642538683451351,0.720522052205221,0.8829250304381,1.79750550256787,0.151880511067123,0.625337412272809,0.667345292513349,0.246477202779382,1.62654579444039,0.279477947794779,0.740940071588413,2.43733763119016,"X2clsQ",57.57,"ok" "x60.5",1,1804,60,1864,5557,14923,1,1,2,0,4713,844,5375,3475,1.99928018715134,0.686161597984524,1804,0.440885369803851,0.245276228180673,2.98015021459227,63.55,40.8333333333333,4.64592274678112,63.55,40.8333333333333,67.8858093126386,0.627755813174295,0.208068082825169,0.72052205220522,0.854504696840307,0.0913355223480533,1.79750550256787,0.59297608881298,0.769249627763985,0.554261320454609,0.642538683451351,0.720522052205221,0.8829250304381,1.79750550256787,0.151880511067123,0.625337412272809,0.667345292513349,0.246477202779382,1.62654579444039,0.279477947794779,0.740940071588413,2.43733763119016,"quantor",3600,"memout" "x60.5",1,1804,60,1864,5557,14923,1,1,2,0,4713,844,5375,3475,1.99928018715134,0.686161597984524,1804,0.440885369803851,0.245276228180673,2.98015021459227,63.55,40.8333333333333,4.64592274678112,63.55,40.8333333333333,67.8858093126386,0.627755813174295,0.208068082825169,0.72052205220522,0.854504696840307,0.0913355223480533,1.79750550256787,0.59297608881298,0.769249627763985,0.554261320454609,0.642538683451351,0.720522052205221,0.8829250304381,1.79750550256787,0.151880511067123,0.625337412272809,0.667345292513349,0.246477202779382,1.62654579444039,0.279477947794779,0.740940071588413,2.43733763119016,"QuBE",2.47,"ok" "x60.5",1,1804,60,1864,5557,14923,1,1,2,0,4713,844,5375,3475,1.99928018715134,0.686161597984524,1804,0.440885369803851,0.245276228180673,2.98015021459227,63.55,40.8333333333333,4.64592274678112,63.55,40.8333333333333,67.8858093126386,0.627755813174295,0.208068082825169,0.72052205220522,0.854504696840307,0.0913355223480533,1.79750550256787,0.59297608881298,0.769249627763985,0.554261320454609,0.642538683451351,0.720522052205221,0.8829250304381,1.79750550256787,0.151880511067123,0.625337412272809,0.667345292513349,0.246477202779382,1.62654579444039,0.279477947794779,0.740940071588413,2.43733763119016,"sKizzo",3600,"memout" "x60.5",1,1804,60,1864,5557,14923,1,1,2,0,4713,844,5375,3475,1.99928018715134,0.686161597984524,1804,0.440885369803851,0.245276228180673,2.98015021459227,63.55,40.8333333333333,4.64592274678112,63.55,40.8333333333333,67.8858093126386,0.627755813174295,0.208068082825169,0.72052205220522,0.854504696840307,0.0913355223480533,1.79750550256787,0.59297608881298,0.769249627763985,0.554261320454609,0.642538683451351,0.720522052205221,0.8829250304381,1.79750550256787,0.151880511067123,0.625337412272809,0.667345292513349,0.246477202779382,1.62654579444039,0.279477947794779,0.740940071588413,2.43733763119016,"sSolve",3600,"timeout" "x60.6",1,1804,60,1864,5586,15010,1,1,2,0,4742,844,5404,3499,1.99928392409595,0.687790905836019,1804,0.442892946652345,0.244897959183673,2.99570815450644,64.0333333333333,41.2333333333333,4.66416309012876,64.0333333333333,41.2333333333333,68.6541019955654,0.627981345769487,0.207195203197868,0.721525787965616,0.854869509866327,0.0911392405063291,1.80847953216374,0.592403988966688,0.768783560405406,0.554697164196522,0.643935450286309,0.721525787965616,0.883301976935052,1.80847953216374,0.15109201575367,0.626387397064089,0.667889067155627,0.245506670264752,1.62347008938804,0.278474212034384,0.739230919506635,2.43074811256005,"X2clsQ",14.62,"ok" "x60.6",1,1804,60,1864,5586,15010,1,1,2,0,4742,844,5404,3499,1.99928392409595,0.687790905836019,1804,0.442892946652345,0.244897959183673,2.99570815450644,64.0333333333333,41.2333333333333,4.66416309012876,64.0333333333333,41.2333333333333,68.6541019955654,0.627981345769487,0.207195203197868,0.721525787965616,0.854869509866327,0.0911392405063291,1.80847953216374,0.592403988966688,0.768783560405406,0.554697164196522,0.643935450286309,0.721525787965616,0.883301976935052,1.80847953216374,0.15109201575367,0.626387397064089,0.667889067155627,0.245506670264752,1.62347008938804,0.278474212034384,0.739230919506635,2.43074811256005,"quantor",3600,"memout" "x60.6",1,1804,60,1864,5586,15010,1,1,2,0,4742,844,5404,3499,1.99928392409595,0.687790905836019,1804,0.442892946652345,0.244897959183673,2.99570815450644,64.0333333333333,41.2333333333333,4.66416309012876,64.0333333333333,41.2333333333333,68.6541019955654,0.627981345769487,0.207195203197868,0.721525787965616,0.854869509866327,0.0911392405063291,1.80847953216374,0.592403988966688,0.768783560405406,0.554697164196522,0.643935450286309,0.721525787965616,0.883301976935052,1.80847953216374,0.15109201575367,0.626387397064089,0.667889067155627,0.245506670264752,1.62347008938804,0.278474212034384,0.739230919506635,2.43074811256005,"QuBE",0.72,"ok" "x60.6",1,1804,60,1864,5586,15010,1,1,2,0,4742,844,5404,3499,1.99928392409595,0.687790905836019,1804,0.442892946652345,0.244897959183673,2.99570815450644,64.0333333333333,41.2333333333333,4.66416309012876,64.0333333333333,41.2333333333333,68.6541019955654,0.627981345769487,0.207195203197868,0.721525787965616,0.854869509866327,0.0911392405063291,1.80847953216374,0.592403988966688,0.768783560405406,0.554697164196522,0.643935450286309,0.721525787965616,0.883301976935052,1.80847953216374,0.15109201575367,0.626387397064089,0.667889067155627,0.245506670264752,1.62347008938804,0.278474212034384,0.739230919506635,2.43074811256005,"sKizzo",3600,"memout" "x60.6",1,1804,60,1864,5586,15010,1,1,2,0,4742,844,5404,3499,1.99928392409595,0.687790905836019,1804,0.442892946652345,0.244897959183673,2.99570815450644,64.0333333333333,41.2333333333333,4.66416309012876,64.0333333333333,41.2333333333333,68.6541019955654,0.627981345769487,0.207195203197868,0.721525787965616,0.854869509866327,0.0911392405063291,1.80847953216374,0.592403988966688,0.768783560405406,0.554697164196522,0.643935450286309,0.721525787965616,0.883301976935052,1.80847953216374,0.15109201575367,0.626387397064089,0.667889067155627,0.245506670264752,1.62347008938804,0.278474212034384,0.739230919506635,2.43074811256005,"sSolve",3600,"timeout" "x60.7",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3491,1.99928199605098,0.68695027822653,1804,0.44264943457189,0.24430084365464,2.98766094420601,63.7833333333333,41.1,4.65236051502146,63.7833333333333,41.1,68.129711751663,0.627865018376211,0.207350484463749,0.721404201831568,0.855151128139634,0.0909455395923822,1.81190301249082,0.59269902085994,0.769023953156034,0.554777111115885,0.644368957407891,0.721404201831568,0.883592961669777,1.81190301249082,0.151498833243583,0.626637946508706,0.667777650078535,0.245657893049138,1.61980359856466,0.278595798168432,0.739438189836444,2.42566309066223,"X2clsQ",571.19,"ok" "x60.7",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3491,1.99928199605098,0.68695027822653,1804,0.44264943457189,0.24430084365464,2.98766094420601,63.7833333333333,41.1,4.65236051502146,63.7833333333333,41.1,68.129711751663,0.627865018376211,0.207350484463749,0.721404201831568,0.855151128139634,0.0909455395923822,1.81190301249082,0.59269902085994,0.769023953156034,0.554777111115885,0.644368957407891,0.721404201831568,0.883592961669777,1.81190301249082,0.151498833243583,0.626637946508706,0.667777650078535,0.245657893049138,1.61980359856466,0.278595798168432,0.739438189836444,2.42566309066223,"quantor",3600,"memout" "x60.7",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3491,1.99928199605098,0.68695027822653,1804,0.44264943457189,0.24430084365464,2.98766094420601,63.7833333333333,41.1,4.65236051502146,63.7833333333333,41.1,68.129711751663,0.627865018376211,0.207350484463749,0.721404201831568,0.855151128139634,0.0909455395923822,1.81190301249082,0.59269902085994,0.769023953156034,0.554777111115885,0.644368957407891,0.721404201831568,0.883592961669777,1.81190301249082,0.151498833243583,0.626637946508706,0.667777650078535,0.245657893049138,1.61980359856466,0.278595798168432,0.739438189836444,2.42566309066223,"QuBE",1.27,"ok" "x60.7",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3491,1.99928199605098,0.68695027822653,1804,0.44264943457189,0.24430084365464,2.98766094420601,63.7833333333333,41.1,4.65236051502146,63.7833333333333,41.1,68.129711751663,0.627865018376211,0.207350484463749,0.721404201831568,0.855151128139634,0.0909455395923822,1.81190301249082,0.59269902085994,0.769023953156034,0.554777111115885,0.644368957407891,0.721404201831568,0.883592961669777,1.81190301249082,0.151498833243583,0.626637946508706,0.667777650078535,0.245657893049138,1.61980359856466,0.278595798168432,0.739438189836444,2.42566309066223,"sKizzo",3600,"memout" "x60.7",1,1804,60,1864,5571,14965,1,1,2,0,4727,844,5389,3491,1.99928199605098,0.68695027822653,1804,0.44264943457189,0.24430084365464,2.98766094420601,63.7833333333333,41.1,4.65236051502146,63.7833333333333,41.1,68.129711751663,0.627865018376211,0.207350484463749,0.721404201831568,0.855151128139634,0.0909455395923822,1.81190301249082,0.59269902085994,0.769023953156034,0.554777111115885,0.644368957407891,0.721404201831568,0.883592961669777,1.81190301249082,0.151498833243583,0.626637946508706,0.667777650078535,0.245657893049138,1.61980359856466,0.278595798168432,0.739438189836444,2.42566309066223,"sSolve",3600,"timeout" "x60.8",1,1804,60,1864,5563,14941,1,1,2,0,4719,844,5381,3483,1.9992809635089,0.686500089879561,1804,0.441847923782132,0.244652166097429,2.98336909871245,63.65,40.9666666666667,4.6480686695279,63.65,40.9666666666667,67.6574279379157,0.62780269058296,0.207683555317582,0.721003416651681,0.854904051172708,0.0910916270664614,1.80602498163115,0.592857142857143,0.76915275471548,0.554561764076914,0.643623985336476,0.721003416651681,0.88333766706537,1.80602498163115,0.151716699622506,0.626101024627,0.667574722787093,0.246034914716711,1.62228958037576,0.278996583348319,0.740120958248112,2.4301243366477,"X2clsQ",10.6,"ok" "x60.8",1,1804,60,1864,5563,14941,1,1,2,0,4719,844,5381,3483,1.9992809635089,0.686500089879561,1804,0.441847923782132,0.244652166097429,2.98336909871245,63.65,40.9666666666667,4.6480686695279,63.65,40.9666666666667,67.6574279379157,0.62780269058296,0.207683555317582,0.721003416651681,0.854904051172708,0.0910916270664614,1.80602498163115,0.592857142857143,0.76915275471548,0.554561764076914,0.643623985336476,0.721003416651681,0.88333766706537,1.80602498163115,0.151716699622506,0.626101024627,0.667574722787093,0.246034914716711,1.62228958037576,0.278996583348319,0.740120958248112,2.4301243366477,"quantor",3600,"memout" "x60.8",1,1804,60,1864,5563,14941,1,1,2,0,4719,844,5381,3483,1.9992809635089,0.686500089879561,1804,0.441847923782132,0.244652166097429,2.98336909871245,63.65,40.9666666666667,4.6480686695279,63.65,40.9666666666667,67.6574279379157,0.62780269058296,0.207683555317582,0.721003416651681,0.854904051172708,0.0910916270664614,1.80602498163115,0.592857142857143,0.76915275471548,0.554561764076914,0.643623985336476,0.721003416651681,0.88333766706537,1.80602498163115,0.151716699622506,0.626101024627,0.667574722787093,0.246034914716711,1.62228958037576,0.278996583348319,0.740120958248112,2.4301243366477,"QuBE",1.89,"ok" "x60.8",1,1804,60,1864,5563,14941,1,1,2,0,4719,844,5381,3483,1.9992809635089,0.686500089879561,1804,0.441847923782132,0.244652166097429,2.98336909871245,63.65,40.9666666666667,4.6480686695279,63.65,40.9666666666667,67.6574279379157,0.62780269058296,0.207683555317582,0.721003416651681,0.854904051172708,0.0910916270664614,1.80602498163115,0.592857142857143,0.76915275471548,0.554561764076914,0.643623985336476,0.721003416651681,0.88333766706537,1.80602498163115,0.151716699622506,0.626101024627,0.667574722787093,0.246034914716711,1.62228958037576,0.278996583348319,0.740120958248112,2.4301243366477,"sKizzo",3600,"memout" "x60.8",1,1804,60,1864,5563,14941,1,1,2,0,4719,844,5381,3483,1.9992809635089,0.686500089879561,1804,0.441847923782132,0.244652166097429,2.98336909871245,63.65,40.9666666666667,4.6480686695279,63.65,40.9666666666667,67.6574279379157,0.62780269058296,0.207683555317582,0.721003416651681,0.854904051172708,0.0910916270664614,1.80602498163115,0.592857142857143,0.76915275471548,0.554561764076914,0.643623985336476,0.721003416651681,0.88333766706537,1.80602498163115,0.151716699622506,0.626101024627,0.667574722787093,0.246034914716711,1.62228958037576,0.278996583348319,0.740120958248112,2.4301243366477,"sSolve",3600,"timeout" "x60.9",1,1804,60,1864,5579,14989,1,1,2,0,4735,844,5397,3488,1.99928302563183,0.687399175479477,1804,0.441476967198423,0.245922208281054,2.99195278969957,63.9166666666667,41.05,4.66255364806867,63.9166666666667,41.05,68.4124168514412,0.627927146574154,0.207752351724598,0.720817643894567,0.854228644283893,0.0915337914470612,1.79518950437318,0.592541436464088,0.768895564064053,0.554233488889635,0.642242503259452,0.720817643894567,0.882639796532803,1.79518950437318,0.151281591683097,0.625201649041047,0.667559193665608,0.246151539210447,1.63039691950682,0.279182356105433,0.74043719820259,2.44232561693025,"X2clsQ",284.84,"ok" "x60.9",1,1804,60,1864,5579,14989,1,1,2,0,4735,844,5397,3488,1.99928302563183,0.687399175479477,1804,0.441476967198423,0.245922208281054,2.99195278969957,63.9166666666667,41.05,4.66255364806867,63.9166666666667,41.05,68.4124168514412,0.627927146574154,0.207752351724598,0.720817643894567,0.854228644283893,0.0915337914470612,1.79518950437318,0.592541436464088,0.768895564064053,0.554233488889635,0.642242503259452,0.720817643894567,0.882639796532803,1.79518950437318,0.151281591683097,0.625201649041047,0.667559193665608,0.246151539210447,1.63039691950682,0.279182356105433,0.74043719820259,2.44232561693025,"quantor",3600,"memout" "x60.9",1,1804,60,1864,5579,14989,1,1,2,0,4735,844,5397,3488,1.99928302563183,0.687399175479477,1804,0.441476967198423,0.245922208281054,2.99195278969957,63.9166666666667,41.05,4.66255364806867,63.9166666666667,41.05,68.4124168514412,0.627927146574154,0.207752351724598,0.720817643894567,0.854228644283893,0.0915337914470612,1.79518950437318,0.592541436464088,0.768895564064053,0.554233488889635,0.642242503259452,0.720817643894567,0.882639796532803,1.79518950437318,0.151281591683097,0.625201649041047,0.667559193665608,0.246151539210447,1.63039691950682,0.279182356105433,0.74043719820259,2.44232561693025,"QuBE",0.93,"ok" "x60.9",1,1804,60,1864,5579,14989,1,1,2,0,4735,844,5397,3488,1.99928302563183,0.687399175479477,1804,0.441476967198423,0.245922208281054,2.99195278969957,63.9166666666667,41.05,4.66255364806867,63.9166666666667,41.05,68.4124168514412,0.627927146574154,0.207752351724598,0.720817643894567,0.854228644283893,0.0915337914470612,1.79518950437318,0.592541436464088,0.768895564064053,0.554233488889635,0.642242503259452,0.720817643894567,0.882639796532803,1.79518950437318,0.151281591683097,0.625201649041047,0.667559193665608,0.246151539210447,1.63039691950682,0.279182356105433,0.74043719820259,2.44232561693025,"sKizzo",3600,"memout" "x60.9",1,1804,60,1864,5579,14989,1,1,2,0,4735,844,5397,3488,1.99928302563183,0.687399175479477,1804,0.441476967198423,0.245922208281054,2.99195278969957,63.9166666666667,41.05,4.66255364806867,63.9166666666667,41.05,68.4124168514412,0.627927146574154,0.207752351724598,0.720817643894567,0.854228644283893,0.0915337914470612,1.79518950437318,0.592541436464088,0.768895564064053,0.554233488889635,0.642242503259452,0.720817643894567,0.882639796532803,1.79518950437318,0.151281591683097,0.625201649041047,0.667559193665608,0.246151539210447,1.63039691950682,0.279182356105433,0.74043719820259,2.44232561693025,"sSolve",3394.56,"ok" "z4ml.blif_0.10_1.00_0_0_out_exact-shuffled",1,62,1,63,194,481,2,1,3,0,126,68,146,138,2.44845360824742,0.0309278350515464,31,0.0154639175257732,0.0154639175257732,3.61904761904762,6,3,9.15873015873016,12,6,39.741935483871,0.525987525987526,0.467775467775468,0.526315789473684,0.988142292490119,0.00623700623700624,1,0.901185770750988,1.00345382603447,0.528133592649722,0.5,0.526315789473684,1.00408007140125,1,0.350515463917526,0.711340206185567,0.530512611879577,0.472099005223234,0.307567127746135,0.469186524239934,1.00556269916699,0.579754601226994,"X2clsQ",0,"ok" "z4ml.blif_0.10_1.00_0_0_out_exact-shuffled",1,62,1,63,194,481,2,1,3,0,126,68,146,138,2.44845360824742,0.0309278350515464,31,0.0154639175257732,0.0154639175257732,3.61904761904762,6,3,9.15873015873016,12,6,39.741935483871,0.525987525987526,0.467775467775468,0.526315789473684,0.988142292490119,0.00623700623700624,1,0.901185770750988,1.00345382603447,0.528133592649722,0.5,0.526315789473684,1.00408007140125,1,0.350515463917526,0.711340206185567,0.530512611879577,0.472099005223234,0.307567127746135,0.469186524239934,1.00556269916699,0.579754601226994,"quantor",0,"ok" "z4ml.blif_0.10_1.00_0_0_out_exact-shuffled",1,62,1,63,194,481,2,1,3,0,126,68,146,138,2.44845360824742,0.0309278350515464,31,0.0154639175257732,0.0154639175257732,3.61904761904762,6,3,9.15873015873016,12,6,39.741935483871,0.525987525987526,0.467775467775468,0.526315789473684,0.988142292490119,0.00623700623700624,1,0.901185770750988,1.00345382603447,0.528133592649722,0.5,0.526315789473684,1.00408007140125,1,0.350515463917526,0.711340206185567,0.530512611879577,0.472099005223234,0.307567127746135,0.469186524239934,1.00556269916699,0.579754601226994,"QuBE",0.05,"ok" "z4ml.blif_0.10_1.00_0_0_out_exact-shuffled",1,62,1,63,194,481,2,1,3,0,126,68,146,138,2.44845360824742,0.0309278350515464,31,0.0154639175257732,0.0154639175257732,3.61904761904762,6,3,9.15873015873016,12,6,39.741935483871,0.525987525987526,0.467775467775468,0.526315789473684,0.988142292490119,0.00623700623700624,1,0.901185770750988,1.00345382603447,0.528133592649722,0.5,0.526315789473684,1.00408007140125,1,0.350515463917526,0.711340206185567,0.530512611879577,0.472099005223234,0.307567127746135,0.469186524239934,1.00556269916699,0.579754601226994,"sKizzo",0.01,"ok" "z4ml.blif_0.10_1.00_0_0_out_exact-shuffled",1,62,1,63,194,481,2,1,3,0,126,68,146,138,2.44845360824742,0.0309278350515464,31,0.0154639175257732,0.0154639175257732,3.61904761904762,6,3,9.15873015873016,12,6,39.741935483871,0.525987525987526,0.467775467775468,0.526315789473684,0.988142292490119,0.00623700623700624,1,0.901185770750988,1.00345382603447,0.528133592649722,0.5,0.526315789473684,1.00408007140125,1,0.350515463917526,0.711340206185567,0.530512611879577,0.472099005223234,0.307567127746135,0.469186524239934,1.00556269916699,0.579754601226994,"sSolve",0.85,"ok"